TWI741228B - 半導體裝置及製造其之方法 - Google Patents

半導體裝置及製造其之方法 Download PDF

Info

Publication number
TWI741228B
TWI741228B TW107140317A TW107140317A TWI741228B TW I741228 B TWI741228 B TW I741228B TW 107140317 A TW107140317 A TW 107140317A TW 107140317 A TW107140317 A TW 107140317A TW I741228 B TWI741228 B TW I741228B
Authority
TW
Taiwan
Prior art keywords
substrate
antenna
conductive
semiconductor die
semiconductor
Prior art date
Application number
TW107140317A
Other languages
English (en)
Other versions
TW201926625A (zh
Inventor
潘迪 C 瑪莉姆蘇
龍昌範
翁耀 歐
耀劍 林
Original Assignee
新加坡商星科金朋有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/184,134 external-priority patent/US10636753B2/en
Application filed by 新加坡商星科金朋有限公司 filed Critical 新加坡商星科金朋有限公司
Publication of TW201926625A publication Critical patent/TW201926625A/zh
Application granted granted Critical
Publication of TWI741228B publication Critical patent/TWI741228B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4821Flat leads, e.g. lead frames with or without insulating supports
    • H01L21/4825Connection or disconnection of other leads to or from flat leads, e.g. wires, bumps, other flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/552Protection against radiation, e.g. light or electromagnetic waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Toxicology (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

一種半導體裝置係具有一半導體晶粒以及一被沉積在該半導體晶粒之上的密封劑。一第一導電層係和一天線被形成在該密封劑的一第一表面之上。一第二導電層係和一接地面被形成在該密封劑的一第二表面之上,其中該天線係位在該接地面的一覆蓋區之內。一導電的凸塊係被形成在該接地面上。一第三導電層係被形成在該密封劑的該第一表面之上。一第四導電層係被形成在該密封劑的該第二表面之上。一導電貫孔係在沉積該密封劑之前,相鄰該半導體晶粒來加以設置。該天線係透過該導電貫孔來耦接至該半導體晶粒。該天線係在該導電貫孔介於該天線以及半導體晶粒之間下加以形成的。一PCB單元係被設置在該密封劑中。

Description

半導體裝置及製造其之方法
本發明係大致有關於半導體裝置,並且更具體而言係有關於一種在一嵌入式晶圓級球柵陣列(eWLB)封裝中包含一天線之半導體裝置、以及一種形成其之方法。
國內優先權的主張
本申請案是2017年9月14日申請的美國專利申請案號15/705,078的一部分接續案,該美國專利申請案是2016年7月25日申請的美國專利申請案號15/219,098(現在是美國專利號9,806,040)的一接續案,其係主張2015年7月29日申請的美國臨時申請案號62/198,522的益處,該些申請案係被納入在此作為參考。本申請案係主張2017年11月22日申請的美國臨時申請案號62/589,978的益處,該申請案係被納入在此作為參考。
半導體裝置係常見於現代的電子產品中。半導體裝置係在電性構件的數目及密度上變化。離散的半導體裝置一般包含一種類型的電性構件,例如是發光二極體(LED)、小信號電晶體、電阻器、電容器、電感器、以及功率金屬氧化物半導體場效電晶體(MOSFET)。集積的半導體裝置通常包含數百個到數百萬個電性構件。集積的半導體裝置的例子係包含微控制器、微處理器、以及各種的信號處理電路。
半導體裝置係執行廣範圍的功能,例如是信號處理、高速的計算、發送及接收電磁信號、控制電子裝置、轉換太陽光成為電力、以及產生用於電視顯示器的視覺的影像。半導體裝置係見於娛樂、通訊、電力轉換、網路、電腦、以及消費者產品的領域中。半導體裝置亦見於軍事的應用、航空、汽車、工業用控制器、以及辦公室設備中。
半導體裝置係利用半導體材料的電氣特性。半導體材料的結構係容許該材料的導電度能夠藉由一電場或基極電流的施加、或是透過摻雜的製程來加以操縱。摻雜係將雜質引入該半導體材料中,以操縱及控制該半導體裝置的導電度。
一半導體裝置係包含主動及被動電性結構。包含雙載子及場效電晶體的主動結構係控制電流的流動。藉由改變摻雜的程度以及一電場或基極電流的施加,該電晶體不是提升、就是限制電流的流動。包含電阻器、電容器、以及電感器的被動結構係在電壓及電流之間產生一種用以執行各種電性功能所必需的關係。該些被動及主動結構係電連接以形成電路,其係使得該半導體裝置能夠執行高速的操作以及其它有用的功能。
半導體裝置一般是利用兩種複雜的製程,亦即前端製造以及後端製造來加以製造的,每一種製程都潛在地涉及數百道步驟。前端製造係牽涉到複數個晶粒在一半導體晶圓的表面上的形成。每一個半導體晶粒通常是相同的,並且包含藉由電連接主動及被動構件所形成的電路。後端製造係牽涉到從完成的晶圓單粒化個別的半導體晶粒,並且封裝該晶粒以提供結構的支撐、電互連、以及環境的隔離。如同在此所用的術語“半導體晶粒”係指該字詞的單數形以及複數形,並且於是可以指稱單一半導體裝置以及多個半導體裝置兩者。
半導體製造之一目標是用以產生更小的半導體裝置。更小的裝置通常消耗較低的功率,具有較高的效能,並且可以更有效率地加以產生。此 外,更小的半導體裝置係具有一較小的覆蓋區,此係較小的終端產品所期望的。一更小的半導體晶粒尺寸可以藉由在該前端製程中產生具有更小的更高密度的主動及被動構件的半導體晶粒的改善來加以達成。後端製程可以藉由在電互連以及封裝材料上的改良來導致半導體裝置的封裝具有一更小的覆蓋區。
半導體製造的另一目標是將額外的特點整合到一半導體封裝中。將特點整合到一半導體封裝中係降低製造最終的電子裝置的成本及複雜度。針對於半導體裝置的一成長的用途是作為在汽車領域中的雷達感測器,以用於偵測附近的車輛以及其它的障礙物。在成長的自駕車輛領域中,雷達正獲得增大的重要性。在一車輛上的一電子裝置係發射電磁輻射以照射附近的物體,並且接著觀察反射的輻射以判斷該些附近的物體的相對位置及速度。發射及觀察反射的輻射係藉由一或多個位在該車輛上的天線來加以達成。該些天線係被形成在一印刷電路板(PCB)上的靠近包含一單石微波積體電路(MMIC)或其它雷達積體電路的一半導體封裝之處。該雷達系統的製造商必須在一PCB上設計及實施適當的天線及屏蔽。在該PCB上的天線及接地係增加製造成本及複雜度。
根據一態樣,提供一種製造半導體裝置之方法,其係包括:提供一第一基板;在該第一基板之上形成一接地面;在該第一基板之上,與該接地面相對地形成一第一天線;相鄰該第一基板來設置一半導體晶粒;在該第一基板以及半導體晶粒之上沉積一密封劑;以及在該密封劑之上形成一導電層,以將該半導體晶粒耦接至該第一天線。
根據一態樣,提供一種製造半導體裝置之方法,其係包括:提供一第一基板,其係包括一第一天線結構的一第一部分;相鄰該第一基板來設 置一半導體晶粒;在該第一基板之上設置一第二基板,其係包括該第一天線結構的一第二部分;在該第一基板、第二基板、以及半導體晶粒之上沉積一密封劑;以及在該密封劑之上形成一導電層,以將該半導體晶粒耦接至該第一天線結構。
根據一態樣,提供一種半導體裝置,其係包括:一基板;一接地面,其係被形成在該基板之上;一天線,其係與該接地面相對地被形成在該基板之上;以及一半導體晶粒,其係相鄰該基板來加以設置。
50:電子裝置
52:PCB
54:信號線路
56:接合導線封裝
58:覆晶
60:球格陣列(BGA)
62:凸塊晶片基板(BCC)
66:平台柵格陣列(LGA)
68:多晶片模組(MCM)
70:四邊扁平無引腳封裝(QFN)
72:四邊扁平封裝
74:內嵌式晶圓層級球格陣列(eWLB)
76:晶圓級晶片尺寸封裝(WLCSP)
120:半導體晶圓
122:基底基板材料
124:半導體晶粒
126:切割道
128:背表面
130:主動表面
132:導電層
132B:接點墊
136:測試探針頭
138:探針
140:電腦測試系統
142:鋸刀/雷射切割工具
160:載體
162:介面層
170:PCB/eBAR單元
172:核心基板
174:貫孔
176:切割道
177:切割道
179:PCB單元
180:群組
182:導電貫孔群組
188:區域
190:密封劑
192:重組晶圓
194:研磨機
196:背表面
198:前表面
200:絕緣層
202:導電層
202A:接點墊
202B:接地面
202C:導電線路
204:絕緣層
206:凸塊
206A:凸塊
206B:凸塊
206C:凸塊
208:第二載體
209:重組晶圓
210:絕緣層
212:導電層
212A:導電線路
212B:傳輸線
212C:天線
214:絕緣層
216:載體
218:介面層
220:鋸刀/雷射切割工具
230:收發器封裝
330:收發器封裝
340:PCB單元
342:PCB單元
350:接地面
352:天線
360:導電線路
430:收發器封裝
432:接地面
434:PCB單元
436:傳輸線
438:傳輸線
440:天線
444:導電線路
450:收發器封裝
460:絕緣層
462:導電層
462B:接地面
464:絕緣層
466:導電層
468:絕緣層
480:絕緣層
482:導電層
484:絕緣層
486:導電層
486A:天線
486B:導電線路
488:絕緣層
500:虛設半導體晶粒
502:RDL
504:絕緣層
506:接點墊
508:絕緣層
510:RDL層
512:鈍化層
520:載體
522:介面層
526:黏著層
528:晶粒單元
530:PCB單元
532:接點墊
534:鈍化層
540:PCB單元
542:接地面
544:鈍化層
546:接點墊
547:傳輸線
548:天線
550:密封劑
552:載體
554:介面層
560:介電層
562:導電層
564:鈍化層
568:背面研磨帶
570:背面研磨工具
572:導電的凸塊
580:收發器封裝
590:基板
592:導電線路
594:接點墊
600:核心基板
602:切割道
604:開口
606:導電貫孔
610:天線
611:接點墊
612:接地面
614:絕緣/鈍化層
616:絕緣/鈍化層
620:鋸刀/雷射切割工具
625:PCB單元
630:載體
632:介面層
636:切割道
640:密封劑
642:重組晶圓
643:絕緣層
644:導電層
645:絕緣層
646:凸塊
648:鋸刀/雷射切割工具
650:半導體封裝
700:PCB單元
710:天線
712:接地面
716:導電貫孔
718:接地的結構
730:次要的PCB單元
732:核心基板
734:天線
736:接地面
738:接點墊
740:導電貫孔
742:鈍化層
744:鈍化層
746:凸塊
748:半導體封裝
750:密封劑
751:半導體封裝
752:天線
754:天線
770:半導體封裝
772:平面的天線結構
774:接地面/反射器層
776:導電貫孔
800:半導體封裝
802:開口
810:半導體封裝
812:天線結構
814:導電層
816:導電貫孔
820:天線結構
824:接地面
D0:第一距離
D1:第二距離
圖1係描繪一印刷電路板(PCB),其中不同類型的封裝係被安裝到該PCB的一表面;圖2a-2d係描繪一半導體晶圓,其中複數個半導體晶粒係藉由切割道來加以分開的;圖3a-3j係描繪一種形成一雷達收發器封裝之方法,該雷達收發器封裝係具有一自界定的天線以及一半導體晶粒;圖4係描繪該雷達收發器封裝;圖5係描繪該雷達收發器封裝的一第一替代實施例;圖6a-6c係描繪該雷達收發器封裝的一第二替代實施例;圖7係描繪該雷達收發器封裝的一第三替代實施例;圖8a-8i係描繪在一虛設(dummy)晶粒上形成一背面重分佈層;圖9a-9f係描繪形成具有天線及接地面的PCB單元;圖10a-10f係描繪形成具有天線的內嵌式PCB單元的半導體封裝;圖11a-11c係描繪形成半導體封裝,其中次要的天線PCB單元係被設置在該 半導體晶粒以及主要的PCB單元之上;圖12係描繪利用該次要的天線PCB單元以在單一封裝中提供多種天線配置;圖13係描繪在該次要的天線PCB上的一平面的天線;以及圖14a及14b係描繪在該次要的天線PCB中的一開口以容納較高的半導體晶粒。
本發明係在以下參考該些圖式的說明中以一或多個實施例來加以描述,其中相同的元件符號係代表相同或類似的元件。儘管本發明係以用於達成本發明的目的之最佳模式來加以描述,但熟習此項技術者將會體認到的是,本揭露內容係欲涵蓋如同可內含在藉由以下的揭露內容及圖式支持的所附的申請專利範圍及其等同項所界定的本發明的精神與範疇之內的替代、修改及等同物。
半導體裝置一般是利用兩種複雜的製程:前端製造以及後端製造來加以製造的。前端製造係牽涉到複數個晶粒在一半導體晶圓的表面上的形成。在該晶圓上的每一個晶粒係包含主動及被動電性構件,該些電性構件係被電連接以形成功能電路。例如是電晶體及二極體的主動電性構件係具有能力來控制電流的流動。例如是電容器、電感器及電阻器的被動電性構件係產生執行電路功能所必要的一種在電壓及電流之間的關係。
被動及主動構件係藉由一系列的包含摻雜、沉積、微影、蝕刻、以及平坦化的製程步驟,而被形成在該半導體晶圓的表面之上。摻雜係藉由例如是離子植入或熱擴散的技術,以將雜質引進到該半導體材料中。該摻雜製程係藉由響應於一電場或基極電流來動態地改變該半導體材料的導電度,以 修改在主動裝置中的半導體材料的導電度。電晶體係包含具有不同類型及程度的摻雜的區域,該些區域係依必要性而被配置以使得該電晶體能夠在該電場或基極電流的施加之際提升或限制電流的流動。
主動及被動構件係藉由具有不同的電氣特性的材料層來加以形成的。該些層可以藉由各種沉積技術來加以形成,該沉積技術係部分依照正被沉積的材料類型來決定的。例如,薄膜沉積可能會牽涉到化學氣相沉積(CVD)、物理氣相沉積(PVD)、電解的電鍍、以及無電的電鍍製程。每一個層一般是被圖案化以形成主動構件、被動構件、或是在構件之間的電連接的部分。
後端製造係指切割或單粒化該完成的晶圓成為個別的半導體晶粒,並且為了結構的支撐、電互連以及環境的隔離來封裝該些半導體晶粒。為了單粒化該些半導體晶粒,該晶圓係被劃線並且沿著該晶圓的稱為切割道或劃線的非功能區域來加以斷開。該晶圓係利用一雷射切割工具或鋸刀來加以單粒化。在單粒化之後,該些個別的半導體晶粒係被安裝到一封裝基板,該封裝基板係包含用於與其它系統構件互連的接腳或接點墊。在該半導體晶粒之上所形成的接點墊係接著連接至在該封裝之內的接點墊。形成在該半導體晶粒之上的接點墊係接著連接至在該封裝之內的接點墊。該些電連接可以利用導電層、凸塊、柱形凸塊、導電膏、或是引線接合來加以做成。一密封劑(encapsulant)或其它模製材料係沉積在該封裝之上,以提供實體支撐及電性隔離。該完成的封裝係接著被插入一電性系統中,並且該半導體裝置的功能係被做成可供其它系統構件利用的。
圖1係描繪具有一晶片載體基板或是PCB 52之電子裝置50,其中複數個半導體封裝係安裝於PCB 52的一表面之上。視應用而定,電子裝置50可具有一種類型之半導體封裝、或是多種類型之半導體封裝。不同類型之半導 體封裝係為了說明之目的而展示於圖1中。
電子裝置50可以是一使用該些半導體封裝以執行一或多種電性功能之獨立的系統。或者,電子裝置50可以是一較大的系統之子構件。舉例而言,電子裝置50可以是一平板電腦、行動電話、數位相機、或是其它電子裝置的部份。或者是,電子裝置50可以是一可插入電腦中之顯示卡、網路介面卡或其它信號處理卡。該半導體封裝可包括微處理器、記憶體、特殊應用積體電路(ASIC)、微機電系統(MEMS)、邏輯電路、類比電路、RF電路、離散裝置或其它半導體晶粒或電性構件。小型化及重量減輕是這些產品能夠被市場接受所不可少的。在半導體裝置間的距離可加以縮短,以達到更高的密度。
在圖1中,PCB 52係提供一般的基板以供安裝在該PCB上之半導體封裝的結構支撐及電互連。導電的信號線路54係利用蒸鍍、電解的電鍍、無電的電鍍、網版印刷、或其它適合的金屬沉積製程而被形成在PCB 52的一表面之上或是在層內。信號線路54係提供在半導體封裝、安裝的構件、以及其它外部的系統構件的每一個之間的電性通訊。線路54亦提供電源及接地連接給每一個半導體封裝。
在某些實施例中,一半導體裝置係具有兩個封裝層級。第一層級的封裝是一種用於將半導體晶粒機械式及電性地附接至一中間的基板的技術。第二層級的封裝係牽涉到將該中間的基板機械式及電性地附接至PCB。在其它實施例中,一半導體裝置可以只有該第一層級的封裝,其中晶粒是直接機械式及電性地安裝到該PCB。
為了說明之目的,包含接合導線封裝56及覆晶58之數種類型的第一層級的封裝係被展示在PCB 52上。此外,包含球格陣列(BGA)60、凸塊晶片基板(BCC)62、平台柵格陣列(LGA)66、多晶片模組(MCM)68、四邊扁平無引腳封裝(QFN)70、四邊扁平封裝72、內嵌式晶圓層級球格陣列(eWLB)74、以 及晶圓級晶片尺寸封裝(WLCSP)76之數種類型的第二層級的封裝係被展示安裝在PCB 52上。在一實施例中,eWLB 74是一扇出晶圓層級的封裝(Fo-WLP),並且WLCSP 76是一扇入晶圓層級的封裝(Fi-WLP)。視系統需求而定,以第一及第二層級的封裝類型的任意組合來配置的半導體封裝及其它電子構件的任意組合都可連接至PCB 52。在某些實施例中,電子裝置50係包含單一附接的半導體封裝,而其它實施例則需要多個互連的封裝。藉由在單一基板之上組合一或多個半導體封裝,製造商可將預製的構件納入電子裝置及系統中。由於半導體封裝包括複雜的功能,因此可使用較便宜構件及流線化製程來製造電子裝置。所產生的裝置不太可能發生失效而且製造費用較便宜,從而對於消費者產生較低的成本。
圖2a係展示一半導體晶圓120,其係具有一種基底基板材料122,例如是矽、鍺、磷化鋁、砷化鋁、砷化鎵、氮化鎵、磷化銦、碳化矽、或是其它用於結構的支撐的基體半導體材料。複數個半導體晶粒或構件124係如上所述地被形成在晶圓120上,其係藉由一非主動的晶粒間的晶圓區域或切割道126來加以分開。切割道126係提供切割區域,以將半導體晶圓120單粒化成為個別的半導體晶粒124。在一實施例中,半導體晶圓120係具有一100-450毫米(mm)的寬度或直徑。
圖2b係展示半導體晶圓120的一部分的一橫截面圖。每一個半導體晶粒124係具有一背表面或是非主動表面128、以及一包含類比或數位電路的主動表面130,該類比或數位電路係被實施為主動裝置、被動裝置、導電層、以及介電層,其係被形成在該晶粒之內並且根據該晶粒的電性設計及功能來電性互連的。例如,該電路可包含一或多個電晶體、二極體、以及其它被形成在主動表面130之內的電路元件以實施類比電路或數位電路,例如是數位信號處理器(DSP)、ASIC、MEMS、記憶體、或是其它的信號處理電路。半導體晶粒 124亦可包含例如是電感器、電容器及電阻器的整合的被動裝置(IPD),以用於RF信號處理。在一實施例中,主動表面130係包含如同用以形成一MMIC或是其它雷達收發器電路所需的主動及被動電路。半導體晶圓120的背表面128可以利用一機械式研磨或蝕刻製程來進行一選配的背面研磨操作,以移除基底材料122的一部分並且降低半導體晶圓120以及半導體晶粒124的厚度。
一導電層132係利用PVD、CVD、電解的電鍍、無電的電鍍製程、或是其它適當的金屬沉積製程而被形成在主動表面130之上。導電層132係包含一或多層的鋁(Al)、銅(Cu)、錫(Sn)、鎳(Ni)、金(Au)、銀(Ag)、或是其它適當的導電材料。導電層132係運作為接點墊,其係電連接至主動表面130上的電路。如同在圖2b中所示,導電層132可被形成為接點墊,其係相隔半導體晶粒124的邊緣一第一距離而被並排設置。或者是,導電層132可被形成為接點墊,其係以多個列來加以偏置,使得一第一列的接點墊係相隔該晶粒的邊緣一第一距離而被設置,並且一和該第一列交替的第二列的接點墊係相隔該晶粒的邊緣一第二距離而被設置。
半導體晶圓120係進行電性測試及檢查,以作為一品質管制製程的部分。人工視覺的檢查以及自動化的光學系統係被用來在半導體晶圓120上執行檢查。軟體可被利用在半導體晶圓120的自動化的光學分析中。視覺的檢查方法可以利用例如是一掃描電子顯微鏡、高強度或紫外光、或是金相顯微鏡的設備。半導體晶圓120係針對於包含翹曲、厚度變化、表面微粒、不規則性、裂縫、脫層、以及變色的結構特徵來加以檢查。
在半導體晶粒124內的主動及被動構件係在晶圓層級下,針對於電性效能以及電路功能來進行測試。如同在圖2c中所示,每一個半導體晶粒124係針對於功能及電性參數,利用一包含複數個探針或測試引線138的測試探針頭136、或是其它的測試裝置來加以測試。探針138係被用來在每一個半導體 晶粒124上的節點或導電層132做成電性接觸,並且提供電性刺激至接點墊132。半導體晶粒124係響應該些電性刺激,其係藉由電腦測試系統140來加以量測並且相較於一預期的響應以測試該半導體晶粒的功能。該些電性測試可包含電路功能、引線完整性、電阻率、連續性、可靠度、接面深度、ESD、RF效能、驅動電流、臨界電流、漏電流、以及該構件類型之特定的操作參數。半導體晶圓120的檢查及電性測試係使得通過的半導體晶粒124能夠被標明為已知良好的晶粒(KGD),以用於一半導體封裝。
在圖2d中,半導體晶圓120係透過切割道126,利用一鋸刀或雷射切割工具142而被單粒化成為個別的半導體晶粒124。該個別的半導體晶粒124可以被檢查及電性測試,以用於單粒化後的KGD的識別。
圖3a係展示一載體或臨時的基板160的一部分的一橫截面圖,其係包含犧牲基底材料,例如是矽、聚合物、鈹氧化物、玻璃、或是其它用於結構的支撐的適當低成本的剛性材料。一介面層或雙面帶162係被形成在載體160之上,以作為一暫時的黏著接合膜、蝕刻停止層、或是熱釋放層。
載體160可以是一圓形或矩形面板(大於300mm),其係具有用於多個半導體晶粒124的容量。載體160可以具有一比半導體晶圓120的表面積更大的表面積。較大的載體係降低半導體封裝的製造成本,因為更多的半導體晶粒可以在該較大的載體上加以處理,藉此降低每單元的成本。半導體封裝以及處理設備係針對於正被處理的晶圓或載體的尺寸而被設計及配置的。
為了進一步降低製造成本,載體160的尺寸係與半導體晶粒124及半導體晶圓120的尺寸無關地加以選擇。換言之,載體160係具有一固定或是標準化的尺寸,其可以容納從一或多個半導體晶圓120而被單粒化的各種尺寸的半導體晶粒124。在一實施例中,載體160是具有一206mm的直徑的圓形。在另一實施例中,載體160是具有一560mm的寬度以及600mm的長度的矩形。半 導體晶粒124可以具有5mm乘5mm的尺寸,其係被設置在該標準化的載體160上。或者是,半導體晶粒124可以具有10mm乘10mm的尺寸,其係被設置在相同的標準化的載體160上。於是,標準化的載體160可以處理任何尺寸的半導體晶粒124,此係容許後續的半導體處理設備能夠被標準化至一共同的載體,亦即是與晶粒尺寸或進入的晶圓尺寸無關的。半導體封裝設備可以利用一組共同的處理工具、設備、以及材料清單而針對於一標準的載體來加以設計及配置,以處理來自任何進入的晶圓尺寸的任何半導體晶粒尺寸。該共同或標準化的載體160係藉由降低或消除對於根據晶粒尺寸或進入的晶圓尺寸的專用的半導體生產線的需求,來降低製造成本及資本風險。藉由選擇一預設的載體尺寸以使用於來自所有的半導體晶圓的任何尺寸的半導體晶粒,一種有彈性的生產線可加以實施。
來自圖2d的半導體晶粒124係在半導體晶粒124的主動表面130被定向朝向該載體下,例如是利用一拾放操作而被安裝到載體160及介面層162之上。儘管在圖3a中係描繪單一半導體晶粒124,但是載體160一般係具有更多被安裝在該載體之上的半導體晶粒,其並未被描繪。當安裝該些半導體晶粒在載體160上時,充分的空間係保留在相鄰半導體晶粒124之間,以容許複數個PCB或eBAR單元170能夠被設置在該些半導體晶粒之間的載體上。
PCB單元170係開始於一核心基板172。核心基板172係包含一或多個疊層的具有酚醛棉紙、環氧樹脂、樹脂、玻璃布、磨砂玻璃、聚酯、以及其它強化纖維或是織物的一組合的聚四氟乙烯預浸物(預浸料)、FR-4、FR-1、CEM-1、或是CEM-3的層。在一實施例中,核心基板172是一具有編織纖維及填充物的合成物。在另一實施例中,核心基板172係由一密封劑或是模製化合物所形成的。或者是,核心基板172係包含一或多個絕緣或鈍化層。
複數個穿透貫孔係利用雷射鑽孔、機械式鑽孔、或是深反應性 離子蝕刻(DRIE),穿過核心基板172來加以形成。該些貫孔係完全地延伸穿過核心基板172。該些貫孔係利用電解的電鍍、無電的電鍍、或是其它適當的沉積製程,而被填入Al、Cu、Sn、Ni、Au、Ag、鈦(Ti)、鎢(W)、或是其它適當的導電材料,以形成z方向垂直的互連導電貫孔或電鍍的穿透孔洞(PTH)174。在其中核心基板172是一模製化合物的某些實施例中,該模製化合物係被沉積在貫孔174周圍,該些貫孔174係預先被形成為導電柱。
或者是,一導電層係利用PVD、CVD、電解的電鍍、無電的電鍍、或是其它適當的金屬沉積製程而被形成在該些穿透貫孔的側壁之上,並且該些穿透貫孔的一中心部分係被填入一種例如是Cu膏的導電的填充物材料、或是一種例如是一聚合物插塞的絕緣填充物材料。在某些實施例中,接點墊以及一鈍化層係被形成在PCB單元170的頂表面以及底表面上。PCB單元170係包含一被保留為切割道176的中央區域。切割道176係接著在單粒化半導體晶粒124時被切割穿過,其中在切割道176的每一側上的導電貫孔174係與一相關的半導體晶粒124而被封裝。
圖3b係描繪載體160的一區域的平面圖,其係展示四個半導體晶粒124。在圖3b中,半導體晶粒124的主動表面130係被定向為朝向載體160,並且背表面128係被定向為朝向觀看者。如同在圖3a中所示,每一個半導體晶粒124的兩旁是兩個PCB單元170。圖3b的視圖亦透露出PCB單元179,該些PCB單元179在圖3a的橫截面中是不可見的。PCB單元179係類似於PCB單元170,但是被形成或單粒化為一不同的長度及寬度,並且包含導電貫孔174的一不同的配置。每一個PCB單元179係包含和一第一半導體晶粒124相關的導電貫孔的一群組180、以及和一第二半導體晶粒124相關的導電貫孔的一群組182。每一個PCB單元179的一區域188係維持被保留為其中一雷達天線接著將會被形成的一位置。在某些實施例中,一雷達天線以及接地層係在將PCB單元179設置在載體 160上之前,先被形成在PCB單元179的相反側之上。在該舉例說明的實施例中,導電貫孔174的群組182係在靠近該群組的中心無導電貫孔174之下加以形成的,以降低干擾到一連接半導體晶粒124至待被形成在區域188中的天線的傳輸線。切割道177係描繪其中半導體晶粒124係接著穿過PCB單元179而被單粒化的位置,以形成一最終經單粒化的封裝。
在圖3c中,一密封劑或模製化合物190係利用一膏印刷、壓縮模製、轉移模製、液體密封劑模製、真空疊層、旋轉塗覆、或是其它適當的塗覆器,而被沉積在包含半導體晶粒124、PCB單元170及PCB單元179的載體160之上,以作為一種絕緣材料。尤其,密封劑190係覆蓋半導體晶粒124的側表面及表面128、以及PCB單元170及179的側表面及頂表面。密封劑190可以是聚合物複合材料,例如是具有填充物的環氧樹脂、具有填充物的環氧丙烯酸酯、或是具有適當的填充物的聚合物。密封劑190是非導電的,並且在環境上保護該半導體裝置免於外部的元素及污染物。密封劑190亦保護半導體晶粒124免於由於曝光的劣化。密封劑190、半導體晶粒124、以及該些PCB單元170及179係一起在載體160上形成一重組晶圓192。
在圖3d中,重組晶圓192係被翻轉並且接合在一具有選配的介面層209的選配的類似於載體160的第二載體208上。一絕緣或鈍化層210係被形成在半導體晶粒124、密封劑190、PCB單元170、以及PCB單元179之上。絕緣層210係包含一或多層的二氧化矽(SiO2)、矽氮化物(Si3N4)、氮氧化矽(SiON)、五氧化二鉭(Ta2O5)、鋁氧化物(Al2O3)、阻焊劑、其它具有類似的絕緣及結構的性質之材料。絕緣層210係包含一與半導體晶粒124相對的表面,其係橫跨重組晶圓192實質平坦的。絕緣層210的一部分係藉由LDA、蝕刻、或是其它適當的製程來加以移除,以露出在半導體晶粒124上的導電層132以及該些PCB單元的導電貫孔174,以用於後續的電互連。
一導電層212係利用PVD、CVD、電解的電鍍、無電的電鍍、或是其它適當的金屬沉積製程,而被形成在絕緣層210以及重組晶圓192之上。導電層212係包含一或多層的Al、Cu、Sn、Ni、Au、Ag、或是其它適當的導電材料。在一實施例中,導電層212係包含一具有Ti/Cu、TiW/Cu、或是一偶合劑/Cu的黏著或晶種層。另一種具有良好的濕式蝕刻選擇性的金屬(例如是Ni、Au或是Ag)係被選配地加到該晶種層。該晶種層係藉由濺鍍、無電的電鍍、或是藉由結合無電的電鍍的沉積疊層的Cu箔來加以沉積的。導電層212係透過在絕緣層210中的開口來電連接至導電層132以及導電貫孔174。
根據半導體晶粒124的設計及功能,導電層212的部分可以是電性共通或是電性隔離的。部分212A是導電線路,其係運作為一重分佈層(RDL)以扇出,並且從半導體晶粒124的導電層132延伸電連接至導電貫孔174。導電線路212A係被半導體晶粒124使用來往返於在PCB 52上的其它裝置發送及接收數位及類比信號。該些信號係行進通過導電貫孔174而往返於接著所形成的背面互連。
導電層212係包含耦接至接點墊132B的傳輸線212B。傳輸線212B係將半導體晶粒124連接至天線212C,該天線212C係被形成為導電層212的部分,並且可見於圖3e的平面圖中。天線212C係在PCB單元179之上的區域188的一覆蓋區之內,被形成在重組晶圓192的表面198之上。在一實施例中,傳輸線212B是一半波長的傳輸線,並且天線212C是一雙極天線,其係具有兩個從傳輸線212B延伸在相反的方向上的四分之一波長的區段。天線212C係被半導體晶粒124使用來發送及接收雷達信號。
一絕緣或鈍化層214係被形成在導電層212及絕緣層210之上。絕緣層214係包含一或多層的SiO2、Si3N4、SiON、Ta2O5、Al2O3、或是其它具有類似的絕緣及結構的性質之材料。絕緣層214係包含一相對半導體晶粒124的 表面,其係橫跨重組晶圓192實質平坦的。為了環境的保護,絕緣層214係在最終的產品中維持覆蓋導電層212。離開及回到天線212C的雷達信號係在無顯著的信號損失下行進穿過絕緣層214。
在圖3f中,重組晶圓192係被翻轉且設置在一具有選配的介面層218的選配的載體216上。密封劑190係利用研磨機194或是其它適當的機械式或蝕刻製程來進行一背面研磨操作,以降低重組晶圓192的一厚度,並且露出PCB單元170及179的導電貫孔174。該背面研磨操作係讓重組晶圓192的新的背表面196橫跨該重組晶圓的整個寬度實質均勻且平面的。在背面研磨之後,密封劑190的一部分係維持在半導體晶粒124之上。在其它實施例中,該背面研磨操作係露出半導體晶粒124的背表面128、或是移除該半導體晶粒的一部分以降低該半導體晶粒的一厚度。
重組晶圓192係包含背表面196以及前表面198。背表面196係包含密封劑190、核心基板172、以及導電貫孔174的表面,其全部大致是共面的。在某些實施例中,半導體晶粒124的背表面128係被露出,並且大致和背表面196的部分共面的。前表面198係包含密封劑190、核心基板172及導電貫孔174的表面、以及半導體晶粒124的主動表面130,其全部大致是共面的。導電貫孔174係在背表面196以及前表面198都被露出,以作為一穿過密封劑190的z方向的垂直的互連。
圖3g係描繪一背面重分佈層以及接地面,其係被形成在重組晶圓192的背表面196之上。一選配的絕緣或介電層200係被形成在表面196之上。絕緣層200係包含一或多層的SiO2、Si3N4、SiON、Ta2O5、Al2O3、或是其它具有類似的絕緣及結構的性質之材料。絕緣層200係延伸橫跨重組晶圓192。絕緣層200的一部分係藉由LDA、蝕刻、或是其它適當的製程來加以移除,以露出導電貫孔174以用於後續的電互連。在其中半導體晶粒124係從密封劑190被 露出的實施例中,絕緣層200係提供絕緣給半導體晶粒124的背表面128。
一導電層202係利用PVD、CVD、電解的電鍍、無電的電鍍、或是其它適當的金屬沉積製程,而被形成在絕緣層200及重組晶圓192之上。導電層202係包含一或多層的Al、Cu、Sn、Ni、Au、Ag、或是其它適當的導電材料。在一實施例中,導電層202係包含一具有Ti/Cu、TiW/Cu或是一偶合劑/Cu的黏著或晶種層。其它具有良好的濕式蝕刻選擇性的金屬(例如是Ni、Au、或Ag)係選配地被加到該晶種層。該晶種層係藉由濺鍍、無電的電鍍、或是藉由結合無電的電鍍的沉積疊層的Cu箔來加以沉積的。導電層202係透過在絕緣層200中的開口來電連接至導電貫孔174。
根據半導體晶粒124的設計及功能,導電層202的部分可以是電性共通或是電性隔離的。尤其,導電層202係包含接點墊以及形成一扇出或扇入重分佈層的信號線路。接點墊202A係提供用於後續待被形成的互連結構的位置。導電線路202C(可見於圖3i的平面圖中)係操作以扇出,並且從導電貫孔174橫跨背表面196來延伸電連接。導電層202亦包含一接地面202B。接地面202B係被形成在PCB單元179的一覆蓋區之內的與天線212C相對的區域188之上,並且運作為一用於該天線的接地面,其係給予該天線方向性。
在一實施例中,半導體晶粒124係利用天線212C來發送及接收雷達信號。雷達信號係從天線212C被產生為電磁輻射,其係從接地面202B行進離開。該些雷達信號係從在表面198之上的遠端的物體反射出來,並且返回到天線212C。被反射的電磁輻射撞擊天線212C係產生一電性信號,其係透過傳輸線212B而回到半導體晶粒124。半導體晶粒124係量測在利用天線212C發送一雷達信號與接收到該反射的信號之間的時間量。到一反射的信號被接收到為止的時間係被半導體晶粒124利用來計算該物體在表面198之上的距離。
接地面202B係被形成在PCB單元179的導電貫孔群組182之上。 導電貫孔174的群組182係透過接地面202B來電耦接至接地。導電貫孔174係垂直地延伸在天線212C以及半導體晶粒124之間,以提供額外的屏蔽給該半導體晶粒。PCB單元179亦藉由導電線路212A來電連接至半導體晶粒124,以提供一接地連接至該半導體晶粒。
在圖3h中,一絕緣或鈍化層204係被形成在絕緣層200以及導電層202之上。絕緣層204係包含一或多層的SiO2、Si3N4、SiON、Ta2O5、Al2O3、或是其它具有類似的絕緣及結構的性質之材料。絕緣層204係依循導電層202的輪廓。於是,絕緣層200以及導電層202的露出的部分係被絕緣層204所覆蓋。絕緣層204係包含一與半導體晶粒124相對的表面,其係橫跨重組晶圓192實質平坦或是平面的。絕緣層204的一部分係藉由LDA、蝕刻、或是其它適當的製程來加以移除,以露出導電層202以用於後續的電互連。
一種導電的凸塊材料係利用一蒸鍍、電解的電鍍、無電的電鍍、球式滴落、或是網版印刷製程來沉積在導電層202之上。該凸塊材料可以是具有一選配的助熔溶劑的Al、Sn、Ni、Au、Ag、鉛(Pb)、鉍(Bi)、Cu、焊料、以及其之組合。例如,該凸塊材料可以是共晶Sn/Pb、高鉛的焊料、或是無鉛的焊料。該凸塊材料係利用一適當的附接或接合製程而被接合到導電層202。在一實施例中,該凸塊材料係藉由加熱該材料超過其熔點來加以回焊,以形成球體或凸塊206。在某些應用中,凸塊206係被回焊第二次以改善至導電層202的電性接觸。在一實施例中,凸塊206係被形成在一凸塊下金屬化(UBM)層之上。凸塊206亦可被壓縮接合或是熱壓接合到導電層202。凸塊206係代表一種可被形成在導電層202之上的互連結構的類型。該互連結構亦可以使用接合線、導電膏、柱形凸塊、微凸塊、或是其它的電互連。
凸塊206係提供一球柵陣列連接至PCB 52或是另一基板。凸塊206A係被形成在PCB單元170之上,並且藉由接點墊202A來電連接至一導電貫 孔174。當被安裝到一基板時,凸塊206A係透過導電貫孔174以及導電線路212A來提供連接至半導體晶粒124的主動表面130。凸塊206B係被形成在接地面202B之上。凸塊206B係提供給接地面202B一連接至一來自該下面的基板的接地信號。在某些實施例中,複數個凸塊206B係設置以增加往返於接地面202B的電流容量。
圖3i係展示重組晶圓192的背表面196,其中導電的凸塊206係橫跨該重組晶圓散開。絕緣層204並未被描繪,因而導電層202係可見的。某些凸塊206(被標示為凸塊206A)係直接被設置在PCB單元170及179之上、或是在PCB單元170及179的一覆蓋區之內。凸塊206A係藉由接點墊202A來耦接至下面的導電貫孔174。凸塊206B係被直接形成在接地面202B上而且是在其之上。每一個接地面202B係包含六個被形成在該接地面上的凸塊206B,但是在其它實施例中,每一接地面更多或較少的凸塊係被使用。凸塊206C係遠離PCB單元170及179,例如是在半導體晶粒124之上而被形成。凸塊206C係被形成在接點墊202A上(在該舉例說明的實施例中,該些接點墊202A係被該些凸塊隱蔽而看不到),並且藉由導電線路202C來耦接至導電貫孔174。凸塊206C係提供一用於該球柵陣列的扇入配置。在其它實施例中,導電線路202C係被用來扇出凸塊206C。類似於圖3h中的接點墊202A,導電線路202C係透過絕緣層200的開口來電連接至導電貫孔174。
在圖3j中,重組晶圓192係利用鋸刀或雷射切割工具220穿過切割道176及177,以穿過PCB單元170及179的核心基板172以及絕緣層200、204、210及214而被單粒化來產生複數個半導體封裝230,每一個半導體封裝230係包含一雷達收發器晶粒以及一自界定的天線。圖4係展示一在單粒化之後的收發器封裝230。半導體晶粒124係藉由傳輸線212B來耦接至天線212C。半導體晶粒124係利用傳輸線212B及天線212C來傳送及接收雷達信號。該些信號係被導引 在半導體晶粒124的主動表面130之上,因為接地面202B係在相反的方向上反射信號。
在天線212C以及接地面202B之間的距離係藉由PCB單元170及179的厚度來加以界定或是控制的。例如,根據待被使用的所要的雷達頻率,不同厚度的PCB單元係被用來改變在接地面202B以及天線212C之間的距離。在其中77GHz的雷達信號被發送及接收的一實施例中,在接地面202B以及天線212C之間的距離係在0.5到0.6毫米之間,並且封裝230的總高度係大約0.8毫米。針對於除了77GHz之外的頻率下的應用,PCB單元170及179的高度係依此被調整。
收發器封裝230係被安裝在PCB 52之上以供利用。半導體晶粒124係透過導電線路212A、導電貫孔174、導電線路202C、接點墊202A、以及導電的凸塊206,來耦接至在一共同或分開的PCB 52上的其它構件。一處理器或控制器係和半導體晶粒124通訊,以從該天線接收有關於物體在天線212C之上的距離的資訊。
在一實施例中,複數個收發器封裝230係被設置在一機動車輛上的各種位置處的分開的PCB 52上。一控制器或處理器係和該複數個收發器封裝230通訊,以判斷物體是否在該車輛的附近。當在該機動車輛的附近的物體造成一潛在的危險時,例如是一牆壁或其它障礙物在該第一機動車輛的行進方向上,則該控制器係警告該車輛的駕駛要注意該障礙物、或是自動地作動該車輛的剎車。
在另一實施例中,收發器封裝230係被用來判斷至行進在一包含收發器封裝230的第一機動車輛的前面的一第二機動車輛的一距離。該第一機動車輛的速度係根據來自半導體晶粒124的信號來加以控制,以便於在該第一機動車輛以及行進在該第一機動車輛的前面的該第二機動車輛之間維持一相對 固定的距離。在其它實施例中,天線212C係被使用於除了雷達的發送及接收之外的其它目的。
圖5係描繪一替代的收發器封裝實施例的一平面圖。收發器封裝330係包含PCB單元340及342,其係圍繞半導體晶粒124、接地面350、以及天線352。類似於收發器封裝230,天線352以及接地面350係被形成在一重組晶圓的相反側上。然而,收發器封裝330係欠缺一在天線352以及半導體晶粒124之間的PCB單元。在另一方面,天線352以及半導體晶粒124係一起被PCB單元340及342所圍繞,其中某些導電貫孔174係耦接至一接地參考電壓信號,以提供良好的屏蔽免於外部的影響。收發器封裝330係包含和天線352一起被形成為一導電層的部分的導電線路360。導電線路360係類似於導電線路212C,並且將半導體晶粒124耦接至PCB單元340及342的導電貫孔174。收發器封裝330係包含導電線路和接地面350一起被形成為一導電層的部分,以將該些PCB單元的導電貫孔174耦接至被形成在該收發器封裝的底部上的導電的凸塊206。收發器封裝330係包含用於環境的保護的絕緣層214。收發器封裝330係類似於收發器封裝230來操作,但是其係包含一修改的PCB單元佈局。
圖6a-6c係描繪其中一天線被形成在該收發器封裝的一與半導體晶粒124的主動表面130相對的表面上的一實施例。圖6a係描繪收發器封裝430的正面側,其中半導體晶粒124的主動表面130係面對觀看者。一接地面432係被形成在PCB單元434之上。接地面432係包含一在PCB單元434之上的切口,因而PCB單元434的至少兩個導電貫孔174並未被該接地面所覆蓋。傳輸線436係耦接半導體晶粒124的接點墊132至PCB單元434的導電貫孔174。傳輸線436及導電貫孔174係耦接將從半導體晶粒124發送的信號至在半導體封裝的背面側,亦即半導體晶粒124的背表面128所面對的封裝側上的傳輸線438。和傳輸線436一起形成的額外的導電線路並未被描繪,但是其係將導電的凸塊206耦接至半導體 晶粒124的接點墊132。
圖6b係描繪收發器封裝430的背面側,其中半導體晶粒124的背表面128係面對觀看者。天線440係被形成為一在接地面432之上的導電層,並且藉由傳輸線438來耦接至PCB單元434的導電貫孔174。天線440係進一步藉由導電貫孔174及傳輸線436來耦接至在主動表面130上的接點墊132。如同在先前的實施例中,天線440係被形成在收發器封裝430的一與接地面432相對的表面上。然而,天線440係與主動表面130相對地加以形成,此係不同於先前所描繪的實施例。
圖6c係描繪收發器封裝430的一橫截面圖。半導體晶粒124的主動表面130係向下面對導電的凸塊206。接地面432係被形成在收發器封裝430的底表面上。天線440係被形成在收發器封裝430的頂表面之上。天線440係與接地面432直接相對地加以形成。天線440係透過傳輸線438、導電貫孔174、以及傳輸線436來耦接至半導體晶粒124的接點墊132。在另一橫截面中,接地面432係延伸在PCB單元434的導電貫孔174之上,並且透過導電貫孔174來耦接至半導體晶粒124的接點墊132。導電線路444及凸塊206A係提供用於在半導體晶粒124以及一外部的處理器或控制器之間的信號的互連。凸塊206B係提供來自一基板的一接地電壓參考至接地面432以及半導體晶粒124的連接。在某些實施例中,複數個圍繞半導體晶粒124的導電貫孔174係透過凸塊206B、接地面432、以及導電線路444來耦接至接地,以提供屏蔽給半導體晶粒124。
圖7係描繪收發器封裝450,其中兩個金屬層係被形成在該封裝的每一側之上。絕緣層460係以一種類似在圖3g中的絕緣層200的方式而被形成在半導體晶粒124的背面側之上。開口係穿過絕緣層460而被形成,並且導電層462係以一種類似在圖3g中的導電層202的方式,穿過絕緣層460而被形成耦接至導電貫孔174。導電層462係包含一接地面462B、以及用以從導電貫孔174扇 出或扇入互連的接點墊及導電線路。絕緣層464係被形成在絕緣層460及導電層462之上。開口係被形成在導電層462之上的絕緣層464中。導電層466係被形成在導電層462及絕緣層464之上,並且透過在絕緣層464中的開口來接觸導電層462。絕緣層468係被形成在導電層466之上。開口係被形成在絕緣層468中,並且導電的凸塊206係透過在絕緣層468中的開口而被形成在導電層466上。收發器封裝450係在半導體晶粒124的背表面128之上包含兩個金屬層。具有多個金屬層係容許實施額外的電性功能。一用於收發器封裝450的雷達天線的接地面可被形成為該第一金屬層462、第二金屬層466、或是額外的金屬層(當超過兩個金屬層被使用時)的部分。
收發器封裝450係以一種類似絕緣層460、464及468以及導電層562及466的方式,而包含被形成在該重組晶圓的正面側之上的絕緣層480、484及488以及導電層482及486。導電層482係包含導電線路以及接點墊,以從半導體晶粒124扇出互連至導電貫孔174。導電層486係包含一天線486A,其係類似於天線212C、352及440。天線486A係在收發器封裝450的一相反側上被形成在接地面462B之上。導電線路486B亦被形成為導電層486的一部分,以從半導體晶粒124扇出互連。一用於收發器封裝450的天線可被形成為該第一金屬層482或第二金屬層486、或是其它金屬層(當超過兩個金屬層被使用時)的部分。
任意所要的數目的額外的金屬層都可被形成在半導體晶粒124的任一側之上。在背表面128之上的金屬層數目並不需要是與在主動表面130之上的金屬層數目相同的。增加額外的層係容許有更複雜的扇出繞線以及其它電性特點,此在只有單一金屬層之下是具有挑戰性的。額外的金屬層可以被增加在先前論述的實施例的任一個的任一側上,以增加該些實施例的功能。
圖8a-8i係描繪利用一虛設或犧牲晶粒以形成用於一雷達收發器封裝的一背面RDL。在圖8a中,一虛設半導體晶粒500係被設置,其係包含一 被形成在該虛設晶粒之上的顛倒的RDL 502。半導體晶粒500係類似於半導體晶粒124,但是通常並不包含被形成在一主動表面中的主動電路。在一實施例中,RDL 502係在虛設晶粒500維持是一較大的半導體晶圓的部分時被形成。在其它實施例中,一玻璃晶圓、PCB、或是模具互連基板係被使用於虛設晶粒500,而不是半導體材料。
絕緣層504係被形成在晶粒500之上。在某些實施例中,絕緣層504係運作為一蝕刻停止層,以用於在一後續的處理步驟中移除晶粒500。接點墊506係被形成在絕緣層504之上並且在絕緣層508之內。一RDL層510係被形成在接點墊506及絕緣層508之上。一鈍化層512係被形成在RDL層510之上。
在圖8b中,半導體晶粒124係被設置在載體520上,其中主動表面130係被定向朝向該載體。一選配的介面層522係被設置在載體520以及半導體晶粒124之間。虛設晶粒500係被設置在半導體晶粒124之上,其中RDL 502係被定向朝向半導體晶粒124。一永久的黏著層526係被沉積在半導體晶粒124上,以將RDL 502接合至背表面128。在某些實施例中,半導體晶粒124以及虛設晶粒500係以晶圓形式而被設置在載體520上,並且接著在利用黏著劑526接合之後被單粒化,以產生個別的晶粒單元528。在其它實施例中,單粒化的虛設晶粒500係被設置在維持為晶圓120的半導體晶粒124上。
在圖8c中,PCB單元530及540係被設置在相鄰的晶粒單元528之間的載體520上。在其它實施例中,來自圖8b的晶粒單元528係被單粒化,並且和PCB單元530及540一起被設置在一個別的載體上。如同PCB單元170,PCB單元530係包含核心基板172以及導電貫孔174。此外,PCB單元530係包含被形成在核心基板172的表面之上的接點墊532及鈍化層534。PCB單元540係包含核心基板172,其中接地面542是在該PCB單元的一第一表面上。鈍化層544係被形成在接地面542之上。PCB單元540進一步包含被形成在核心基板172的一與接地面 542相對的表面之上的接點墊546、傳輸線547、以及天線548。天線548係類似於天線212C。PCB單元540係包含在切割道176的相反側上的分開的接地面542及天線548,切割道176的每一側係和在PCB單元540的相反側上的一不同的半導體晶粒124相關的。在某些實施例中,PCB單元530係被設置在每一個半導體晶粒124的三個側邊上,並且一PCB單元540係被設置在每一個半導體晶粒的一側邊上,並且被兩個相鄰的半導體晶粒所共用。
在圖8d中,一密封劑或模製化合物550係利用一膏印刷、壓縮模製、轉移模製、液體密封劑模製、真空疊層、旋轉塗覆、或是其它適當的塗覆器,而被沉積在包含晶粒單元528、PCB單元530、以及PCB單元540的載體520之上以作為一種絕緣材料。在一實施例中,密封劑550係完全覆蓋虛設晶粒500。
在圖8e中,包含晶粒單元528、PCB單元530及PCB單元540的重組晶圓係被翻轉並且設置在具有選配的介面層554的載體552上。一介電層560係被形成在半導體晶粒124的主動表面130以及PCB單元530及540之上。一導電層562係被設置在介電層560上,並且透過介電層560的開口來耦接至PCB單元530、PCB單元540及半導體晶粒124的接點墊。導電層562係被圖案化以從半導體晶粒124的接點墊132形成一扇出圖案至PCB單元530的天線548以及PCB單元540的導電貫孔174。一鈍化層564係被形成在介電層560及導電層562之上,以用於電性隔離以及環境的保護。
在圖8f中,該重組晶圓係被翻轉並且置放在選配的背面研磨帶568上。一背面研磨操作係利用背面研磨工具570來加以執行,以移除虛設晶粒500並且露出PCB單元530、PCB單元540的接點墊以及RDL 502。接點墊132、接點墊532、以及接地面542全部大致是相隔背面研磨帶568相同的距離,因而所有的接點墊都是在一類似的背面研磨深度被露出。一清洗製程係在背面研磨 之後被執行,以移除表面金屬殘留物以及銅氧化。在某些實施例中,載體552係在背面研磨之前,藉由例如是一熱或是UV釋放而被移除。在圖8g中,導電的凸塊572係被形成在接點墊132、接點墊532、以及接地面546上。導電的凸塊572係類似於導電的凸塊206。在某些實施例中,該重組晶圓係在該凸塊接合製程期間被設置在一選配的熱支撐帶上,並且一選配的夾頭可被使用。
在圖8h中,半導體晶粒124係穿過PCB單元530及540而被單粒化,以分開該些半導體晶粒成為個別的收發器封裝580。每一個封裝580係包含被形成在該封裝的相反側上的一天線548以及接地面542。
在圖8i中,一收發器封裝580係被設置到PCB、主機電路板、或是其它基板590之上。基板590係包含接點墊594以及導電線路592。導電的凸塊572係被回焊以將封裝580冶金且電耦接至基板590。導電線路592係透過導電層562以及導電貫孔174來將半導體晶粒124電耦接至被設置在基板590上的其它電路構件。封裝580係包含一自界定的天線,其中在天線548與接地面542之間的距離係藉由PCB單元530及540的厚度所界定的。
圖9a-9f係描繪形成具有天線設計及接地面的PCB或eBar單元,其係被形成以用於內含到一半導體封裝之中。圖9a係描繪一核心基板600,其係類似於以上的核心基板172。核心基板600可以是任何適當的絕緣材料。在某些實施例中,核心基板600係包含低Dk及低Df的材料,尤其是在超過10個十億赫(GHz)的頻率下。核心基板600的一厚度係根據所預期的發送頻率、天線設計、以及其它的考量,而被選擇為在一接地面與一天線之間的一所要的距離。複數個PCB單元係一次被形成在核心基板600上,其係藉由切割道602來加以分開。在某些實施例中,一選配的絕緣層係被形成在基板600的頂表面、底表面、或是該兩個表面之上。該絕緣層係容許特殊的材料能夠被加入,以使得基板600的介電性質強化或滿足至特定的情況。在一實施例中,至少該頂端兩個 介電層是低損失的材料。
在圖9b中,複數個開口或貫孔604係藉由雷射鑽孔、機械式鑽孔、或是其它適當的手段,穿過核心基板600來加以形成。開口604係在圖9c中被填入銅、鋁、或是其它導電材料,以形成導電貫孔606。在某些實施例中,基板600的頂表面及底表面係被平坦化,以確保導電貫孔606係與該基板的平面共表面的、或是達成該基板的一所要的最終的厚度。
在圖9d中,銅導電層係被電鍍在基板600的頂端及底部上,以形成天線610、接點墊611、以及接地面612。在其它實施例中,不同於銅的導電材料係被使用。每一個天線610係藉由一導電貫孔606來電耦接至在基板600的一相反側上的一接點墊611。接地面612可以包含被形成為相同的導電層的部分的接點墊、或是接著被形成的導電層或凸塊可以直接耦接在該接地面上的任何地方。天線610是在對應的接地面612的正對面,使得該些接地面作用為用於該些天線的反射的表面。在天線610以及對應的接地面612之間的距離係藉由調整基板600的一厚度來加以配置。
在圖9e中,絕緣或鈍化層614及616係選配地形成在天線610、接點墊611、以及接地面612之上。在圖9f中,鋸刀或雷射切割工具620係被用來單粒化基板600成為複數個PCB單元625。PCB單元625分別在一小的獨立的單元中包含與一天線610配對的一接地面612。圖9a-9f的製程可以在基板600的不同的厚度下被執行多次,此係產生具有各種的天線配置的PCB單元625。基板600的厚度可以藉由改變在一多層結構中的層的數目或厚度、或是藉由增加不同數目或厚度的層到核心基板600之上來加以配置。在某些實施例中,該多層結構係具有被形成在該低損失的核心基板600之上的介於Cu層之間的低Dk及Df的堆積的介電層,例如是低損失的預浸料或ABF。該些所產生的PCB單元625可以與彼此混合並且匹配到半導體封裝之中,以在單一半導體封裝中具有多個天線配 置。
在一實施例中,PCB單元625是一模製的互連系統(MIS),其係具有全電鍍的堆積的銅層以及穿過低損失的模製化合物、模具片、或是ABF的銅柱。在另一實施例中,PCB單元625係被形成具有一典型的核心及預浸料,其中朝向天線610的最外側的層是2個具有低Dk及Df材料的介電層,其厚度係根據該些PCB單元的整體所要的厚度來加以設計的。
圖10a-10f係展示形成半導體封裝,其中PCB單元625係被內嵌以提供天線功能。在圖10a中,半導體晶粒124以及PCB單元625係利用一拾放製程而被設置在一載體630之上。介面層632是一選配的可釋放的黏著、熱釋放、或是UV釋放層,其係被設置在載體630之上以暫時將PCB單元625以及半導體晶粒124保持在適當的地方。每一個半導體晶粒124係與在切割道636之間的兩個PCB單元625群集,以形成分別具有一對天線610的半導體封裝。在其它實施例中,單一半導體晶粒124係與每一封裝具有單一PCB單元625、或是超過兩個PCB單元配對的。
半導體晶粒124係被設置在載體630上,其中主動表面130係被定向朝向該載體。PCB單元625係被設置在載體630上,其中接點墊611及接地面612係被定向朝向該載體。主動表面130以及接點墊611在載體630上的相對的定位係容許一接著形成的導電層能夠便利地透過導電貫孔606及接點墊611來連接天線610至接點墊132。
在每一個單元中的PCB單元625可被形成具有一不同厚度的基板600,以針對於不同的頻率來最佳化該兩個天線。PCB單元625的厚度可以與彼此無關地,並且與半導體晶粒124的一厚度無關地加以選擇。在某些實施例中,PCB單元625中的一或多個係比半導體晶粒124更厚的,以針對於不同的發送頻率而被最佳化。該些天線610亦可以是用於不同目的之不同類型的天線。 在圖10b中,半導體晶粒124以及PCB單元625係被密封劑640所覆蓋,以形成一重組晶圓642。在某些實施例中,密封劑640係進行固化、背面研磨、以及雷射標記的製程。
圖10c係展示重組晶圓642是藉由熱釋放、UV釋放、機械式剝離、或是任何其它適當的機制而從載體630來加以移開。重組晶圓642可加以翻轉,並且被設置在一第二載體上。絕緣層643係由任何適當的絕緣材料並且利用任何適當的薄膜沉積技術,而被形成在重組晶圓642之上。開口係藉由雷射剝蝕、化學蝕刻、或是其它適當的製程而穿過絕緣層643及絕緣層616來加以形成,以露出接地面612、接點墊611、以及接點墊132的部分以用於電互連。
一導電層644係被形成在絕緣層643之上,並且延伸到該些開口中以實體且電性地接觸接地面612、接點墊611、以及接點墊132。導電層644係由銅、鋁、或是任何其它適當的導電材料,利用任何適當的加成(additive)、半加成、或是減成(subtractive)金屬沉積技術來加以形成。導電層644係包含用於下一層級的電互連的接點墊、以及用以連接接點墊132及接地面612至導電層644的接點墊的導電線路。導電層644亦包含導電線路以將接點墊132電耦接至接點墊611,並且透過導電貫孔606來進一步電耦接至天線610。
在圖10d中,絕緣或鈍化層645係被形成在導電層644之上。開口係被形成在絕緣層645中,以露出導電層644的接點墊。凸塊646係被形成在該些開口中,以用於後續的集積到一較大的電子裝置之中。凸塊646係藉由任何適當的製程(包含一球式滴落製程或是一焊料膏印刷製程)來加以形成。一凸塊下金屬化係選配地被形成在凸塊646之下。在某些實施例中,額外的RDL層係在形成凸塊646之前,先被形成在導電層644之上以實施更複雜的信號繞線。在其它實施例中,凸塊646係直接被形成在接地面512上。
在圖10e中,重組晶圓642係利用鋸刀或雷射切割工具648而被單 粒化成為複數個半導體封裝650,其可被儲存在一捲帶中以用於分發。圖10f係展示半導體封裝650,其係具有用於主動功能的半導體晶粒124、以及一對耦接至該半導體晶粒的天線610,以廣播及接收電磁信號。在PCB單元625的相反側上形成天線610及接地面612係在該天線及接地面之間達成良好的垂直分開。導電貫孔606係提供從半導體晶粒124至天線610的一垂直的連接。使得天線610和半導體晶粒124水平地分開係將該天線與潛在由該半導體晶粒中的RF電路所引起的非所要的雜訊隔離開。用於每一個天線的基板600的厚度可以相關於廣播頻率以及天線類型,獨立有彈性地加以選擇。
圖11a-11c係描繪具有一次要的天線PCB的一實施例。類似於在圖10a中描繪的狀態,圖11a係展示PCB單元700相鄰半導體晶粒124而被設置在載體630上。在某些實施例中,PCB單元700是一模具互連系統(MIS)。PCB單元700係實質類似於上述的PCB單元625,但是具有被形成在核心基板600的頂表面及底表面上的不同配置的導電層。類似於上述的天線610及接地面612,PCB單元700係選配地包含被形成在核心基板600的頂端上的天線710、以及被形成在該核心基板的底部上的接地面712。一或多個導電貫孔716係穿過核心基板600來加以形成,以提供一接地連接至該頂表面,並且一接地面、接點墊、或是其它接地的結構718係在一導電層中和天線710一起被形成在該頂表面上。PCB單元700係在其它橫截面中包含導電貫孔606,以耦接天線710至其個別的PCB單元700的底表面,因此容許該些天線透過接著形成的RDL連接至半導體晶粒124。在其它實施例中,PCB單元700是一玻璃互連單元,其係具有在頂表面及底表面的RDL以及一完整或部分的天線結構。
半導體晶粒124以及PCB單元700係被設置在載體630上,並且接著一次要的PCB單元730係被設置在該半導體晶粒以及下方的PCB單元之上。PCB單元730係類似於以上的PCB單元700及625。PCB單元730係包含一核心基 板732。在一實施例中,核心基板732是一低損失的基板。天線734以及接地面736係被形成在核心基板732的與半導體晶粒124相對的頂表面之上的一導電層中。在一實施例中,天線734是貼片天線。接點墊738係被形成在核心基板732的一與天線734及接地面736相對的表面上。導電貫孔740係穿過核心基板732來加以形成,以電耦接接點墊738至天線734及接地面736。該些具有天線734、接地面736、以及接點墊738的舉例說明的導電層係包含用於視需要的信號繞線的導電線路。鈍化層742及744係為了該些導電層的結構完整性而被形成在PCB單元730的表面之上。在其它實施例中,PCB單元730是一模具互連系統(MIS)。凸塊746係被形成在絕緣層744的開口中的接點墊738上。凸塊746可以是Sn、Sn合金、銅核心焊料球(CCSB)、固化的導電膏、銅柱、或是其它適當的互連結構。在將PCB單元730設置在PCB單元700之上後,凸塊746係被回焊以將該些PCB單元機械式且電性地耦接在一起。
圖11b係描繪一替代的製程,其中PCB單元700係先被安裝到PCB單元730,並且接著該些PCB單元係全部一起被設置到載體630之上,而在半導體晶粒124之上。PCB單元730係被製造並且接著被定向,其中接點墊738係被定向為向上的。PCB單元700係被安裝到PCB單元730之上。PCB單元700及730的組合係接著被翻轉,並且利用一拾放操作或是其它適當的操作而被設置在半導體晶粒124之上。
半導體晶粒124、PCB單元700、以及PCB單元730係被模製在一密封劑750中,以形成一如同在圖10b中所繪的面板。一堆積的互連結構係被形成在該面板之上,其係接著如同在圖10e中所繪地被單粒化以形成一在圖11c中的半導體封裝748。半導體封裝748係包含與PCB單元730的天線734垂直地對準的PCB單元700的天線710。天線710係透過導電貫孔606及導電層644來耦接至半導體晶粒124。天線734係透過導電貫孔740、接點墊738、凸塊746、導電貫孔 606、以及導電層644來耦接至半導體晶粒124。
具有垂直對準的天線係在可能的天線配置上提供很大的彈性。天線734及710係結合以形成單一天線結構。一天線734或710可以是主要的貼片天線,而另一個係運作為一反射器。天線710及734可被操作為一天線陣列,以修改輻射的模式。除了具有一藉由在PCB單元730及700之間成對的天線元件所形成的天線結構之外,天線結構可以橫跨PCB單元730的相對的表面來加以分開。
圖12係展示半導體封裝751,其中天線710及734係如同以上在圖11c中的在PCB單元700及PCB單元730之間成對的。此外,PCB單元730係具有被形成在核心基板732的相對的頂表面及底表面上的天線752及754。一介於天線710及天線734之間的第一距離D0係大於一介於天線752及754之間的第二距離D1。核心基板732的厚度以及在PCB單元700及730之間的間隙可被配置以產生具有任何適當的分開距離的成對的天線,以用於多頻帶的應用。該些下方的天線710及754、或是上方的天線734及752可以被位在相隔其個別的天線的不同距離處的接地面或反射器所取代。天線可以用任何適當的組來與其它天線、反射器、或是接地面群集,以形成在該兩個PCB單元或是其之任何兩個表面之間分開的一天線結構。
圖13係描繪半導體封裝770,其係具有一被形成在核心基板732的與半導體晶粒124相對的頂表面上的平面的天線結構772。一選配的接地面或反射器層774係被形成在核心基板732的底表面之上。導電貫孔776係被設置以電耦接平面的天線結構772至半導體晶粒124。平面的天線結構772可以是一實質覆蓋核心基板732的整個覆蓋區的大的天線結構、或是多個隔離的天線結構。該些天線結構可以是線性、方形、圓形、螺旋、多邊形、雙極、或是任何其它適當的天線形狀。該些下方的PCB單元700可包含如同在圖11a-11c及12中 的額外的天線、接地面、或是任何其它有用的電路元件。
圖14a及14b係描繪具有一被形成在該上方的PCB單元中的開口以容納較高的半導體晶粒124的實施例。類似的封裝可被做成具有多個分開的上方的PCB單元,而不是具有一開口的一大的上方的PCB單元,每一個上方的PCB單元係被設置在該些下方的PCB單元700中之一上。圖14a係展示半導體封裝800,其係具有穿過PCB單元730而被形成的開口802。半導體晶粒124係垂直地延伸到開口802之中,在核心基板732的一高度之內。開口802係具有一完全重疊半導體晶粒124的一覆蓋區的覆蓋區,因而即使某種垂直的重疊存在,該上方的PCB單元也不實體接觸到該半導體晶粒。半導體封裝800係包含一類似在圖11c中的天線配置,其係具有在PCB單元700及730之間成對的天線710及734。半導體封裝800亦與以上的實施例的任一個相容的,例如天線752及754可以如同在圖12中的在核心基板732的相對的表面上成對的。
圖14b係展示半導體封裝810,其係具有額外的天線配置選項。核心基板732的左側係包含一天線結構812,例如是一貼片、槽、雙極、或是任何其它適當的天線結構。接地面或反射器814係相鄰天線結構812而被形成在核心基板732上。導電貫孔816係延伸穿過核心基板732以電耦接元件814。在某些實施例中,導電貫孔816係和導電層814一起運作為該接地面或反射器的部分。多個導電貫孔816可以並聯地耦接,以延伸該反射器的尺寸。
核心基板732的右側係展示一被形成在該頂表面上的天線結構820。天線結構820可以是任何適當的天線結構,並且可包含一驅動器以及導向器。一選配的接地面824係被形成在核心基板732的與天線結構820相對的底表面之上。在其它實施例中,在圖14b中描繪的天線配置亦在無開口802下被使用於以上的PCB單元。
儘管本發明的一或多個實施例係已經詳細地描述,但是本領域 技術人員將會體認到可以在不脫離如同在以下的申請專利範圍中闡述的本發明的範疇下,對於那些實施例做成修改及調適。
124:半導體晶粒
130:主動表面
132:導電層
600:核心基板
606:導電貫孔
610:天線
611:接點墊
612:接地面
625:PCB單元
640:密封劑
643:絕緣層
644:導電層
645:絕緣層
646:凸塊
650:半導體封裝

Claims (13)

  1. 一種製造半導體裝置之方法,其係包括:提供一第一基板;在該第一基板之上形成一第一天線元件;提供一第二基板,其包括一第二天線元件;將該第一基板設置在一載體之上;將一半導體晶粒設置在該載體之上;將該第二基板設置於該第一基板上方;以及將密封劑沉積在該載體、該第一基板、該第二基板及該半導體晶粒之上。
  2. 如請求項1所述之方法,其進一步包含形成一導電貫孔,該導電貫孔穿過該第一基板並且電耦接至該第一天線元件。
  3. 如請求項1所述之方法,其進一步包含:形成多個第一天線元件在該第一基板之上;以及單粒化該第一基板成為多個PCB單元。
  4. 如請求項1所述之方法,其進一步包含:移除該載體;以及在移除該載體之後,形成重分佈層在該密封劑、該第一基板、該半導體晶粒之上。
  5. 如請求項4所述之方法,其中該第一天線元件經由該重分佈層而被耦接到該半導體晶粒。
  6. 一種製造半導體裝置之方法,其係包括:提供一第一基板,其係包括一第一天線結構的一第一部分;相鄰該第一基板來設置一半導體晶粒; 在該第一基板之上設置一第二基板,其係包括該第一天線結構的一第二部分;在該第一基板、第二基板、以及半導體晶粒之上沉積一密封劑;以及在該密封劑之上形成一導電層,以將該半導體晶粒耦接至該第一天線結構。
  7. 如請求項6所述之方法,其中該第一天線結構的該第一或第二部分係包含一反射器、導向器、或是接地面。
  8. 如請求項6所述之方法,其中該第一天線結構的該第一部分係包含一接地面或是反射器,並且該第一天線結構的該第二部分係包含一貼片天線。
  9. 如請求項6所述之方法,其進一步包含在該第二基板之上形成該第一天線結構的一第三部分。
  10. 如請求項6所述之方法,其進一步包含:在該第二基板的一第一表面之上形成一第二天線結構的一第一部分;以及在該第二基板的一第二表面之上形成該第二天線結構的一第二部分。
  11. 一種半導體裝置,其係包括:一基板;一接地面,其係被形成在該基板之上;一天線,其係與該接地面相對地被形成在該基板之上;一半導體晶粒,其係相鄰該基板來加以設置;一第二基板,該第二基板包括被形成在該第二基板之上並且相鄰該半導體晶粒而被設置的一第二天線;一密封劑,其沉積在該基板以及半導體晶粒之上;以及一重分佈層,其被形成在該密封劑、基板、以及半導體晶粒之上。
  12. 如請求項11所述之半導體裝置,其中該基板的一厚度係不同於該第二基板的一厚度。
  13. 如請求項11所述之半導體裝置,其進一步包含被形成為穿過該基板的一導電貫孔,其中該天線經由該導電貫孔而被電耦接到該半導體晶粒。
TW107140317A 2017-11-22 2018-11-14 半導體裝置及製造其之方法 TWI741228B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762589978P 2017-11-22 2017-11-22
US62/589,978 2017-11-22
US16/184,134 2018-11-08
US16/184,134 US10636753B2 (en) 2015-07-29 2018-11-08 Antenna in embedded wafer-level ball-grid array package

Publications (2)

Publication Number Publication Date
TW201926625A TW201926625A (zh) 2019-07-01
TWI741228B true TWI741228B (zh) 2021-10-01

Family

ID=67568253

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107140317A TWI741228B (zh) 2017-11-22 2018-11-14 半導體裝置及製造其之方法

Country Status (2)

Country Link
CN (2) CN114551422A (zh)
TW (1) TWI741228B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11545423B2 (en) 2019-12-31 2023-01-03 Powertech Technology Inc. Package structure and manufacturing method thereof
TWI768294B (zh) * 2019-12-31 2022-06-21 力成科技股份有限公司 封裝結構及其製造方法
CN114267664A (zh) 2020-09-16 2022-04-01 鹏鼎控股(深圳)股份有限公司 封装电路结构及其制作方法
US11735530B2 (en) * 2021-08-25 2023-08-22 STATS ChipPAC Pte. Ltd. Semiconductor device and method of integrating RF antenna interposer with semiconductor package
TWI796180B (zh) * 2022-03-24 2023-03-11 矽品精密工業股份有限公司 電子封裝件及其製法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120280366A1 (en) * 2011-05-05 2012-11-08 Telesphor Kamgaing Radio- and electromagnetic interference through-silicon vias for stacked- die packages, and methods of making same
US20130292808A1 (en) * 2012-05-04 2013-11-07 Advanced Semiconductor Engineering, Inc. Semiconductor package integrated with conformal shield and antenna
TW201433001A (zh) * 2013-02-06 2014-08-16 Inpaq Technology Co Ltd 雙頻段天線結構及其製作方法
US20170033062A1 (en) * 2015-07-29 2017-02-02 STATS ChipPAC Pte. Ltd. Antenna In Embedded Wafer-Level Ball-Grid Array Package

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8648454B2 (en) * 2012-02-14 2014-02-11 International Business Machines Corporation Wafer-scale package structures with integrated antennas
CN104037124B (zh) * 2013-03-08 2019-03-26 新科金朋有限公司 形成用于fo-ewlb中电源/接地平面的嵌入导电层的半导体器件和方法
US9461355B2 (en) * 2013-03-29 2016-10-04 Intel Corporation Method apparatus and material for radio frequency passives and antennas

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120280366A1 (en) * 2011-05-05 2012-11-08 Telesphor Kamgaing Radio- and electromagnetic interference through-silicon vias for stacked- die packages, and methods of making same
US20130292808A1 (en) * 2012-05-04 2013-11-07 Advanced Semiconductor Engineering, Inc. Semiconductor package integrated with conformal shield and antenna
TW201433001A (zh) * 2013-02-06 2014-08-16 Inpaq Technology Co Ltd 雙頻段天線結構及其製作方法
US20170033062A1 (en) * 2015-07-29 2017-02-02 STATS ChipPAC Pte. Ltd. Antenna In Embedded Wafer-Level Ball-Grid Array Package

Also Published As

Publication number Publication date
CN110137088B (zh) 2022-02-08
CN114551422A (zh) 2022-05-27
CN110137088A (zh) 2019-08-16
TW201926625A (zh) 2019-07-01

Similar Documents

Publication Publication Date Title
US12094843B2 (en) Antenna in embedded wafer-level ball-grid array package
US10211171B2 (en) Antenna in embedded wafer-level ball-grid array package
US10790158B2 (en) Semiconductor device and method of balancing surfaces of an embedded PCB unit with a dummy copper pattern
US11488838B2 (en) Semiconductor device having an embedded conductive layer for power/ground planes in Fo-eWLB
US10115672B2 (en) Double-sided semiconductor package and dual-mold method of making same
TWI741228B (zh) 半導體裝置及製造其之方法
US10297519B2 (en) Semiconductor device and method of forming PoP semiconductor device with RDL over top package
US10504845B2 (en) Method for building up a fan-out RDL structure with fine pitch line-width and line-spacing
TWI685903B (zh) 半導體裝置及形成微機電系統封裝的方法
KR20180123455A (ko) 매립형 웨이퍼 레벨 칩 스케일 패키지를 형성하기 위해 표준화된 캐리어를 이용하는 반도체 장치 및 방법
US11244896B2 (en) Package structure and manufacturing method thereof
KR20230064550A (ko) 패키지 인 안테나 장치 및 그 제조 방법
US20240038649A1 (en) Semiconductor device package and methods of formation