TWI736101B - Photomask assembly with reflective photomask and method of manufacturing a reflective photomask - Google Patents

Photomask assembly with reflective photomask and method of manufacturing a reflective photomask Download PDF

Info

Publication number
TWI736101B
TWI736101B TW109100588A TW109100588A TWI736101B TW I736101 B TWI736101 B TW I736101B TW 109100588 A TW109100588 A TW 109100588A TW 109100588 A TW109100588 A TW 109100588A TW I736101 B TWI736101 B TW I736101B
Authority
TW
Taiwan
Prior art keywords
photomask
reflective
substrate
protective structure
layer
Prior art date
Application number
TW109100588A
Other languages
Chinese (zh)
Other versions
TW202041963A (en
Inventor
碩斯登 敘戴爾
馬克思 班德
安德瑞斯 尚克
Original Assignee
德商先進遮罩科技中心有限兩合公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 德商先進遮罩科技中心有限兩合公司 filed Critical 德商先進遮罩科技中心有限兩合公司
Publication of TW202041963A publication Critical patent/TW202041963A/en
Application granted granted Critical
Publication of TWI736101B publication Critical patent/TWI736101B/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/60Substrates

Abstract

A photomask assembly (900) includes a reflective photomask (100) and a protection structure (200). The reflective photomask (100) includes a substrate (110) and a reflective multilayer (120) on a first substrate surface (111) of the substrate (110) at a front side of the reflective photomask (100). The protection structure (200) on a second substrate surface (112) of the substrate (110) at a backside of the reflective photomask (100) is detachable from the reflective photomask (100) at a temperature below 150 degree Celsius.

Description

具有反射式光罩的光罩組件及反射式光罩的製 造方法 Photomask assembly with reflective photomask and manufacturing of reflective photomask Construction method

本揭露是有關於一種具有反射式光罩的光罩組件,特別是關於具有EUV(極紫外)光罩的光罩組件,並且關於一種具有反射式遮罩素材(reflective mask blank)的光罩組件。本揭露更有關於反射式光罩的製造方法。 The present disclosure relates to a photomask assembly with a reflective mask, in particular to a photomask assembly with EUV (Extreme Ultraviolet) photomask, and a photomask assembly with a reflective mask blank (reflective mask blank) . This disclosure is more about the manufacturing method of the reflective photomask.

超紫外線微影術(Extreme ultraviolet lithography,EUVL)採用約13.5nm曝光波長的電磁輻射,是一種有前途的下一代微影技術,可用於大規模製造半導體裝置,其解析度(resolution)超過193nm光微影所能達到的解析度。超紫外線微影術使用反射光學器件和帶有多層反射鏡的反射式光罩。通常,在半導體晶圓曝光期間,靜電吸盤(chuck)空吸盤將光罩保持在適當的位置。光罩背側的缺陷,例如無意中夾在吸盤和光罩背側間的顆粒,可能會影響光罩在前側平面度,可能導致意外的圖像移位和聚焦誤差,並且可能會損壞吸盤。 Extreme ultraviolet lithography (EUVL) uses electromagnetic radiation with an exposure wavelength of about 13.5nm. It is a promising next-generation lithography technology that can be used to manufacture semiconductor devices on a large scale. Its resolution exceeds 193nm. The resolution achievable by lithography. Ultra-ultraviolet lithography uses reflective optics and reflective photomasks with multilayer mirrors. Generally, during exposure of the semiconductor wafer, an electrostatic chuck empty chuck holds the photomask in place. Defects on the back side of the photomask, such as particles accidentally sandwiched between the suction cup and the backside of the photomask, may affect the flatness of the front side of the photomask, may cause unexpected image shift and focus errors, and may damage the suction cup.

實施例的目的是減少在光罩背側上出現嚴重缺陷的頻率或徹底減輕它們。 The purpose of the embodiments is to reduce the frequency of serious defects on the back side of the photomask or to reduce them completely.

本發明的目的是透過獨立項的主題(subject-matter)所達成。附屬項表示其他實施例。 The purpose of the present invention is achieved through the subject-matter of an independent item. Attached items indicate other embodiments.

本揭露的實施例涉及一種光罩組件,其包括反射式光罩和保護結構。反射式光罩包括基板、位在反射式光罩之前側的基板的第一基板表面的反射式多層。在低於150℃的溫度下,在反射式光罩背側的基板的第二基板表面上的保護結構可與反射式光罩分離。 The disclosed embodiment relates to a photomask assembly, which includes a reflective photomask and a protective structure. The reflective photomask includes a substrate and a reflective multilayer on the first substrate surface of the substrate on the front side of the reflective photomask. At a temperature lower than 150° C., the protective structure on the second substrate surface of the substrate on the back side of the reflective photomask can be separated from the reflective photomask.

本揭露的另一個實施例涉及一種反射式光罩的製造方法。該方法包括形成反射式光罩,反射式光罩包括基板、在基板的第一基板表面上的反射式多層以及在反射式光罩的前側上的反射式多層上的吸收體圖案。在形成吸收體圖案之前,在反射式光罩的背側的基板的第二基板表面上結合保護結構。 Another embodiment of the present disclosure relates to a manufacturing method of a reflective photomask. The method includes forming a reflective photomask including a substrate, a reflective multilayer on a first substrate surface of the substrate, and an absorber pattern on the reflective multilayer on a front side of the reflective photomask. Before forming the absorber pattern, a protective structure is combined on the second substrate surface of the substrate on the back side of the reflective photomask.

本揭露的另一個實施例涉及另一種光罩組件,其包括反射式光罩和保護結構。反射式光罩包括基板、在基板的第一基板表面上的反射式多層以及在反射式光罩的前側的反射式多層上的吸收體圖案。在低於150℃的溫度下和/或通過向吸收體圖案施加惰性的處理液,可以從反射式光罩上去除位於反射式光罩背側的基板的第二基板表面上的保護結構。 Another embodiment of the present disclosure relates to another photomask assembly, which includes a reflective photomask and a protective structure. The reflective photomask includes a substrate, a reflective multilayer on the first substrate surface of the substrate, and an absorber pattern on the reflective multilayer on the front side of the reflective photomask. At a temperature lower than 150° C. and/or by applying an inert treatment liquid to the absorber pattern, the protective structure on the second substrate surface of the substrate on the back side of the reflective photomask can be removed from the reflective photomask.

本揭露的又一實施例涉及另一反射式光罩的製造方法。形成反射式光罩,反射式光罩包括基板和在反射式光罩的前 側的基板的第一基板表面上的反射式多層。在反射式多層上形成吸收體圖案之前,在反射式光罩背側的基板的第二基板表面上提供保護結構。在形成吸收體圖案之後,去除保護結構。 Another embodiment of the present disclosure relates to another method of manufacturing a reflective photomask. Form a reflective photomask, the reflective photomask includes a substrate and the front of the reflective photomask Reflective multilayer on the surface of the first substrate on the side of the substrate. Before forming the absorber pattern on the reflective multilayer, a protective structure is provided on the second substrate surface of the substrate on the back side of the reflective photomask. After forming the absorber pattern, the protective structure is removed.

包括附圖以提供對實施例的進一步理解,並且附圖被併入本說明書中並構成本說明書的一部分。附圖示出了本揭露的實施例,並且與實施方式一起用於解釋實施例的原理。透過參考下面的詳細描述,其他實施例和預期的優點將變得更好了解,因此將容易理解它們。 The drawings are included to provide a further understanding of the embodiments, and the drawings are incorporated into this specification and constitute a part of this specification. The drawings illustrate the embodiments of the present disclosure, and together with the embodiments are used to explain the principles of the embodiments. By referring to the detailed description below, other embodiments and expected advantages will become better understood, so it will be easier to understand them.

100:反射式光罩 100: reflective mask

101:第一主表面 101: The first major surface

102:第二主表面 102: second major surface

103:側表面 103: side surface

104:表面法線 104: surface normal

105:表面部分 105: surface part

110:基板 110: substrate

111:第一基板表面 111: The first substrate surface

112:第二基板表面 112: Second substrate surface

114:背側膜 114: dorsal membrane

115:基板主要部分 115: The main part of the substrate

119:導電界面層 119: Conductive interface layer

120:反射式多層 120: reflective multilayer

121:第一層 121: first layer

122:第二層 122: second layer

129:覆蓋層 129: Overlay

130:吸收體圖案 130: absorber pattern

131:緩衝層 131: Buffer layer

134:吸收體層堆疊 134: Absorber layer stack

135:吸收體層 135: Absorber layer

139:抗反射層 139: Anti-reflective layer

180:框架溝槽 180: frame groove

191:圖案部分 191: pattern part

192:框架部分 192: Frame part

200:保護結構 200: Protective structure

203:側表面 203: side surface

220:黏合層 220: Adhesive layer

221:黏合劑 221: Adhesive

230:主載體 230: main carrier

240:可撓性膠帶 240: Flexible tape

300:機械適配器 300: mechanical adapter

303:輪廓 303: Contour

310:接收端口 310: receiving port

900:光罩組件 900: Mask assembly

B:剖面線端點 B: End of section line

d1:厚度 d1: thickness

d2,d3:橫向尺寸 d2, d3: horizontal size

r1:半徑 r1: radius

第1A~1B圖繪示根據一實施例的光罩組件的示意性平面圖和示意性垂直剖面圖,光罩組件包括反射式光罩和在光罩的背側的保護結構;第2A~2B圖繪示根據其他實施例的包括反射式光罩和在光罩的背側的保護結構的光罩組件的示意性垂直剖面圖;第3A~3C圖繪示具有反射式光罩而不具有導電背側膜的光罩組件的示意性垂直剖面圖;第4A~4C圖繪示具有反射式光罩且具有導電背側膜的光罩組件的示意性垂直剖面圖;第5A~5B圖繪示包括適配器的光罩組件的示意性平面圖和示意性剖面圖;及第6A~6D圖繪示反射式光罩和保護結構的一部分的示意性 垂直剖面圖,用於顯示根據另一實施例的反射式光罩的製造方法。 Figures 1A~1B show a schematic plan view and a schematic vertical cross-sectional view of a photomask assembly according to an embodiment. The photomask assembly includes a reflective photomask and a protective structure on the back side of the photomask; Figures 2A~2B Shows a schematic vertical cross-sectional view of a photomask assembly including a reflective photomask and a protective structure on the back side of the photomask according to other embodiments; Figures 3A to 3C show a reflective photomask without a conductive back A schematic vertical cross-sectional view of the photomask assembly of the side film; Figures 4A to 4C show a schematic vertical cross-sectional view of the photomask assembly with a reflective photomask and a conductive back side film; Figures 5A to 5B show that A schematic plan view and a schematic cross-sectional view of the photomask assembly of the adapter; and Figures 6A to 6D show a schematic view of a part of the reflective photomask and the protective structure The vertical cross-sectional view is used to show the manufacturing method of the reflective photomask according to another embodiment.

在下面的詳細描述中,參考形成其一部分的附圖,並且在附圖中通過圖示的方式示出了特定實施例,其中,反射式光罩、反射式光罩的製造方法以及半導體裝置的製造方法可以被實踐。應當理解,在不脫離本揭露的範圍的情況下,可以利用其他實施例並且可以進行結構或邏輯上的改變。例如,針對一個實施例繪示或描述的特徵可以在其他實施例上或與其他實施例結合使用以產生又一實施例。本揭露旨在包括這樣的潤飾和變化。使用特定語言描述了示例,不應將其解釋為限制所附申請專利範圍的範疇。這些圖式未按比例繪製,僅用於說明目的。如果沒有另外說明,則相應的元件在不同的圖式中由相同的元件符號表示。 In the following detailed description, reference is made to the drawings forming a part thereof, and specific embodiments are illustrated in the drawings, in which the reflective photomask, the reflective photomask manufacturing method, and the semiconductor device The manufacturing method can be practiced. It should be understood that other embodiments may be utilized and structural or logical changes may be made without departing from the scope of the present disclosure. For example, features illustrated or described for one embodiment can be used on or in combination with other embodiments to produce yet another embodiment. This disclosure is intended to include such modifications and changes. The examples are described in specific language and should not be construed as limiting the scope of the attached patent application. These drawings are not drawn to scale and are for illustration purposes only. If not otherwise specified, corresponding elements are represented by the same element symbols in different drawings.

術語「具有」、「包含」、「包括(including/comprising)」等是開放式的,並且這些術語表示所陳述的結構、元件或特徵的存在,但不排除其他元件或特徵。除非上下文另外明確指出,否則冠詞「一」、「一個」和「該」旨在包括複數和單數。 The terms "having", "including", "including/comprising", etc. are open-ended, and these terms indicate the existence of the stated structure, element or feature, but do not exclude other elements or features. Unless the context clearly indicates otherwise, the articles "a", "an" and "the" are intended to include the plural and singular.

此外,術語「在...上」不應被解釋為僅意味著「直接在...上」。而是,如果一個元件位於另一元件「上」,例如,如果層在另一層「上」或基板「上」,則另一元件(例如另一層)可以位於兩個元件之間。例如,如果該層在所述基板「上」,則可以在該層和基板之間放置另一層。 In addition, the term "on" should not be interpreted as simply meaning "directly on". Rather, if one element is "on" another element, for example, if a layer is "on" another layer or "on" a substrate, then another element (eg, another layer) can be located between the two elements. For example, if this layer is "on" the substrate, another layer can be placed between this layer and the substrate.

由化合物或合金構成的層或結構的主要成分是原子形成化合物或合金的元素。例如,鎳和矽是矽化鎳層的主要成分,而銅和鋁是銅鋁合金的主要成分。 The main component of a layer or structure composed of a compound or an alloy is an element whose atoms form a compound or an alloy. For example, nickel and silicon are the main components of the nickel silicide layer, while copper and aluminum are the main components of copper-aluminum alloy.

在本申請的上下文中使用的術語「金屬(metal/metallic)」不包括半金屬(semi-metal)。特別地,術語「金屬」不包括元素硼、矽、鍺、砷、銻和/或碲。金屬結構至少包括金屬部分,並且除了金屬部分之外,還可包括來自非金屬材料或來自半金屬材料的另一部分或其他部分。過渡金屬是原子序數為21至30、39至48、57至80和89至112的化學元素。 The term "metal/metallic" used in the context of this application does not include semi-metal. In particular, the term "metal" does not include the elements boron, silicon, germanium, arsenic, antimony, and/or tellurium. The metal structure includes at least a metal part, and in addition to the metal part, may also include another part or other parts from a non-metallic material or from a semi-metallic material. Transition metals are chemical elements with atomic numbers 21 to 30, 39 to 48, 57 to 80, and 89 to 112.

根據一實施例,光罩組件包括反射式光罩和保護結構。反射式光罩可包括基板和在基板的第一基板表面上的反射式多層,其中多層形成在光罩的前側。保護結構設置在光罩背側的基板的第二基板表面上,並且可以在低於150℃的溫度下與光罩分離。 According to an embodiment, the photomask assembly includes a reflective photomask and a protective structure. The reflective photomask may include a substrate and a reflective multilayer on the first substrate surface of the substrate, wherein the multiple layers are formed on the front side of the photomask. The protection structure is arranged on the second substrate surface of the substrate on the back side of the photomask, and can be separated from the photomask at a temperature lower than 150°C.

基板可以包括來自低熱膨脹(Low Thermal Expansion,LTE)材料的基板主要部分,其熱膨脹係數小於1ppm/K。除了基板主要部分之外,基板還可以包括在基板主要部分的朝向反射式多層的一側的一個或多個其他層及/或在基板主要部分的遠離(averted from)於反射式多層的一側的一個或多個其他層。 The substrate may include the main part of the substrate from Low Thermal Expansion (LTE) material, and the coefficient of thermal expansion is less than 1 ppm/K. In addition to the main part of the substrate, the substrate may also include one or more other layers on the side of the main part of the substrate facing the reflective multilayer and/or on the side of the main part of the substrate averted from the reflective multilayer. One or more other layers.

反射式多層可以包括多個層對(layer pair),其中每個層對包括第一層和第二層。在曝光波長下,第一層具有第一折射率,第二層具有第二折射率。第一層和第二層的不同反射率 導致反射式多層作為布拉格反射器是有效的,其最大反射率典型地等於或接近超紫外線(EUV)或超越超紫外線(BEUV)的曝光波長(超過EUV)。例如,曝光波長可以是13.5nm或6.7nm。反射式多層可以在朝向基板的一側和/或在遠離基板的一側包括一個或多個其他層。 The reflective multilayer may include a plurality of layer pairs, where each layer pair includes a first layer and a second layer. At the exposure wavelength, the first layer has a first refractive index and the second layer has a second refractive index. Different reflectivity of the first and second layers The resulting reflective multilayer is effective as a Bragg reflector, the maximum reflectance of which is typically equal to or close to the exposure wavelength of extreme ultraviolet (EUV) or beyond (beyond EUV). For example, the exposure wavelength may be 13.5 nm or 6.7 nm. The reflective multilayer may include one or more other layers on the side facing the substrate and/or on the side remote from the substrate.

光罩可進一步包括在反射式多層上的未圖案化的連續吸收體層堆疊或圖案化的吸收體層堆疊(patterned absorber layer stack),其中,圖案化的吸收體層堆疊形成吸收體圖案(absorber pattern),吸收體圖案可具有暴露部分反射式多層的開口。吸收體層堆疊可包括至少一個吸收體層,吸收體層在例如13.5nm或6.7nm的曝光波長處的吸收度為至少40%。吸收體層堆疊可以在朝向反射式多層的一側和/或在遠離反射式多層的一側包括一個或多個其他層。 The photomask may further include an unpatterned continuous absorber layer stack or a patterned absorber layer stack on the reflective multilayer, wherein the patterned absorber layer stack forms an absorber pattern, The absorber pattern may have openings exposing the partially reflective multilayer. The absorber layer stack may include at least one absorber layer, the absorber layer having an absorbance of at least 40% at an exposure wavelength of, for example, 13.5 nm or 6.7 nm. The absorber layer stack may include one or more other layers on the side facing the reflective multilayer and/or on the side remote from the reflective multilayer.

保護結構可以是包括玻璃、金屬、半導體材料(例如,晶體或多晶矽)、陶瓷、燒結材料和剛性抗蝕劑中的至少一種的可撓性膠帶(flexible tape)或剛性板。保護結構可以覆蓋整個第二基板表面。保護結構和光罩的外表面可能齊平(flush)。可替代地,保護結構可以橫向地突出(laterally project)超過光罩的輪廓。 The protective structure may be a flexible tape or rigid plate including at least one of glass, metal, semiconductor material (for example, crystal or polysilicon), ceramic, sintered material, and rigid resist. The protective structure may cover the entire surface of the second substrate. The protective structure and the outer surface of the photomask may be flush. Alternatively, the protective structure may project laterally beyond the outline of the photomask.

保護結構可以結合到基板。保護結構和基板之間的結合可以是黏合劑結合或無膠結合。例如,保護結構和光罩可以直接結合。直接結合的保護結構和光罩之間的黏合可以基於基板110和保護結構200之間的化學鍵、氫鍵、金屬鍵、離子和/或共 價鍵。直接結合可以包括施加適度的機械力,從而將保護結構和光罩相互擠壓(press),在低於100℃(例如120℃)的中等溫度下對兩個結合表面中的至少一個或其之組合進行熱處理,例如是熔融結合(fusion bonding)、熱壓結合(thermo-compressive bonding)、藉由原子重排(atomic rearrangement)而結合。 The protective structure may be bonded to the substrate. The bonding between the protective structure and the substrate may be an adhesive bonding or a glueless bonding. For example, the protective structure and the photomask can be directly combined. The adhesion between the directly bonded protective structure and the photomask can be based on chemical bonds, hydrogen bonds, metal bonds, ions and/or co-bonds between the substrate 110 and the protective structure 200. Valence bond. Direct bonding may include applying moderate mechanical force to press the protective structure and the photomask to each other, and at least one of the two bonding surfaces or a combination thereof at a moderate temperature below 100°C (for example, 120°C) Heat treatment is performed, for example, fusion bonding, thermo-compressive bonding, and bonding by atomic rearrangement.

保護結構可在低於邊界溫度的溫度下從光罩上分離,在該邊界溫度下,掩模堆疊中的原子(例如在反射式多層中)開始跨越層之界面擴散到相鄰層中(超過可忽略的程度)。例如,保護結構可以在低於150℃的溫度下(例如至多135℃或至多120℃)是可分離的。分離可以包括使保護結構和光罩之間的鍵結(bond)脫離(debonding)。分離保護結構可以進一步包括用對吸收體層堆疊和反射式多層的材料呈惰性的沖洗流體清洗第二基板表面。例如,沖洗流體包括液體、氣體或兩者。 The protective structure can be separated from the mask at a temperature below the boundary temperature, at which the atoms in the mask stack (for example, in a reflective multilayer) begin to diffuse across the interface of the layer into the adjacent layer (more than Negligible degree). For example, the protective structure may be separable at a temperature below 150°C (e.g., at most 135°C or at most 120°C). The separation may include debonding the bond between the protective structure and the photomask. The separating protection structure may further include cleaning the surface of the second substrate with a rinse fluid that is inert to the material of the absorber layer stack and the reflective multilayer. For example, the flushing fluid includes liquid, gas, or both.

可以在低於150℃的溫度下從光罩分離的保護結構可以很容易地從反射式光罩上拆卸下來,而不會對光罩產生不利影響,特別是不會對吸收體圖案、反射式多層和/或第二基板表面產生負面影響。 The protective structure that can be separated from the photomask at a temperature lower than 150°C can be easily removed from the reflective photomask without adversely affecting the photomask, especially the absorber pattern and reflective photomask. The multilayer and/or second substrate surface has a negative impact.

特別地,在吸收體圖案、反射式多層和基板中的任何一個都不會受到超過可忽略的程度的影響,並且在第二基板表面上不會留下不可忽略的殘留物的方式之下,保護結構可被移除。 In particular, in such a way that none of the absorber pattern, the reflective multilayer, and the substrate will be affected more than a negligible degree, and no non-negligible residue will be left on the surface of the second substrate, The protective structure can be removed.

換句話說,在去除保護結構之後,第二基板表面仍可以滿足關於平坦度和平面度的超紫外線(EUV)遮罩背側的一般規格。特別地,保護結構沒有留下從第二基板表面突出超過 10μm的殘留顆粒。另外,在去除保護結構之後,吸收體圖案和多層的尺寸滿足吸收體圖案和多層的一般規格以及某些遮罩佈局的特定規格。 In other words, after the protective structure is removed, the surface of the second substrate can still meet the general specifications on the back side of the extreme ultraviolet (EUV) mask regarding flatness and flatness. In particular, the protective structure did not leave the surface of the second substrate protruding beyond Residual particles of 10μm. In addition, after the protective structure is removed, the size of the absorber pattern and multilayer meets the general specifications of the absorber pattern and multilayer and the specific specifications of certain mask layouts.

可以在將反射式光罩安裝到超紫外線微影術(EUVL)設備之前或在將光罩運送到半導體工廠之前去除保護結構。 The protective structure can be removed before the reflective photomask is installed in the ultra-ultraviolet lithography (EUVL) equipment or before the photomask is shipped to the semiconductor factory.

保護結構可以在對於光罩的前側處理的期間(例如在反射式多層的沉積期間、在吸收體層堆疊的沉積期間和/或在吸收體層堆疊的圖案化過程中、或在形成框架溝槽(frame trench)期間),暫時保護光罩免受顆粒污染。保護層的去除包括在反射式光罩的前側處理之前和/或期間去除沉積在光罩的背側上的顆粒。甚至在施加保護結構之前沉積的、尚未被先前的沖洗過程完全去除但是仍然黏附在保護層上的顆粒也可以與保護結構一起去除。 The protective structure may be used during the processing of the front side of the photomask (e.g., during the deposition of the reflective multilayer, during the deposition of the absorber layer stack, and/or during the patterning process of the absorber layer stack, or during the formation of a frame trench (frame trench). During the trench), temporarily protect the photomask from particle contamination. The removal of the protective layer includes removing particles deposited on the back side of the photomask before and/or during the front side processing of the reflective photomask. Even particles deposited before applying the protective structure that have not been completely removed by the previous washing process but still adhere to the protective layer can be removed together with the protective structure.

另外,保護結構可以避免遮罩處理工具與光罩背側之間的直接接觸,並且以此方式可以保護反射式光罩的背側免於受到機械損壞,例如免於受到刮擦。 In addition, the protective structure can avoid direct contact between the mask processing tool and the back side of the photomask, and in this way can protect the backside of the reflective photomask from mechanical damage, such as scratches.

可以利用半導體晶圓技術的已知的工具和方法來提供保護結構。例如,保護結構可以包括在晶圓減薄(wafer thinning)、晶圓磨削(wafer grinding)、晶圓切割(wafer dicing)和/或晶片探測(wafer probing)期間臨時固定到半導體晶圓上的那種剛性載體或可撓性膠帶。 Known tools and methods of semiconductor wafer technology can be used to provide the protective structure. For example, the protective structure may include temporarily fixed to the semiconductor wafer during wafer thinning, wafer grinding, wafer dicing, and/or wafer probing. That kind of rigid carrier or flexible tape.

根據一實施例,基板可以包括可以形成第二基板表面的背側膜。背側膜可以是導電膜,其有助於超紫外線微影技術裝置中的反射式光罩的靜電吸盤。例如,背側膜可以包括鉻(Cr)和氮(N),或者可以包括鉭(Ta)和硼(B)。保護結構可以直接結合在背側膜上。例如在指甲床卡盤(nail-bed chuck)上的靜電吸盤(electrostatic chucking)可以減輕背側不平整度和/或粗糙度的不利影響。可替代地,保護結構可以由低熱膨脹材料直接形成在基板主要部分上。 According to an embodiment, the substrate may include a backside film that may form a surface of the second substrate. The backside film may be a conductive film, which helps the electrostatic chuck of the reflective photomask in the ultra-ultraviolet lithography device. For example, the backside film may include chromium (Cr) and nitrogen (N), or may include tantalum (Ta) and boron (B). The protective structure can be directly bonded to the back side film. For example, electrostatic chucking on a nail-bed chuck can reduce the adverse effects of unevenness and/or roughness on the back side. Alternatively, the protective structure may be directly formed of a low thermal expansion material on the main part of the substrate.

根據一個實施例,保護結構可以是可拆卸的,例如,藉由施加110℃至150℃之間的溫度、藉由施加電磁輻射、藉由施加釋放鍵結(bond)的剝離流體(de-bonding fluid)和/或藉由施加適度的機械力,可以使保護結構與光罩分離。適度的機械力應理解為足夠弱的機械力,以至於不會對光罩造成破壞。適度的機械力可以在人可用手施加的力的範圍內或更低。 According to an embodiment, the protective structure may be detachable, for example, by applying a temperature between 110°C and 150°C, by applying electromagnetic radiation, by applying a de-bonding fluid that releases the bond (de-bonding). fluid) and/or by applying moderate mechanical force, the protective structure can be separated from the photomask. A moderate mechanical force should be understood as a mechanical force weak enough that it will not cause damage to the photomask. The moderate mechanical force can be in the range of the force that can be applied by human hands or lower.

例如,熱處理可以剝離(即,完全釋放或減弱至少90%)保護結構和光罩之間的結合力。例如,熱空氣流可以被引導到光罩組件的背側,並且在結合力的熱釋放/弱化期間/之後,可以機械性地剝離(peeled off)保護結構。在大於110℃的剝離溫度下,仍有可能在光罩的前側處理期間(例如在使吸收體層堆疊圖案化的所有過程中),將保護結構可靠地保持固定至光罩。在剝離溫度低於150℃,例如至多135℃或至多120℃的情況下,剝離製程不會影響光罩的前側(例如吸收體圖案)。 For example, the heat treatment can peel off (ie, completely release or weaken at least 90%) the bonding force between the protective structure and the photomask. For example, a flow of hot air may be directed to the back side of the photomask assembly, and during/after the heat release/weakening of the bonding force, the protective structure may be mechanically peeled off. At a peeling temperature greater than 110° C., it is still possible to reliably keep the protective structure fixed to the photomask during the front-side processing of the photomask (for example, during all processes of patterning the absorber layer stack). When the peeling temperature is lower than 150°C, for example, at most 135°C or at most 120°C, the peeling process will not affect the front side of the photomask (for example, the absorber pattern).

可選地或另外,透過使用一定波長範圍的電磁輻射(例如用紫外光(UV光))照射保護結構,可以完全釋放或減弱至少90%的光罩與保護結構之間的結合力。 Alternatively or in addition, by irradiating the protective structure with electromagnetic radiation in a certain wavelength range (for example, ultraviolet light (UV light)), at least 90% of the binding force between the photomask and the protective structure can be completely released or weakened.

替代地或另外,透過施加合適的剝離流體(例如,包含用於結合的溶劑的流體),可以完全釋放或減弱至少90%的光罩與保護結構之間的結合力。 Alternatively or in addition, by applying a suitable peeling fluid (for example, a fluid containing a solvent for bonding), at least 90% of the bonding force between the photomask and the protective structure can be completely released or weakened.

根據一實施例,保護結構可以包括主載體和將主載體可逆地結合至反射式光罩的黏合層。 According to an embodiment, the protective structure may include a main carrier and an adhesive layer reversibly bonding the main carrier to the reflective photomask.

通常,釋放可逆鍵(reversible bond)使可逆地結合的結構不受損害。更具體地,從光罩釋放可逆地結合的主載體使光罩和主載體兩者保持完整。在釋放可逆鍵之前滿足預定要求的光罩,至少在進一步的清潔步驟之後,在釋放可逆鍵之後將滿足相同的要求(如果適用)。從可逆鍵釋放的主載體至少在進一步的清潔步驟之後可以在沒有功能限制的情況下用於相同目的(如果適用)。 Generally, releasing a reversible bond leaves the reversible bond structure undamaged. More specifically, releasing the reversibly bonded master carrier from the photomask keeps both the photomask and the master carrier intact. A photomask that meets the predetermined requirements before releasing the reversible key, at least after a further cleaning step, will meet the same requirements (if applicable) after releasing the reversible key. The master carrier released from the reversible bond can be used for the same purpose (if applicable) without functional limitation, at least after a further cleaning step.

主載體可以是由固體材料製成的剛性載體(例如,連續的、無圖案化的板或具有凹痕的板,其中凹痕可以暴露第二基板表面的一部分)。例如,主載體可以具有帶框的柵格(framed grid)的形狀,或者可以是沿著光罩的邊緣形成的框架。 The main carrier may be a rigid carrier made of a solid material (for example, a continuous, unpatterned plate or a plate with dents, where the dents may expose a part of the surface of the second substrate). For example, the main carrier may have the shape of a framed grid, or may be a frame formed along the edge of the photomask.

黏合層可包括在將主載體黏合到第二基板表面上之前施加在主載體的安裝表面上、施加在第二基板表面上或施加在兩者上的黏膠。替代地,黏合層可以是在將主載體結合到第二表面上之前插入在主載體和第二基板表面之間的黏合箔。 The adhesive layer may include an adhesive applied on the mounting surface of the main carrier, applied on the surface of the second substrate, or both before adhering the main carrier to the surface of the second substrate. Alternatively, the adhesive layer may be an adhesive foil inserted between the main carrier and the surface of the second substrate before bonding the main carrier to the second surface.

如果適用,在去除黏合層的殘留物的進一步清潔過程之後,可以重新使用剛性主載體。使用可重複使用的主載體,可以使用相對昂貴的保護結構,而不會大幅度增加成本。在晶圓背面研磨、晶圓切割和/或晶片探測的情況下,在應用於半導體晶圓的製程中證明並測試了無殘留膠和黏合箔。 If applicable, the rigid main carrier can be reused after a further cleaning process to remove residues from the adhesive layer. By using a reusable main carrier, a relatively expensive protective structure can be used without substantial increase in cost. In the case of wafer back grinding, wafer dicing, and/or wafer probing, it has been proven and tested without residual glue and adhesive foil in the manufacturing process of semiconductor wafers.

根據一實施例,保護結構可以包括載體膠帶(carrier tape)和將載體膠帶黏合到光罩的黏合層。載體膠帶可以是在半導體製造中用於例如在研磨、切割和/或探測期間臨時穩定半導體晶圓的任何膠帶。替代地,載體膠帶可以是用作拾取膠帶(pick-up tape)的任何膠帶。 According to an embodiment, the protective structure may include a carrier tape and an adhesive layer for adhering the carrier tape to the photomask. The carrier tape may be any tape used in semiconductor manufacturing to temporarily stabilize semiconductor wafers, for example, during grinding, dicing, and/or probing. Alternatively, the carrier tape may be any tape used as a pick-up tape.

例如,保護結構是紫外線膠帶(UV tape),紫外線膠帶在黏合後立即具有很強的黏合強度。在至少一些前側製程中,紫外線膠帶覆蓋了光罩的背側。藉由用紫外線光照射,黏合強度降低至少90%,並且在紫外線照射後可以容易地從紫外線膠帶上除去光罩。 For example, the protective structure is UV tape, which has a strong bonding strength immediately after bonding. In at least some front-side manufacturing processes, UV tape covers the backside of the mask. By irradiating with ultraviolet light, the bonding strength is reduced by at least 90%, and the mask can be easily removed from the ultraviolet tape after ultraviolet irradiation.

根據一實施例,光罩組件可以包括機械適配器(mechanical adaptor),機械適配器包括適於支撐反射式光罩的接收端口。機械適配器的輪廓對應於標準晶圓輪廓。例如,機械適配器的輪廓可以對應於直徑為200mm或300mm的標準晶圓輪廓。機械適配器有助於工具的使用,該工具將剛性主載體和/或載體膠帶黏合至半導體晶圓上,以將這種載體黏合在反射式光罩上。例如,機械適配器可以促進在層壓機工具中在光罩的第二基 板表面上施加背面研磨膠帶或拾取膠帶,因為該機械適配器通常用於通過背面研磨膠帶、切割膠帶或拾取膠帶層壓半導體晶圓。 According to an embodiment, the photomask assembly may include a mechanical adaptor, and the mechanical adaptor includes a receiving port adapted to support the reflective photomask. The contour of the mechanical adapter corresponds to the standard wafer contour. For example, the contour of the mechanical adapter may correspond to the contour of a standard wafer with a diameter of 200 mm or 300 mm. The mechanical adapter facilitates the use of tools that bond the rigid master carrier and/or carrier tape to the semiconductor wafer to bond this carrier to the reflective photomask. For example, a mechanical adapter can facilitate the second base of the photomask in the laminator tool A back-grind tape or pick-up tape is applied to the surface of the board, because the mechanical adapter is usually used to laminate semiconductor wafers with back-grind tape, dicing tape, or pick-up tape.

根據一實施例,一種反射式光罩的製造方法可以包括形成反射式光罩,反射式光罩包括基板、在基板的第一基板表面上的反射式多層以及在光罩的前側上的多層上的吸收體圖案。在圖案化吸收體圖案之前,可以在光罩的背側將保護結構結合至第二基板表面上。 According to an embodiment, a method for manufacturing a reflective photomask may include forming a reflective photomask, the reflective photomask including a substrate, a reflective multilayer on a first substrate surface of the substrate, and a multilayer on the front side of the photomask. Absorber pattern. Before patterning the absorber pattern, the protective structure may be bonded to the surface of the second substrate on the back side of the photomask.

保護結構至光罩的結合能夠允許保護結構的剝離,且因此,對光罩的背側的臨時保護不會對光罩產生不利影響。 The combination of the protective structure to the photomask can allow the peeling of the protective structure, and therefore, the temporary protection of the backside of the photomask will not adversely affect the photomask.

根據一實施例,保護結構可以在低於150℃的溫度下與光罩分離,其中,將保護結構從光罩分離可以包括保護結構和光罩之間的鍵的剝離,並且其中剝離可以包括結合於機械性剝離之結合力完全釋放或結合力至少減弱90%。在剝離溫度低於150℃(例如至多135℃或至多120℃)的情況下,剝離製程不影響光罩的前側(例如吸收體圖案)。 According to an embodiment, the protective structure may be separated from the photomask at a temperature lower than 150°C, wherein the separation of the protective structure from the photomask may include peeling of the bond between the protective structure and the photomask, and wherein the peeling may include bonding to The binding force of mechanical peeling is completely released or the binding force is weakened by at least 90%. When the peeling temperature is lower than 150°C (for example, at most 135°C or at most 120°C), the peeling process does not affect the front side of the photomask (for example, the absorber pattern).

可以在形成反射式多層之前提供保護結構,其中,保護結構可以避免在所有相關沉積製程期間以及之間在第二基板表面上的顆粒污染。可替代地,可以在形成反射式多層之後並且在沉積形成吸收體圖案的吸收體層堆疊之前提供保護結構,其中,保護結構可以避免在用於形成吸收體圖案的所有製程期間和之間在第二基板表面上的顆粒污染。 A protective structure can be provided before forming the reflective multilayer, wherein the protective structure can avoid particle contamination on the surface of the second substrate during and in between all related deposition processes. Alternatively, the protective structure may be provided after forming the reflective multilayer and before depositing the absorber layer stack forming the absorber pattern, wherein the protective structure can avoid the second step during and between all processes for forming the absorber pattern. Particle contamination on the surface of the substrate.

根據一實施例,可以在形成吸收體圖案之後將保護結構與光罩分離。保護結構提供了對光罩背側的臨時保護,而不會影響在超紫外線微影術設備中使用光罩。 According to an embodiment, the protective structure can be separated from the photomask after the absorber pattern is formed. The protective structure provides temporary protection to the back side of the photomask without affecting the use of the photomask in the ultra-ultraviolet lithography equipment.

根據另一個實施例,光罩組件可以包括反射式光罩和保護結構。反射式光罩可以包括基板、在基板的第一基板表面上的反射式多層以及在多層上的吸收體圖案,其中,多層和吸收體圖案形成在光罩的前側。保護結構提供在光罩背側的基板的第二基板表面上,並且可以在低於150℃的溫度下和/或藉由施加至少對吸收體圖案呈惰性的處理液從光罩移除。處理液也可以對反射式多層和/或基底是惰性的。處理液可包含基於溶劑的流體。 According to another embodiment, the photomask assembly may include a reflective photomask and a protective structure. The reflective photomask may include a substrate, a reflective multilayer on the first substrate surface of the substrate, and an absorber pattern on the multilayer, wherein the multilayer and the absorber pattern are formed on the front side of the photomask. The protective structure is provided on the second substrate surface of the substrate on the back side of the photomask, and can be removed from the photomask at a temperature lower than 150° C. and/or by applying a processing liquid that is at least inert to the absorber pattern. The treatment liquid may also be inert to the reflective multilayer and/or substrate. The treatment liquid may include a solvent-based fluid.

可以在低於150℃的溫度下和/或藉由施加對吸收體圖案呈惰性的處理液去除保護結構,可以藉由與遮罩處理工具進行機械性接觸,為光罩提供臨時保護,以防止背側污染和/或缺陷。臨時保護可能不會對超紫外線微影術設備中使用光罩產生不利影響,特別是不會對吸收體圖案、反射式多層和/或第二基板表面造成負面影響。 The protective structure can be removed at a temperature lower than 150°C and/or by applying a treatment solution that is inert to the absorber pattern. The mask can be mechanically contacted with the mask processing tool to provide temporary protection for the mask to prevent Contamination and/or defects on the back side. Temporary protection may not adversely affect the use of photomasks in ultra-ultraviolet lithography equipment, especially the absorber pattern, reflective multilayer, and/or the surface of the second substrate.

根據一實施例,反射式光罩包括直接形成在第二基板表面上的背側膜。背側膜可以是導電膜,其有助於超紫外線微影術設備中的反射式光罩的靜電吸盤。例如,背側膜可以包括鉻(Cr)和氮(N),或者可以包括鉭(Ta)和硼(B)。保護結構可以直接形成在背側膜上。指甲床卡盤上的靜電吸盤可以減輕背側不平整和/或粗糙度的不利影響。可替代地,保護結構可以由低熱膨脹材料直接形成在基板主要部分上。 According to an embodiment, the reflective photomask includes a backside film directly formed on the surface of the second substrate. The backside film may be a conductive film, which contributes to the electrostatic chuck of the reflective photomask in the ultra-ultraviolet lithography apparatus. For example, the backside film may include chromium (Cr) and nitrogen (N), or may include tantalum (Ta) and boron (B). The protective structure can be directly formed on the backside film. The electrostatic chuck on the nail bed chuck can reduce the adverse effects of unevenness and/or roughness on the back side. Alternatively, the protective structure may be directly formed of a low thermal expansion material on the main part of the substrate.

保護結構可以化學或物理方式去除,例如通過研磨、化學機械拋光(Chemical Mechanical Polishing,CMP)、機械拋光或物理乾蝕刻。例如,可以藉由反應性離子蝕刻(Reactive Ion Etching,RIE)或離子束蝕刻(Ion Beam Etching,IBE)去除基於氧化物和/或矽化合物(例如Si3N4或Si2O)的保護結構。 The protective structure can be removed chemically or physically, for example, by grinding, chemical mechanical polishing (CMP), mechanical polishing, or physical dry etching. For example, reactive ion etching (RIE) or ion beam etching (Ion Beam Etching, IBE) can be used to remove protective structures based on oxides and/or silicon compounds (such as Si 3 N 4 or Si 2 O) .

根據另一實施例,保護結構可以由完全可溶解在溶解劑流體(dissolver fluid)中的材料所形成。 According to another embodiment, the protective structure may be formed of a material that is completely soluble in a dissolver fluid.

例如,保護結構可以由一或多種聚合材料組成,或者可以包括除了非聚合材料之外的一或多種聚合材料,其中溶解劑流體可以是包含有機溶劑的液體。舉例來說,聚合物材料可包括聚醯亞胺(polyimide)或聚四氟乙烯(polytetrafluoroethylene,PTFE)。 For example, the protective structure may be composed of one or more polymeric materials, or may include one or more polymeric materials in addition to non-polymeric materials, where the dissolving agent fluid may be a liquid containing an organic solvent. For example, the polymer material may include polyimide or polytetrafluoroethylene (PTFE).

根據另一示例,保護結構可以包括金屬層、氧化物層和由矽化合物形成的層中的至少一個,其中溶解劑流體可以包括包含酸的液體。在光罩包括金屬背側膜的情況下,溶解劑流體可以包括相對於背側膜以高選擇性去除保護結構的蝕刻劑。例如,保護結構可以包括鈦(Ti)層、鋁(Al)層、包括鈦(Ti)和/或鋁(Al)的層、氧化矽層(例如使用四乙氧矽烷(tetraethoxysilane,TEOS)作為前驅材料所形成的二氧化矽(Si2O)層)以及氮化矽(Si3N4)層中的至少一層。 According to another example, the protective structure may include at least one of a metal layer, an oxide layer, and a layer formed of a silicon compound, wherein the dissolving agent fluid may include an acid-containing liquid. In the case where the photomask includes a metal backside film, the dissolving agent fluid may include an etchant that removes the protective structure with high selectivity with respect to the backside film. For example, the protective structure may include a titanium (Ti) layer, an aluminum (Al) layer, a layer including titanium (Ti) and/or aluminum (Al), a silicon oxide layer (for example, using tetraethoxysilane (TEOS) as a precursor) At least one of a silicon dioxide (Si 2 O) layer and a silicon nitride (Si 3 N 4 ) layer formed of materials.

根據另一示例,溶解劑流體是活化的蝕刻氣體,其在電漿蝕刻製程中溶解基於氧化物和/或矽化合物(例如Si3N4或Si2O)的保護結構。 According to another example, the dissolving agent fluid is an activated etching gas, which dissolves the protective structure based on oxide and/or silicon compound (such as Si 3 N 4 or Si 2 O) during the plasma etching process.

根據一實施例,可以將保護結構噴塗到第二基板表面上,其中可以以簡單且成本有效的方式來施加保護結構。在光罩的製造過程中,關於光罩和保護結構的背側平面度和/或背側平坦度的要求相對寬鬆,因此可以通過具有成本效益的噴塗方法來達成。 According to an embodiment, the protective structure can be sprayed onto the surface of the second substrate, wherein the protective structure can be applied in a simple and cost-effective manner. In the manufacturing process of the photomask, the requirements on the backside flatness and/or backside flatness of the photomask and the protective structure are relatively loose, and therefore can be achieved by a cost-effective spraying method.

本揭露的另一實施例涉及形成反射式光罩的另一種方法。本揭露提供了一種光罩,光罩可以包括基板、在光罩的前側的基板的第一基板表面上的反射式多層。在多層上形成吸收體圖案之前,在光罩背側的基板的第二基板表面上提供保護結構。在形成吸收體圖案之後,可以去除保護結構。保護結構為光罩背側提供有效的臨時保護,以防止由例如遮罩處理工具引起的顆粒污染和機械缺陷。 Another embodiment of the present disclosure relates to another method of forming a reflective photomask. The present disclosure provides a photomask. The photomask may include a substrate and a reflective multilayer on the first substrate surface of the substrate on the front side of the photomask. Before forming the absorber pattern on the multilayer, a protective structure is provided on the surface of the second substrate of the substrate on the back side of the photomask. After forming the absorber pattern, the protective structure may be removed. The protective structure provides effective temporary protection for the back side of the mask to prevent particle contamination and mechanical defects caused by, for example, mask handling tools.

根據一實施例,可以在至多150℃的溫度下和/或藉由施加對吸收體圖案呈惰性的處理液,將保護結構從光罩去除。可以以簡單且成本有效的方式來應用和移除保護結構。 According to an embodiment, the protective structure can be removed from the photomask at a temperature of at most 150° C. and/or by applying a treatment liquid that is inert to the absorber pattern. The protective structure can be applied and removed in a simple and cost-effective manner.

根據另一實施例,保護結構可以與光罩分離,其中保護結構的施加和去除可以使用結合半導體晶圓的研磨、切割和/或探測而被證明和測試的製程,或用於拾取製程。 According to another embodiment, the protective structure can be separated from the photomask, wherein the application and removal of the protective structure can be proven and tested using a process combined with grinding, cutting, and/or probing of a semiconductor wafer, or used for a pick-up process.

第1A~1B圖繪示光罩組件900,其具有反射式光罩100和用於曝光波長在1nm至15nm範圍內的保護結構200。例 如,反射式光罩100可以是用於約13.5nm的曝光波長的超紫外線微影術遮罩。根據另一實施例,反射式光罩100可以用於曝光波長在1nm至10nm的範圍內(例如約6.7nm)的超越超紫外線微影術(beyond extreme ultraviolet lithography,BEUVL)遮罩。 Figures 1A to 1B show the photomask assembly 900, which has a reflective photomask 100 and a protective structure 200 for the exposure wavelength in the range of 1nm to 15nm. example For example, the reflective photomask 100 may be an ultra-ultraviolet lithography mask for an exposure wavelength of about 13.5 nm. According to another embodiment, the reflective photomask 100 may be used for a beyond extreme ultraviolet lithography (BEUVL) mask with an exposure wavelength in the range of 1 nm to 10 nm (for example, about 6.7 nm).

反射式光罩100可以具有邊緣長度為幾公分的近似矩形的形狀。反射式光罩100的圖案部分191包括要投影到半導體晶圓上的圖像,其中圖案部分191包括單一個半導體裝置或多個半導體裝置的圖像訊號。框架部分192可以橫向地環繞圖案部分191。框架部分191不包括關於半導體裝置的圖像訊號。框架部分191可以包括從第一主表面101延伸至反射式光罩100中的框架溝槽180。 The reflective mask 100 may have an approximately rectangular shape with an edge length of several centimeters. The pattern portion 191 of the reflective photomask 100 includes an image to be projected on the semiconductor wafer, wherein the pattern portion 191 includes image signals of a single semiconductor device or a plurality of semiconductor devices. The frame part 192 may laterally surround the pattern part 191. The frame portion 191 does not include image signals related to the semiconductor device. The frame part 191 may include a frame groove 180 extending from the first main surface 101 into the reflective photomask 100.

在超紫外線微影術設備中的半導體晶圓的曝光期間,光罩100被固定在遮罩檯上,其中光罩100可以在遮罩檯上的反射式光罩100的背側被第二主表面102卡住。曝光輻射撞擊到光罩100前側的第一主表面101上。在第一主表面101上反射的一部分曝光輻射對投影到光阻層中的圖像資訊進行編碼,光阻層在曝光期間覆蓋半導體晶圓。 During the exposure of the semiconductor wafer in the ultra-ultraviolet lithography apparatus, the photomask 100 is fixed on the mask stage, wherein the photomask 100 can be held on the back side of the reflective photomask 100 on the mask stage by the second master. The surface 102 is stuck. The exposure radiation impinges on the first main surface 101 on the front side of the photomask 100. A portion of the exposure radiation reflected on the first main surface 101 encodes the image information projected into the photoresist layer, which covers the semiconductor wafer during exposure.

在反射式光罩100的背側的第二主表面102是平面且平坦的。平行於第二主表面102的方向是橫向(lateral direction)。第一主表面101可包括平行於第二主表面102的表面部分105。表面法線104對於平行於第二主表面102的表面部分105定義垂直方向。 The second main surface 102 on the back side of the reflective photomask 100 is flat and flat. The direction parallel to the second main surface 102 is the lateral direction. The first main surface 101 may include a surface portion 105 parallel to the second main surface 102. The surface normal 104 defines a vertical direction for the surface portion 105 parallel to the second main surface 102.

反射式光罩100包括基板110,基板110包括至少由低熱膨脹材料製成的基板主要部分。基板110可以包括另外的層, 例如,在低熱膨脹材料的背側的背側膜。基板110的第二基板表面112形成反射式光罩100的第二主表面102。 The reflective photomask 100 includes a substrate 110, and the substrate 110 includes at least a main part of the substrate made of a low thermal expansion material. The substrate 110 may include additional layers, For example, a backside film on the backside of a low thermal expansion material. The second substrate surface 112 of the substrate 110 forms the second main surface 102 of the reflective photomask 100.

反射式多層120形成在基板110的前側的第一基板表面111。反射式多層120可以包括多個層對,其中每個層對包括第一層121和第二層122。第一層與第二層具有不同的折射率(refractive indices)。例如,第一層121可以是厚度為2nm至5nm的鉬(Mo)層,第二層122可以是厚度為2nm至5nm的矽(Si)層。層對的數量可以在20到70之間。第一層和第二層121、122的不同反射指數(indices of reflection)導致反射式多層120有效地用作布拉格反射器,在預定的曝光波長(例如13.5nm或6.7nm)時具有最大的反射。反射式多層120在曝光波長下的最小反射率至少為50%,例如大於60%。 The reflective multilayer 120 is formed on the first substrate surface 111 on the front side of the substrate 110. The reflective multilayer 120 may include a plurality of layer pairs, where each layer pair includes a first layer 121 and a second layer 122. The first layer and the second layer have different refractive indices. For example, the first layer 121 may be a molybdenum (Mo) layer with a thickness of 2 nm to 5 nm, and the second layer 122 may be a silicon (Si) layer with a thickness of 2 nm to 5 nm. The number of layer pairs can be between 20 and 70. The different indicators of reflection of the first and second layers 121, 122 result in the reflective multilayer 120 being effectively used as a Bragg reflector, with maximum reflection at a predetermined exposure wavelength (for example, 13.5nm or 6.7nm) . The minimum reflectivity of the reflective multilayer 120 at the exposure wavelength is at least 50%, for example, greater than 60%.

吸收體圖案130形成在反射式多層120上與基板110背離的一側,使得反射式多層120在吸收體圖案130和基板110之間。吸收體圖案130在曝光波長可以具有至少50%的吸收率。吸收體圖案130的厚度可以在10nm至90nm的範圍內。吸收體圖案130可以由包括至少一吸收體層的吸收體層堆疊形成,其中吸收體層可以基於金屬氮化物,例如像氮化鉭(TaN)或氮化鈦(TiN)的過渡金屬氮化物。例如,吸收體層可以基於氮化鉭,氮化鉭可以包含其他主要成分,例如硼(B)或氧(O),其中吸收體層是氮化硼鉭(tantalum boron nitride,TaBN)層或氧化硼鉭(tantalum boron oxide,TaNO)層。替代地,吸收體層可以包含鉻(Cr)。例如,吸收體層可以由氮化鉻(CrN)組成或包含 氮化鉻(CrN)。框架溝槽180可以延伸穿過反射式多層120到達或進入基板110。 The absorber pattern 130 is formed on the side of the reflective multilayer 120 away from the substrate 110 such that the reflective multilayer 120 is between the absorber pattern 130 and the substrate 110. The absorber pattern 130 may have an absorption rate of at least 50% at the exposure wavelength. The thickness of the absorber pattern 130 may be in the range of 10 nm to 90 nm. The absorber pattern 130 may be formed by a stack of absorber layers including at least one absorber layer, wherein the absorber layer may be based on a metal nitride, for example, a transition metal nitride like tantalum nitride (TaN) or titanium nitride (TiN). For example, the absorber layer may be based on tantalum nitride, tantalum nitride may contain other main components, such as boron (B) or oxygen (O), wherein the absorber layer is a tantalum boron nitride (TaBN) layer or a boron tantalum oxide (tantalum boron oxide, TaNO) layer. Alternatively, the absorber layer may contain chromium (Cr). For example, the absorber layer can be composed of chromium nitride (CrN) or contain Chromium Nitride (CrN). The frame groove 180 may extend through the reflective multilayer 120 to reach or enter the substrate 110.

保護結構200設置在光罩100的背側。保護結構200可以直接形成,例如沉積在第二基板表面112上,或者可以結合到第二基板表面112上。保護結構200的側表面203可以與反射式光罩100的側表面103齊平(flush)。如果保護結構200結合至反射式光罩100,保護結構200的厚度d1可以在50μm至2000μm的範圍內(例如是80μm至1000μm),如果保護結構200直接形成(例如沉積在光罩100上),則保護結構200的厚度d1可以在0.1μm至30μm的範圍內。 The protection structure 200 is arranged on the back side of the photomask 100. The protection structure 200 may be directly formed, for example, deposited on the second substrate surface 112, or may be bonded to the second substrate surface 112. The side surface 203 of the protection structure 200 may be flush with the side surface 103 of the reflective mask 100. If the protective structure 200 is combined with the reflective photomask 100, the thickness d1 of the protective structure 200 can be in the range of 50 μm to 2000 μm (for example, 80 μm to 1000 μm), if the protective structure 200 is directly formed (for example, deposited on the photomask 100), Then, the thickness d1 of the protection structure 200 may be in the range of 0.1 μm to 30 μm.

保護結構200可以包括單層或至少兩種不同的結構,例如,剛性載體或可撓性膠帶以及將剛性載體或可撓性膠帶黏附到光罩100的黏合層。 The protective structure 200 may include a single layer or at least two different structures, for example, a rigid carrier or a flexible tape and an adhesive layer for adhering the rigid carrier or flexible tape to the photomask 100.

第2A圖繪示具有吸收體圖案130並且沒有框架溝槽的光罩組件900。 FIG. 2A shows a photomask assembly 900 with an absorber pattern 130 and no frame grooves.

第2B圖的光罩組件900包括具有未圖案化的吸收體層堆疊134的反射式光罩100。換句話說,反射式光罩100表示處於藉由對吸收體層堆疊134進行圖案化而形成吸收體圖案之前的狀態的遮罩素材(mask blank)。 The photomask assembly 900 of FIG. 2B includes a reflective photomask 100 with an unpatterned absorber layer stack 134. In other words, the reflective mask 100 represents a mask blank in a state before the absorber pattern is formed by patterning the absorber layer stack 134.

第3A~3C圖涉及沒有背側膜的反射式光罩100,其中在所有圖式中,保護結構200由低熱膨脹材料直接形成在基板主要部分115的表面上。 FIGS. 3A to 3C relate to the reflective mask 100 without a backside film. In all the drawings, the protective structure 200 is directly formed on the surface of the main part 115 of the substrate by a low thermal expansion material.

吸收體圖案130由吸收體層堆疊形成,吸收體層堆疊包括吸收體層135和形成在吸收體層135與反射式多層120之間的緩衝層131,其中,緩衝層131可以在形成吸收體圖案期間有效的用作蝕刻停止層。替代地或除緩衝層131之外,吸收體層堆疊可以包括位在吸收體層135的前側的抗反射層139。 The absorber pattern 130 is formed by an absorber layer stack, which includes an absorber layer 135 and a buffer layer 131 formed between the absorber layer 135 and the reflective multilayer 120, wherein the buffer layer 131 can be effectively used during the formation of the absorber pattern. As an etch stop layer. Alternatively or in addition to the buffer layer 131, the absorber layer stack may include an anti-reflective layer 139 located on the front side of the absorber layer 135.

在通常比極紫外檢查波長更長的檢查波長,抗反射層139的反射率小於吸收體層135的反射率。抗反射層139可以包含金屬氮化物或可以由金屬氮化物組成,例如過渡金屬氮化物(例如氮化鈦(titanium nitride)或氮化鉭(tantalum nitride)),並且可以包含一種或多種選自氯、氟、氬、氫或氧之群組的其他成分。抗反射層139可以藉由在包含其他成分或其前驅物的環境中對吸收體層135的表面進行處理來形成。根據另一實施例,抗反射層139可以是氮化矽層或者可以由氧化鉭形成,其可以包含其他主要成分(例如硼),或者可以是包含鉻的材料(例如氧化鉻(chromium oxide,CrO))。 At inspection wavelengths that are generally longer than the extreme ultraviolet inspection wavelength, the reflectance of the anti-reflection layer 139 is smaller than that of the absorber layer 135. The anti-reflective layer 139 may include a metal nitride or may be composed of a metal nitride, such as a transition metal nitride (such as titanium nitride or tantalum nitride), and may include one or more selected from chlorine , Fluorine, argon, hydrogen or other components of the group of oxygen. The anti-reflection layer 139 may be formed by treating the surface of the absorber layer 135 in an environment containing other components or their precursors. According to another embodiment, the anti-reflection layer 139 may be a silicon nitride layer or may be formed of tantalum oxide, which may contain other main components (such as boron), or may be a material containing chromium (such as chromium oxide (CrO)). )).

反射式多層120可以包括位在遠離基板110的一側的覆蓋層129。覆蓋層129可以是由釕(Ru)組成或包含釕(Ru)的層。覆蓋層129的厚度可以在大約2nm至4nm的範圍內。覆蓋層129可以在吸收體圖案130的製造期間保護反射式多層120。根據另一實施例,覆蓋層129可以是氧化鈦(titanium oxide,TiO)層。 The reflective multilayer 120 may include a cover layer 129 located on a side away from the substrate 110. The capping layer 129 may be a layer composed of ruthenium (Ru) or containing ruthenium (Ru). The thickness of the capping layer 129 may be in the range of approximately 2 nm to 4 nm. The cover layer 129 may protect the reflective multilayer 120 during the manufacture of the absorber pattern 130. According to another embodiment, the capping layer 129 may be a titanium oxide (TiO) layer.

基板110可以包括位在反射式多層120與基板主要部分115之間的導電界面層119。舉例而言,導電界面層119可以是包含鉭(Ta)或鉻(Cr)或由鉭(Ta)或鉻(Cr)組成的金屬 膜。導電界面層119可以至少局部地將圖案部分191中的反射式多層120的一部分與框架部分192連接,並且可以防止圖案部分211在曝光期間帶電(charge-up),從而使得曝光不受靜電電荷的影響。 The substrate 110 may include a conductive interface layer 119 between the reflective multilayer 120 and the main portion 115 of the substrate. For example, the conductive interface layer 119 may be a metal containing tantalum (Ta) or chromium (Cr) or composed of tantalum (Ta) or chromium (Cr). membrane. The conductive interface layer 119 may at least partially connect a part of the reflective multilayer 120 in the pattern portion 191 with the frame portion 192, and may prevent the pattern portion 211 from being charged-up during exposure, thereby making the exposure free from electrostatic charges. Influence.

框架溝槽180可以向下延伸至導電界面層119的上邊緣,可以延伸至導電界面層119中或者可以部分地穿孔導電界面層119,其中導電界面層119的殘留物可以在圖案部分191和框架部分192之間形成橋接。 The frame trench 180 may extend down to the upper edge of the conductive interface layer 119, may extend into the conductive interface layer 119, or may partially perforate the conductive interface layer 119, wherein residues of the conductive interface layer 119 may be in the pattern portion 191 and the frame A bridge is formed between the parts 192.

在第3A圖中,保護結構200是直接結合或沉積在基板主要部分115的表面上的單層。直接結合的保護結構200與基板主要部分115之間的結合可以基於化學鍵、氫鍵、金屬鍵、基板主要部分115與保護結構200之間的離子鍵和/或共價鍵。直接結合可包括施加適度的機械力,從而將保護結構200和光罩100相互擠壓,對此2個結合表面之至少其一以低於120℃(例如,低於100℃)的中等溫度進行熱處理,或對於此2個結合表面之組合進行熱處理,例如熔融鍵合(fusion bonding),熱壓鍵合(thermo-compressive bonding)或藉由原子重排鍵合(bonding by atomic rearrangement)。直接結合可包括不存在任何額外的中間層,特別是不存在包含樹脂的黏合劑。 In FIG. 3A, the protective structure 200 is a single layer directly bonded or deposited on the surface of the main portion 115 of the substrate. The bonding between the directly bonded protective structure 200 and the main substrate 115 may be based on chemical bonds, hydrogen bonds, metal bonds, ionic bonds and/or covalent bonds between the main substrate 115 and the protective structure 200. Direct bonding may include applying a moderate mechanical force to squeeze the protective structure 200 and the photomask 100, and at least one of the two bonding surfaces is heat-treated at a moderate temperature lower than 120°C (for example, lower than 100°C) , Or heat treatment for the combination of the two bonding surfaces, such as fusion bonding, thermo-compressive bonding or bonding by atomic rearrangement. Direct bonding may include the absence of any additional intermediate layers, especially the absence of resin-containing adhesives.

或者,可以將保護結構120沉積(例如噴塗)在基板主要部分115的暴露表面上,其中,保護結構120的形成可以包括在低於120℃的中等溫度下(例如低於110℃)烘烤噴塗的保護結構120,以除去揮發性物質。 Alternatively, the protective structure 120 may be deposited (for example sprayed) on the exposed surface of the main portion 115 of the substrate, wherein the formation of the protective structure 120 may include baking spraying at a moderate temperature lower than 120°C (for example lower than 110°C) The protective structure 120 to remove volatile substances.

在第3B圖中,保護結構200包括剛性主載體230和將剛性主載體230黏附至反射式光罩100的基板主要部分115的黏合層220。主載體230可以包括板或框架,板或框架包括玻璃、金屬、半導體材料(例如晶體或多晶矽)、陶瓷、氧化矽、燒結材料和剛性抗蝕劑(rigid resist)中的至少其一。 In FIG. 3B, the protective structure 200 includes a rigid main carrier 230 and an adhesive layer 220 for adhering the rigid main carrier 230 to the main part 115 of the substrate of the reflective photomask 100. The main carrier 230 may include a plate or frame, and the plate or frame includes at least one of glass, metal, semiconductor material (such as crystal or polysilicon), ceramic, silicon oxide, sintered material, and rigid resist.

黏合層220可逆地黏合主要載體230和主要基板110。例如,黏合層220包括膠、黏合膜和/或黏合箔。黏合層220可以包含例如聚丙烯酸酯(polyacrylate)。 The adhesive layer 220 reversibly bonds the main carrier 230 and the main substrate 110. For example, the adhesive layer 220 includes glue, adhesive film and/or adhesive foil. The adhesion layer 220 may include, for example, polyacrylate.

在第3C圖中,保護結構200包括可撓性膠帶240和將可撓性膠帶240可逆地結合至基板主要部分115的黏合層220。舉例來說,可撓性膠帶240可以包括聚烯烴(polyolefine)、聚乙烯(polyethylene,PET)或聚氯乙烯(polyvinyl chloride,PVC),或由聚烯烴(polyolefine)、聚乙烯(polyethylene,PET)或聚氯乙烯(polyvinyl chloride,PVC)所組成。舉例來說,可撓性膠帶的厚度可以在60μm至100μm的範圍內。黏合層220可以包括黏合箔。 In FIG. 3C, the protective structure 200 includes a flexible tape 240 and an adhesive layer 220 that reversibly bonds the flexible tape 240 to the main portion 115 of the substrate. For example, the flexible tape 240 may include polyolefin (polyolefine), polyethylene (polyethylene, PET), or polyvinyl chloride (polyvinyl chloride, PVC), or may be made of polyolefin (polyolefine), polyethylene (polyethylene, PET) Or it is composed of polyvinyl chloride (PVC). For example, the thickness of the flexible tape may be in the range of 60 μm to 100 μm. The adhesive layer 220 may include an adhesive foil.

第4A~4C圖涉及反射式光罩100,其基板110包括背側膜114。保護結構200直接形成在背側膜114的表面上。背側膜114可以包括導電層(例如氮化鉻(CrN)層)。關於保護結構200和光罩100的更多細節,請參照前面的描述和圖式。 4A to 4C relate to the reflective photomask 100, the substrate 110 of which includes a backside film 114. The protective structure 200 is directly formed on the surface of the backside film 114. The backside film 114 may include a conductive layer (for example, a chromium nitride (CrN) layer). For more details about the protective structure 200 and the photomask 100, please refer to the foregoing description and drawings.

第5A~5B圖繪示機械適配器(mechanical adaptor)300,機械適配器300具有適於支撐反射式光罩100的矩形接收端口310。接收端口310可以是形成在機械適配器300的頂 表面中的凹槽。接收端口310的橫向尺寸d2、d3可以等於或略大於反射式光罩100的橫向尺寸。 FIGS. 5A to 5B show a mechanical adaptor 300. The mechanical adaptor 300 has a rectangular receiving port 310 suitable for supporting the reflective optical cover 100. The receiving port 310 may be formed on the top of the mechanical adapter 300 Grooves in the surface. The lateral dimensions d2 and d3 of the receiving port 310 may be equal to or slightly larger than the lateral dimension of the reflective mask 100.

機械適配器300的輪廓303可以等於或近似於標準半導體晶圓的輪廓。例如,機械適配器300可以是半徑r1為150mm的圓形。對於設計用於將臨時載體、背面研磨膠帶(backgrinding tape)、切割膠帶(dicing tape)或拾取膠帶(pick-up tape)施加到半導體晶圓的工具(例如對於層壓工具),在接收端口310中裝有(charged with)反射式光罩100的機械適配器300可以模擬(emulate)半導體晶圓的存在。 The contour 303 of the mechanical adapter 300 may be equal to or approximate to the contour of a standard semiconductor wafer. For example, the mechanical adapter 300 may be a circle with a radius r1 of 150 mm. For tools designed to apply temporary carriers, backgrinding tape, dicing tape, or pick-up tape to semiconductor wafers (e.g., for laminating tools), enter the receiving port 310 The mechanical adapter 300 charged with the reflective photomask 100 can emulate the existence of a semiconductor wafer.

第6A至6D圖繪示在反射式光罩100的製造方法中保護結構200的使用,其中在反射式光罩100與未圖案化的吸收體層堆疊134處於遮罩素材的狀態下的處理階段,將保護結構200結合至反射式光罩100。其他實施例可以在較早的處理階段(例如在沉積吸收體層堆疊134之前,或者在形成反射式多層120之前),將保護結構200結合至反射式光罩100。 Figures 6A to 6D illustrate the use of the protective structure 200 in the manufacturing method of the reflective photomask 100, in which the reflective photomask 100 and the unpatterned absorber layer stack 134 are in the processing stage in the state of the mask material. The protective structure 200 is coupled to the reflective photomask 100. Other embodiments may incorporate the protective structure 200 to the reflective photomask 100 at an earlier processing stage (for example, before depositing the absorber layer stack 134, or before forming the reflective multilayer 120).

第6A圖的上半部分顯示具有基板110的反射式光罩100,基板110包括形成在基板主要部分115的背側上的表面上的背側膜114。對於反射式光罩100的更多細節,請參照前面的圖式的描述。第6A圖的下半部分顯示具有剛性主載體230的保護結構200。例如藉由滴落(dripping)黏合劑或藉由黏附黏合劑箔,將黏合劑221施加在主載體230的頂表面上。可替代地,可以在背側膜114的暴露表面上或者在主載體230和背側膜114兩者上施加黏合劑。沿著主載體230的頂表面,且沿著背側膜114的暴露表,保護結構200和反射式光罩100彼此接觸。 The upper part of FIG. 6A shows the reflective photomask 100 with the substrate 110 including the backside film 114 formed on the surface on the backside of the main part 115 of the substrate. For more details of the reflective photomask 100, please refer to the description of the previous drawings. The lower part of FIG. 6A shows the protective structure 200 with the rigid main carrier 230. For example, the adhesive 221 is applied on the top surface of the main carrier 230 by dripping the adhesive or by adhering the adhesive foil. Alternatively, an adhesive may be applied on the exposed surface of the backside film 114 or on both the main carrier 230 and the backside film 114. Along the top surface of the main carrier 230 and along the exposed surface of the backside film 114, the protective structure 200 and the reflective photomask 100 are in contact with each other.

如第6B圖所示,第6A圖的黏合劑221形成將主載體230結合至反射式光罩100的黏合層220。黏合劑層220和主載體230形成用於反射式光罩100的背側的保護結構200。反射式光罩100和保護結構200形成如上所述的光罩組件900。可以例如藉由圖案化吸收體層堆疊134和/或形成框架溝槽來繼續在反射式光罩100的前側的處理。 As shown in FIG. 6B, the adhesive 221 in FIG. 6A forms an adhesive layer 220 that bonds the main carrier 230 to the reflective photomask 100. The adhesive layer 220 and the main carrier 230 form a protective structure 200 for the back side of the reflective photomask 100. The reflective photomask 100 and the protective structure 200 form the photomask assembly 900 as described above. The processing on the front side of the reflective photomask 100 can be continued, for example, by patterning the absorber layer stack 134 and/or forming a frame groove.

第6C圖顯示第6B圖的吸收體層堆疊134所形成的吸收體圖案130。然後,可以藉由紫外線輻射和機械剝離來去除保護結構200。 FIG. 6C shows the absorber pattern 130 formed by the absorber layer stack 134 of FIG. 6B. Then, the protective structure 200 can be removed by ultraviolet radiation and mechanical peeling.

第6D圖顯示由第6C圖的保護結構200拆卸的反射式光罩100。 Fig. 6D shows the reflective mask 100 removed from the protective structure 200 of Fig. 6C.

一種半導體裝置的製造方法,可以包括以下步驟。提供反射式光罩,反射式光罩包括基板、在基板的第一基板表面上的反射式多層、以及在反射式光罩的前側的反射式多層上的吸收體圖案;在反射式光罩的背側的第二基板表面上提供保護結構;在超紫外線微影術設備中,使用裝有(charged with)保護結構的反射式光罩所反射的超紫外光對半導體曝光;以及在曝光半導體基板之後,去除保護結構。在更換保護結構之前,可以進行一次以上的曝光,並且可以將反射式光罩安裝在超紫外線微影術設備中不只一次。關於反射式光罩和保護結構的細節,請參照前述部分和圖式。 A method of manufacturing a semiconductor device may include the following steps. A reflective photomask is provided. The reflective photomask includes a substrate, a reflective multilayer on the first substrate surface of the substrate, and an absorber pattern on the reflective multilayer on the front side of the reflective photomask; A protective structure is provided on the surface of the second substrate on the back side; in the ultra-ultraviolet lithography apparatus, the ultra-ultraviolet light reflected by the reflective photomask charged with the protective structure is used to expose the semiconductor; and the semiconductor substrate is exposed After that, the protective structure is removed. Before replacing the protective structure, more than one exposure can be performed, and the reflective mask can be installed in the ultra-ultraviolet lithography equipment more than once. For the details of the reflective photomask and the protective structure, please refer to the aforementioned parts and drawings.

100:反射式光罩 100: reflective mask

101:第一主表面 101: The first major surface

102:第二主表面 102: second major surface

103:側表面 103: side surface

104:表面法線 104: surface normal

105:表面部分 105: surface part

110:基板 110: substrate

111:第一基板表面 111: The first substrate surface

112:第二基板表面 112: Second substrate surface

120:反射式多層 120: reflective multilayer

121:第一層 121: first layer

122:第二層 122: second layer

130:吸收體圖案 130: absorber pattern

180:框架溝槽 180: frame groove

200:保護結構 200: Protective structure

203:側表面 203: side surface

900:光罩組件 900: Mask assembly

d1:厚度 d1: thickness

Claims (17)

一種光罩組件,包括:一反射式光罩(100),包括一基板(110),及一反射式多層(120),位於該反射式光罩(100)的前側的該基板(110)的一第一基板表面(111)上;以及一保護結構(200),位於該反射式光罩(100)的背側的該基板(110)的一第二基板表面(112)上,其中該保護結構(200)在低於150℃的溫度下可與該反射式光罩(100)分離。 A photomask assembly includes: a reflective photomask (100), including a substrate (110), and a reflective multilayer (120). The substrate (110) is located on the front side of the reflective photomask (100). On a first substrate surface (111); and a protection structure (200) located on a second substrate surface (112) of the substrate (110) on the back side of the reflective photomask (100), wherein the protection The structure (200) can be separated from the reflective mask (100) at a temperature lower than 150°C. 如前述請求項所述之光罩組件,其中該基板(110)包括一背側膜(114),且其中該保護結構(200)是直接形成於該背側膜(114)上。 The photomask assembly according to the aforementioned claim, wherein the substrate (110) includes a backside film (114), and wherein the protective structure (200) is directly formed on the backside film (114). 如前述請求項之任一項所述之光罩組件,其中該保護結構(200)可藉由下列至少其一來與該反射式光罩(100)分離:施加介於110℃和150℃之間的溫度、施加電磁輻射、施加被配置為釋放該反射式光罩(100)與該保護結構(200)之間之鍵結的剝離流體、以及施加適度的機械力。 The photomask assembly according to any one of the preceding claims, wherein the protective structure (200) can be separated from the reflective photomask (100) by at least one of the following: applying a temperature between 110°C and 150°C Temperature, applying electromagnetic radiation, applying a peeling fluid configured to release the bond between the reflective mask (100) and the protective structure (200), and applying a moderate mechanical force. 如請求項1或2所述之光罩組件,其中該保護結構(200)包括一主載體(230)及一黏合層(220),其中該黏合層(220)將該主載體(230)結合至該反射式光罩(100)。 The photomask assembly according to claim 1 or 2, wherein the protective structure (200) includes a main carrier (230) and an adhesive layer (220), wherein the adhesive layer (220) combines the main carrier (230) To the reflective photomask (100). 如請求項1或2所述之光罩組件,其中 該保護結構(200)包括一載體膠帶(240)及一黏合層(220),其中該黏合層(220)將該載體膠帶(240)結合至該反射式光罩(100)。 The photomask assembly according to claim 1 or 2, wherein The protective structure (200) includes a carrier tape (240) and an adhesive layer (220), wherein the adhesive layer (220) bonds the carrier tape (240) to the reflective mask (100). 如請求項1或2所述之光罩組件,更包括:一機械適配器(300),包括適於支撐該反射式光罩(100)的一接收端口(310),其中該機械適配器(300)的輪廓(303)對應於標準晶圓輪廓。 The photomask assembly according to claim 1 or 2, further comprising: a mechanical adapter (300), including a receiving port (310) adapted to support the reflective photomask (100), wherein the mechanical adapter (300) The profile (303) corresponds to the standard wafer profile. 一種反射式光罩的製造方法,該方法包括:形成一反射式光罩(100),該反射式光罩(100)包括一基板(110)、位於該基板(110)之一第一基板表面(111)上的一反射式多層(120)、以及位於該反射式光罩(100)的前側的該反射式多層(120)上的一吸收體圖案(130);以及在形成該吸收體圖案(130)之前,將一保護結構(200)結合於該反射式光罩(100)之背側的該基板(110)的一第二基板表面(112)上。 A method for manufacturing a reflective photomask, the method comprising: forming a reflective photomask (100), the reflective photomask (100) includes a substrate (110), and is located on a first substrate surface of the substrate (110) (111) on a reflective multilayer (120), and an absorber pattern (130) on the reflective multilayer (120) on the front side of the reflective mask (100); and forming the absorber pattern (130) Before, a protective structure (200) is combined on a second substrate surface (112) of the substrate (110) on the back side of the reflective photomask (100). 如請求項7所述之方法,其中在低於150℃的溫度下,該保護結構(200)可分離於該反射式光罩(100)。 The method according to claim 7, wherein the protective structure (200) can be separated from the reflective photomask (100) at a temperature lower than 150°C. 如請求項7或8所述之方法,其中在形成該反射式多層(120)之前,將該保護結構(200)結合於該反射式光罩(100)。 The method according to claim 7 or 8, wherein before forming the reflective multilayer (120), the protective structure (200) is combined with the reflective mask (100). 如請求項7或8所述之方法,其中在形成該吸收體圖案(130)之後,將該保護結構(200)分離於該反射式光罩(100)。 The method according to claim 7 or 8, wherein after forming the absorber pattern (130), the protective structure (200) is separated from the reflective mask (100). 一種光罩組件,包括:一反射式光罩(100),包括一基板(110)、位於該基板(110)之一第一基板表面(111)上的一反射式多層(120)、以及位於該反射式光罩(100)的前側的該反射式多層(120)上的一吸收體層圖案(130);以及一保護結構(200),位在該反射式光罩(100)的背側的該基板(110)的一第二基板表面(112)上,其中藉由施加對該吸收體圖案(130)呈惰性的處理液,該保護結構(200)可從該反射式光罩(100)去除。 A photomask assembly includes: a reflective photomask (100), including a substrate (110), a reflective multilayer (120) on a first substrate surface (111) of the substrate (110), and An absorber layer pattern (130) on the reflective multilayer (120) on the front side of the reflective photomask (100); and a protective structure (200) located on the back side of the reflective photomask (100) On a second substrate surface (112) of the substrate (110), by applying a treatment solution that is inert to the absorber pattern (130), the protective structure (200) can be removed from the reflective photomask (100) Remove. 如請求項11所述之光罩組件,其中該反射式光罩(100)包括一背側膜(114),該背側膜(114)直接形成於該第二基板表面(112)上,且其中該保護結構(200)是直接形成於該背側膜(114)上。 The photomask assembly according to claim 11, wherein the reflective photomask (100) includes a backside film (114), and the backside film (114) is directly formed on the second substrate surface (112), and The protective structure (200) is directly formed on the back side film (114). 如請求項11或12所述之光罩組件,其中該保護結構(200)包括完全可溶解在溶解劑流體中的材料。 The photomask assembly according to claim 11 or 12, wherein the protective structure (200) includes a material that is completely soluble in a solvent fluid. 如請求項11或12所述之光罩組件,其中該保護結構(200)是噴塗於該第二基板表面(112)上。 The photomask assembly according to claim 11 or 12, wherein the protective structure (200) is sprayed on the surface (112) of the second substrate. 一種反射式光罩的製造方法,該方法包括:形成一反射式光罩(100),該反射式光罩(100)包括一基板(110)以及位於該反射式光罩(100)的前側的該基板(110)之一第一基板表面(111)上的一反射式多層(120);在形成一吸收體圖案(130)於該反射式多層(120)上之前,提供一保護結構(200)於該反射式光罩(100)之背側的該基板(110)的一第二基板表面(112)上;以及 在形成該吸收體圖案(130)之後,移除該保護結構(200)。 A method for manufacturing a reflective photomask, the method comprising: forming a reflective photomask (100), the reflective photomask (100) includes a substrate (110) and a front side of the reflective photomask (100) A reflective multilayer (120) on a first substrate surface (111) of the substrate (110); before forming an absorber pattern (130) on the reflective multilayer (120), a protective structure (200) is provided ) On a second substrate surface (112) of the substrate (110) on the back side of the reflective photomask (100); and After forming the absorber pattern (130), the protective structure (200) is removed. 如請求項15所述之方法,其中在至多150℃的溫度下及/或藉由施加對於該吸收體圖案(130)呈惰性的處理液,將該保護結構(200)從該反射式光罩(100)移除。 The method according to claim 15, wherein the protective structure (200) is removed from the reflective photomask at a temperature of at most 150°C and/or by applying a treatment liquid that is inert to the absorber pattern (130) (100) Remove. 如請求項15所述之方法,其中將該保護結構(200)從該反射式光罩(100)拆卸。 The method according to claim 15, wherein the protective structure (200) is detached from the reflective mask (100).
TW109100588A 2019-01-14 2020-01-08 Photomask assembly with reflective photomask and method of manufacturing a reflective photomask TWI736101B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102019100839.5A DE102019100839A1 (en) 2019-01-14 2019-01-14 PHOTOMASK ARRANGEMENT WITH REFLECTIVE PHOTOMASK AND METHOD FOR PRODUCING A REFLECTIVE PHOTOMASK
DE102019100839.5 2019-01-14

Publications (2)

Publication Number Publication Date
TW202041963A TW202041963A (en) 2020-11-16
TWI736101B true TWI736101B (en) 2021-08-11

Family

ID=71131787

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109100588A TWI736101B (en) 2019-01-14 2020-01-08 Photomask assembly with reflective photomask and method of manufacturing a reflective photomask

Country Status (4)

Country Link
US (1) US11537039B2 (en)
KR (1) KR102354583B1 (en)
DE (1) DE102019100839A1 (en)
TW (1) TWI736101B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11340524B2 (en) * 2019-04-01 2022-05-24 Taiwan Semiconductor Manufacturing Company Ltd. Photomask, method of fabricating a photomask, and method of fabricating a semiconductor structure using a photomask
KR102522952B1 (en) * 2020-09-02 2023-04-19 주식회사 에스앤에스텍 Reflective type Blankmask for EUV, and Method for Inspecting Defect thereof
US20230032950A1 (en) * 2021-07-30 2023-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Euv photo masks and manufacturing method thereof
DE102022205302A1 (en) 2022-05-25 2023-11-30 Carl Zeiss Smt Gmbh Mirror, especially for a microlithographic projection exposure system

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201209856A (en) * 2010-07-02 2012-03-01 Fujifilm Corp Conductive layer transferring material and touch panel
TW201327044A (en) * 2011-10-31 2013-07-01 Hitachi Chemical Co Ltd Photosensitive resin composition, photosensitive element, method for producing resist pattern, and method for producing printed wiring board
TW201327669A (en) * 2011-10-13 2013-07-01 Applied Materials Inc Method for etching EUV reflective multi-material layers utilized to form a photomask
JP2015088742A (en) * 2013-09-27 2015-05-07 Hoya株式会社 Substrate with conductive film, substrate with multilayer reflective film, reflective mask blank, reflective mask, and method for manufacturing semiconductor device

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2714450B2 (en) * 1989-08-10 1998-02-16 ダイセル化学工業株式会社 Dustproof film
JP4959080B2 (en) * 1999-06-07 2012-06-20 エクストリーム、ウルトラバイオレット、リミテッド、ライアビリティ、カンパニー Reflective mask substrate coating
DE10317792A1 (en) * 2003-04-16 2004-11-11 Schott Glas Blank mask for use in EUV lithography and process for its manufacture
CN1846174A (en) 2003-08-25 2006-10-11 凸版光掩膜公司 Photomask and method for maintaining optical properties of the same
JP2010122304A (en) * 2008-11-17 2010-06-03 Dainippon Printing Co Ltd Reflective mask blank, reflective mask, method for manufacturing reflective mask blank, and method for manufacturing reflective mask
JP5570732B2 (en) * 2009-01-22 2014-08-13 積水化学工業株式会社 Antistatic photomask protective adhesive film
JP2012009537A (en) * 2010-06-23 2012-01-12 Dainippon Printing Co Ltd Reflection type mask blank, reflection type mask, method of manufacturing reflection type mask blank, and method of manufacturing reflection type mask
JP5743450B2 (en) * 2010-07-28 2015-07-01 株式会社東芝 Reticle chuck cleaner
JP5888247B2 (en) * 2011-02-04 2016-03-16 旭硝子株式会社 Substrate with conductive film, substrate with multilayer reflective film, and reflective mask blank for EUV lithography
JP5703841B2 (en) * 2011-02-28 2015-04-22 凸版印刷株式会社 Reflective mask
WO2012157629A1 (en) * 2011-05-19 2012-11-22 Hoya株式会社 Mask blank substrate, mask blank, reflective mask blank, transfer mask, reflective mask, and method for making these
KR101830327B1 (en) * 2012-03-08 2018-02-21 삼성전자주식회사 Photomasks Having Patterns for EUV light and Patterns for DUV light
US8658333B2 (en) * 2012-06-04 2014-02-25 Nanya Technology Corporation Reflective mask
JP5932498B2 (en) * 2012-06-05 2016-06-08 ルネサスエレクトロニクス株式会社 Semiconductor device manufacturing method and mask
KR20140016662A (en) * 2012-07-30 2014-02-10 에스케이하이닉스 주식회사 Mask for extrem ultra violite lithograpghy and method for fabricating the same, method for correcting mask registration error
JP2015053473A (en) * 2013-08-07 2015-03-19 日東電工株式会社 Adhesive tape sticking method and adhesive tape sticking device
JP6303399B2 (en) * 2013-10-28 2018-04-04 凸版印刷株式会社 EUV exposure equipment
JP6209103B2 (en) * 2014-02-25 2017-10-04 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition, resist film using the same, resist-coated mask blank, resist pattern forming method, and photomask
KR102374204B1 (en) * 2016-03-25 2022-03-14 삼성전자주식회사 Method of manufacturing semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201209856A (en) * 2010-07-02 2012-03-01 Fujifilm Corp Conductive layer transferring material and touch panel
CN102971806A (en) * 2010-07-02 2013-03-13 富士胶片株式会社 Conductive layer transfer material and touch panel
TW201327669A (en) * 2011-10-13 2013-07-01 Applied Materials Inc Method for etching EUV reflective multi-material layers utilized to form a photomask
TW201327044A (en) * 2011-10-31 2013-07-01 Hitachi Chemical Co Ltd Photosensitive resin composition, photosensitive element, method for producing resist pattern, and method for producing printed wiring board
JP2015088742A (en) * 2013-09-27 2015-05-07 Hoya株式会社 Substrate with conductive film, substrate with multilayer reflective film, reflective mask blank, reflective mask, and method for manufacturing semiconductor device

Also Published As

Publication number Publication date
KR20200088777A (en) 2020-07-23
KR102354583B1 (en) 2022-01-24
TW202041963A (en) 2020-11-16
DE102019100839A1 (en) 2020-07-16
US11537039B2 (en) 2022-12-27
US20200225571A1 (en) 2020-07-16

Similar Documents

Publication Publication Date Title
TWI736101B (en) Photomask assembly with reflective photomask and method of manufacturing a reflective photomask
US10859908B2 (en) Method to fabricate mask-pellicle system
TWI574114B (en) Reticle chuck cleaner and method for cleaning reticle chuck
JP7174625B2 (en) Method for manufacturing membrane assembly for EUV lithography, membrane assembly, lithographic apparatus and device manufacturing method
TWI659261B (en) Pellicle removal method, pellicle using method and device having pellicle
TWI810289B (en) Pellicle assembly, method of preparing a pellicle, pellicle for a lithographic apparatus, and use of a pellicle
CN106896637A (en) Blank mask
CN113196168A (en) Method of manufacturing a membrane assembly
TWI515509B (en) Pellicle for lithography and method for fabricating the same
JP5703841B2 (en) Reflective mask
JP2006229239A (en) Method for manufacturing reflective photomask
US10962878B2 (en) Approach for ultra thin-film transfer and handling
US11953838B2 (en) Lithography support cleaning with cleaning substrate having controlled geometry and composition
JP2018536186A (en) Method for manufacturing a membrane assembly
JP5252984B2 (en) Pellicle for semiconductor lithography and method for manufacturing the same
US10262853B2 (en) Removing particulate contaminants from the backside of a wafer or reticle
JP6303399B2 (en) EUV exposure equipment
JP2007293036A (en) Pellicle for lithography
US8865376B2 (en) EUVL process structure fabrication methods
US20090239158A1 (en) Method of maintaining mask for semiconductor process