TWI724815B - Method for forming semiconductor structure - Google Patents

Method for forming semiconductor structure Download PDF

Info

Publication number
TWI724815B
TWI724815B TW109107803A TW109107803A TWI724815B TW I724815 B TWI724815 B TW I724815B TW 109107803 A TW109107803 A TW 109107803A TW 109107803 A TW109107803 A TW 109107803A TW I724815 B TWI724815 B TW I724815B
Authority
TW
Taiwan
Prior art keywords
mask
layer
patterned
hard
wide
Prior art date
Application number
TW109107803A
Other languages
Chinese (zh)
Other versions
TW202135241A (en
Inventor
吳庭瑋
楊政達
周信宏
Original Assignee
華邦電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 華邦電子股份有限公司 filed Critical 華邦電子股份有限公司
Priority to TW109107803A priority Critical patent/TWI724815B/en
Application granted granted Critical
Publication of TWI724815B publication Critical patent/TWI724815B/en
Publication of TW202135241A publication Critical patent/TW202135241A/en

Links

Images

Abstract

A method for forming a semiconductor structure includes: forming an active layer on a substrate; forming a first hard mask layer and a second hard mask on the active layer; patterning the second hard mask layer to form a plurality of patterned second hard masks, and the plurality of patterned second hard masks include a second wide mask and a second narrow mask; forming a plurality of spacers on sidewalls of the second wide mask and the second narrow mask; forming a photoresist layer to cover a top surface of the second wide mask and the side surfaces of a pair of spacers on the sidewalls of the second wide mask, and perform a etching process to remove the second narrow mask between the plurality of spacers; and remove the photoresist layer, then etching the first hard mask layer with the plurality of spacers and the second wide mask together as an etching mask to form a plurality of patterned first hard mask on the active layer, wherein the plurality of spacers are used to define a first line width, and the second wide mask and the pair of spacers formed on the sidewalls of the second wide mask are used together to define a second line width.

Description

半導體結構之形成方法Method for forming semiconductor structure

本發明是有關於一種半導體結構的形成方法,特別是有關於快閃記憶體裝置之半導體結構的形成方法。The present invention relates to a method for forming a semiconductor structure, in particular to a method for forming a semiconductor structure in a flash memory device.

為了增加快閃記憶體裝置內的元件密度以及改善其整體表現,目前記憶體裝置的製造技術持續朝向元件尺寸的微縮化而努力。In order to increase the density of the devices in the flash memory device and improve its overall performance, the current manufacturing technology of the memory device continues to make efforts towards the miniaturization of the device size.

然而,當元件尺寸持續縮小時,許多挑戰隨之而生。舉例而言,在半導體製造製程中,經常透過微影和蝕刻製程形成用來在目標層中定義部件的圖案化遮罩層。然而,在形成小尺寸的半導體結構時,容易發生微影製程的疊對偏移(overlay shift)以及離子束蝕刻受到多層光阻層的散射的問題,導致所定義之部件的結構不如預期。However, as component sizes continue to shrink, many challenges arise. For example, in the semiconductor manufacturing process, a patterned mask layer used to define features in the target layer is often formed through lithography and etching processes. However, when forming a small-sized semiconductor structure, the problems of overlay shift of the lithography process and scattering of the ion beam etching by the multilayer photoresist layer are prone to occur, resulting in the structure of the defined component not as expected.

本發明的一些實施例提供一種半導體結構之形成方法,包含:形成目標層於基板上;形成硬遮罩堆疊於目標層上,其中硬遮罩堆疊包括依序形成於目標層上的第一硬遮罩層以及第二硬遮罩層;圖案化第二硬遮罩層以形成複數個圖案化第二硬遮罩,其中此些圖案化第二硬遮罩包括第二寬遮罩及第二窄遮罩;形成複數個間隙物於第二寬遮罩及第二窄遮罩之側壁;形成光阻層以覆蓋第二寬遮罩之頂面,且光阻層覆蓋位於第二寬遮罩之側壁的一對間隙物的側表面,並執行蝕刻製程來移除位於此些間隙物之間的第二窄遮罩;以及移除光阻層,接著藉由此些間隙物與第二寬遮罩作為蝕刻遮罩來蝕刻第一硬遮罩層,以形成複數個圖案化第一硬遮罩於目標層上,其中此些間隙物用以定義第一線寬,第二寬遮罩與形成在第二寬遮罩之側壁的一對間隙物共同用以定義第二線寬。Some embodiments of the present invention provide a method for forming a semiconductor structure, including: forming a target layer on a substrate; forming a hard mask stack on the target layer, wherein the hard mask stack includes first hard masks sequentially formed on the target layer. A mask layer and a second hard mask layer; the second hard mask layer is patterned to form a plurality of patterned second hard masks, wherein the patterned second hard masks include a second wide mask and a second Narrow mask; forming a plurality of spacers on the sidewalls of the second wide mask and the second narrow mask; forming a photoresist layer to cover the top surface of the second wide mask, and the photoresist layer covers the second wide mask The side surfaces of a pair of spacers on the sidewalls, and an etching process is performed to remove the second narrow mask located between the spacers; and the photoresist layer is removed, and then the spacers and the second wide The mask is used as an etching mask to etch the first hard mask layer to form a plurality of patterned first hard masks on the target layer, wherein the spacers are used to define the first line width, the second wide mask and A pair of spacers formed on the sidewalls of the second wide mask are used to define the second line width.

第1至13圖是根據本發明的一些實施例,說明形成第14圖所示之半導體結構1000在各個階段的剖面示意圖。參照第1圖,將目標層100形成於基板10上,將硬遮罩堆疊200形成於目標層100上,並於硬遮罩堆疊200上依序形成抗反射層301與圖案化光阻層300。目標層100包含閘極層101、形成於閘極層101上的導電材料層102、以及形成於導電材料層102上的蓋層103。硬遮罩堆疊200包含依序形成於目標層100上的第一硬遮罩層210、第二硬遮罩層220、以及第三硬遮罩層230。形成於第三硬遮罩層230上的圖案化光阻層300包含具有寬度W1的窄光阻圖案300A以及具有寬度W2的寬光阻圖案300B。FIGS. 1-13 are schematic cross-sectional views illustrating various stages of forming the semiconductor structure 1000 shown in FIG. 14 according to some embodiments of the present invention. 1, a target layer 100 is formed on the substrate 10, a hard mask stack 200 is formed on the target layer 100, and an anti-reflection layer 301 and a patterned photoresist layer 300 are sequentially formed on the hard mask stack 200 . The target layer 100 includes a gate layer 101, a conductive material layer 102 formed on the gate layer 101, and a cap layer 103 formed on the conductive material layer 102. The hard mask stack 200 includes a first hard mask layer 210, a second hard mask layer 220, and a third hard mask layer 230 sequentially formed on the target layer 100. The patterned photoresist layer 300 formed on the third hard mask layer 230 includes a narrow photoresist pattern 300A having a width W1 and a wide photoresist pattern 300B having a width W2.

根據本發明的一些實施例,目標層100可形成於包含穿隧氧化層(tunneling oxide layer)與浮動閘極結構(floating gate structure)之半導體基板10上。可理解的是,為了清楚簡潔地描述本發明的一些實施例並突顯本發明之技術特徵,此處並未繪示出位於半導體基板中的部件。According to some embodiments of the present invention, the target layer 100 may be formed on the semiconductor substrate 10 including a tunneling oxide layer and a floating gate structure. It is understandable that, in order to clearly and concisely describe some embodiments of the present invention and highlight the technical features of the present invention, the components located in the semiconductor substrate are not shown here.

在一些實施例中,基板10可為元素半導體(elemental semiconductor),包含:矽(silicon)或鍺(germanium);化合物半導體(compound semiconductor),包含:氮化鎵(gallium nitride,GaN)、碳化矽(silicon carbide)、砷化鎵(gallium arsenide)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)及/或銻化銦(indium antimonide)。在其他實施例中,基板10也可以是絕緣層上覆半導體(semiconductor on insulator)基板,上述絕緣層覆半導體基板可包含底板、設置於底板上之埋置氧化層、及設置於埋置氧化層上之半導體層。In some embodiments, the substrate 10 may be an elemental semiconductor, including silicon or germanium; a compound semiconductor, including gallium nitride (GaN), silicon carbide (Silicon carbide), gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and/or indium antimonide. In other embodiments, the substrate 10 may also be a semiconductor on insulator substrate. The semiconductor on insulator substrate may include a bottom plate, a buried oxide layer disposed on the bottom plate, and a buried oxide layer. On the semiconductor layer.

在一些實施例中,目標層100所包含之閘極層101可由導電材料形成,例如,多晶矽、金屬、或金屬氮化物。位於閘極層101上的導電材料層102可包含例如鎢(W)、氮化鎢(WN)、其他適合的導電材料、或前述之組合。在一些實施例中,位於導電材料層102上的蓋層103的材料可包含氮化物,例如氮化矽(SiN)。In some embodiments, the gate layer 101 included in the target layer 100 may be formed of a conductive material, for example, polysilicon, metal, or metal nitride. The conductive material layer 102 on the gate layer 101 may include, for example, tungsten (W), tungsten nitride (WN), other suitable conductive materials, or a combination of the foregoing. In some embodiments, the material of the cap layer 103 on the conductive material layer 102 may include nitride, such as silicon nitride (SiN).

繼續參照第1圖,第一硬遮罩層210包含形成於目標層100上的氧化物層211以及形成於氧化物層211上的氮化物層212。在一些實施例中,氧化物層211可由例如四乙氧基矽烷(tetraethyl orthosilicate,TEOS)氧化物或其他適合的氧化物所形成。氮化物層212的材料包含氮化矽(SiN)、氮氧化矽(SiON)、氮化鈦(TiN)、氮化鉭(TaN)、或其他適合的氮化物。在一些實施例中,第二硬遮罩層220可由四乙氧基矽烷(TEOS)氧化物所形成。第三硬遮罩層230可由多晶矽(polysilicon)所形成。在一些實施例中,形成於第三硬遮罩層230上的抗反射層301可包含例如旋塗碳(spin-on carbon)、氮氧化矽(SiON)、其他適合的抗反射材料、或前述之組合。Continuing to refer to FIG. 1, the first hard mask layer 210 includes an oxide layer 211 formed on the target layer 100 and a nitride layer 212 formed on the oxide layer 211. In some embodiments, the oxide layer 211 may be formed of, for example, tetraethyl orthosilicate (TEOS) oxide or other suitable oxides. The material of the nitride layer 212 includes silicon nitride (SiN), silicon oxynitride (SiON), titanium nitride (TiN), tantalum nitride (TaN), or other suitable nitrides. In some embodiments, the second hard mask layer 220 may be formed of tetraethoxysilane (TEOS) oxide. The third hard mask layer 230 may be formed of polysilicon. In some embodiments, the anti-reflective layer 301 formed on the third hard mask layer 230 may include, for example, spin-on carbon, silicon oxynitride (SiON), other suitable anti-reflective materials, or the foregoing的组合。 The combination.

可理解的是,本發明的一些實施例是利用第一硬遮罩層210、第二硬遮罩層220、以及第三硬遮罩層230之間的蝕刻選擇性差異,以在後續的各道蝕刻製程中對特定膜層進行蝕刻(細節將在下文討論)。因此,此處所提及之硬遮罩堆疊200中之各個膜層的材料僅為例示性的,其可依據製程條件搭配適合的遮罩材料,本發明之實施例並不以此為限制。It is understandable that some embodiments of the present invention utilize the difference in etch selectivity among the first hard mask layer 210, the second hard mask layer 220, and the third hard mask layer 230, so as to improve the etch selectivity in the subsequent steps. The specific film layer is etched during the etching process (details will be discussed below). Therefore, the materials of each film layer in the hard mask stack 200 mentioned here are only exemplary, and suitable mask materials can be matched according to the process conditions, and the embodiments of the present invention are not limited thereto.

根據本發明的一些實施例,圖案化光阻層300的形成可藉由旋轉塗佈製程來塗佈光阻劑於抗反射層301上,並使用適合的光罩以曝光光阻劑來形成包含窄光阻圖案300A與寬光阻圖案300B的圖案化光阻層300。在一些實施例中,窄光阻圖案300A之寬度W1可在0.045微米(micrometers,um)至0.055微米的範圍,而寬光阻圖案300B之寬度W2可在0.1微米至5微米的範圍。According to some embodiments of the present invention, the patterned photoresist layer 300 can be formed by applying a photoresist on the anti-reflective layer 301 by a spin coating process, and using a suitable photomask to expose the photoresist. The patterned photoresist layer 300 of the narrow photoresist pattern 300A and the wide photoresist pattern 300B. In some embodiments, the width W1 of the narrow photoresist pattern 300A may be in the range of 0.045 μm (micrometers, um) to 0.055 μm, and the width W2 of the wide photoresist pattern 300B may be in the range of 0.1 μm to 5 μm.

參照第2圖,藉由蝕刻製程將圖案化光阻層300之圖案(即窄光阻圖案300A與寬光阻圖案300B)轉移至其下的抗反射層301與第三硬遮罩層230,以形成圖案化抗反射層301A、301B與包含複數個第三窄遮罩230A及第三寬遮罩230B的圖案化第三硬遮罩230P。所形成之第三窄遮罩230A與第三寬遮罩230B的寬度分別與窄光阻圖案300A之寬度W1與寬光阻圖案300B之寬度W2大抵相同。在一些實施例中,圖案化第三硬遮罩230P之間(即複數個第三窄遮罩230A之間及/或第三窄遮罩230A與第三寬遮罩230B之間)具有第一間距S1在0.045微米至0.055微米的範圍。Referring to Figure 2, the pattern of the patterned photoresist layer 300 (ie, the narrow photoresist pattern 300A and the wide photoresist pattern 300B) is transferred to the underlying anti-reflection layer 301 and the third hard mask layer 230 through an etching process. To form patterned anti-reflection layers 301A, 301B and a patterned third hard mask 230P including a plurality of third narrow masks 230A and third wide masks 230B. The widths of the formed third narrow mask 230A and third wide mask 230B are approximately the same as the width W1 of the narrow photoresist pattern 300A and the width W2 of the wide photoresist pattern 300B, respectively. In some embodiments, the patterned third hard mask 230P (that is, between the plurality of third narrow masks 230A and/or between the third narrow mask 230A and the third wide mask 230B) has a first The spacing S1 is in the range of 0.045 micrometers to 0.055 micrometers.

參照第3圖,可使用例如灰化(ashing)及/或濕式去除(wet strip)製程來移除圖案化光阻層300與圖案化抗反射層301A、301B,並保留複數個第三窄遮罩230A及第三寬遮罩230B於第二硬遮罩層220上。Referring to FIG. 3, the patterned photoresist layer 300 and the patterned anti-reflective layers 301A, 301B can be removed using, for example, ashing and/or wet strip processes, and a plurality of third narrow layers are retained. The mask 230A and the third wide mask 230B are on the second hard mask layer 220.

參照第4圖,藉由包含第三窄遮罩230A及第三寬遮罩230B的圖案化第三硬遮罩230P作為蝕刻遮罩來蝕刻第二硬遮罩層220,以形成位於圖案化第三硬遮罩230P之下的圖案化第二硬遮罩220P。如第4圖所示,圖案化第二硬遮罩220P包含複數個第二窄遮罩220A及第二寬遮罩220B,其中第二窄遮罩220A是由第三窄遮罩230A所定義,而第二寬遮罩220B是由第三寬遮罩230B所定義。在一些實施例中,複數個圖案化第二硬遮罩220P之間(即複數個第二窄遮罩220A之間及/或第二窄遮罩220A與第二寬遮罩220B之間)的間距大抵相同於第一間距S1。Referring to FIG. 4, the second hard mask layer 220 is etched by using a patterned third hard mask 230P including a third narrow mask 230A and a third wide mask 230B as an etching mask to form a second hard mask layer 220 located in the patterned first mask. The patterned second hard mask 220P under the three hard mask 230P. As shown in Figure 4, the patterned second hard mask 220P includes a plurality of second narrow masks 220A and second wide masks 220B. The second narrow mask 220A is defined by the third narrow mask 230A. The second wide mask 220B is defined by the third wide mask 230B. In some embodiments, between the plurality of patterned second hard masks 220P (that is, between the plurality of second narrow masks 220A and/or between the second narrow masks 220A and the second wide masks 220B) The spacing is substantially the same as the first spacing S1.

參照第5圖,側向蝕刻圖案化第二硬遮罩220P以形成窄化圖案化第二硬遮罩220P’,並使得複數個圖案化第二硬遮罩220P之間的第一間距S1增加為第二間距S2。在一些實施例中,窄化圖案化第二硬遮罩220P’包含窄化第二窄遮罩220A’與窄化第二寬遮罩220B’,其中窄化第二窄遮罩220A’的寬度W3可在0.02微米至0.05微米的範圍,而窄化第二寬遮罩220B’的寬度W4可在0.075微米至4.995微米的範圍,並且第二間距S2可在0.05微米至0.07微米的範圍。根據本發明的一些實施例,可藉由將圖案化第二硬遮罩220P窄化,來調整後續所形成之快閃記憶體裝置中之字元線結構的間距。具體而言,當窄化圖案化第二硬遮罩220P’的寬度越窄,則後續所形成之字元線結構的間距也越窄。Referring to FIG. 5, the second hard mask 220P is patterned by side etching to form a narrowed patterned second hard mask 220P', and the first spacing S1 between the plurality of patterned second hard masks 220P is increased It is the second spacing S2. In some embodiments, the narrowed patterned second hard mask 220P' includes a narrowed second narrow mask 220A' and a narrowed second wide mask 220B', wherein the width of the second narrow mask 220A' is narrowed W3 may be in the range of 0.02 micrometers to 0.05 micrometers, and the width W4 of the narrowed second wide mask 220B′ may be in the range of 0.075 micrometers to 4.995 micrometers, and the second spacing S2 may be in the range of 0.05 micrometers to 0.07 micrometers. According to some embodiments of the present invention, by narrowing the patterned second hard mask 220P, the pitch of the character line structure in the flash memory device to be formed subsequently can be adjusted. Specifically, when the width of the narrowed patterned second hard mask 220P' is narrower, the pitch of the subsequent formed character line structure is also narrower.

根據本發明的一些實施例,上述側向蝕刻圖案化第二硬遮罩220P的步驟可為濕式蝕刻製程。According to some embodiments of the present invention, the step of patterning the second hard mask 220P by side etching may be a wet etching process.

參照第6圖,對包含第三窄遮罩230A及第三寬遮罩230B的圖案化第三硬遮罩230P執行蝕刻製程以將其從窄化圖案化第二硬遮罩220P’之頂面移除。Referring to FIG. 6, an etching process is performed on the patterned third hard mask 230P including the third narrow mask 230A and the third wide mask 230B to remove it from the top surface of the narrowed patterned second hard mask 220P' Remove.

參照第7圖,沉積間隙物材料層700於窄化第二窄遮罩220A’與窄化第二寬遮罩220B’上。具體而言,間隙物材料層700覆蓋第一硬遮罩層210之頂面以及窄化第二窄遮罩220A’與窄化第二寬遮罩220B’之側壁及頂面。在一些實施例中,間隙物材料層700包含多晶矽。Referring to FIG. 7, a spacer material layer 700 is deposited on the narrowed second narrow mask 220A' and the narrowed second wide mask 220B'. Specifically, the spacer material layer 700 covers the top surface of the first hard mask layer 210 and the sidewalls and top surfaces of the narrowed second narrow mask 220A' and the narrowed second wide mask 220B'. In some embodiments, the spacer material layer 700 includes polysilicon.

參照第8圖,蝕刻一部分的間隙物材料層700,以形成覆蓋窄化第二窄遮罩220A’之側壁的複數個間隙物700A,以及覆蓋窄化第二寬遮罩220B’之側壁的複數個間隙物700B,並露出窄化第二窄遮罩220A’及窄化第二寬遮罩220B’之頂面。根據本發明的一些實施例,間隙物700A與間隙物700B之寬度大抵相同,其可在0.012微米至0.027微米的範圍。在一些實施例中,可藉由調整間隙物700A、700B的寬度,來調整後續所形成之字元線結構的寬度以符合產品需求,其細節將在下文討論。Referring to FIG. 8, a part of the spacer material layer 700 is etched to form a plurality of spacers 700A covering the sidewalls of the narrowed second narrow mask 220A', and a plurality of spacers 700A covering the sidewalls of the narrowed second wide mask 220B' There are two spacers 700B, and the top surfaces of the narrowed second narrow mask 220A' and the narrowed second wide mask 220B' are exposed. According to some embodiments of the present invention, the width of the spacer 700A and the spacer 700B are approximately the same, which may be in the range of 0.012 μm to 0.027 μm. In some embodiments, the width of the character line structure formed subsequently can be adjusted by adjusting the width of the spacers 700A and 700B to meet the product requirements, the details of which will be discussed below.

參照第9圖,形成光阻層900覆蓋窄化第二寬遮罩220B’。根據本發明的一些實施例,光阻層900之邊界BD(或側壁)可位於窄化第二寬遮罩220B’之側壁SW1與緊鄰的窄化第二窄遮罩220A’之側壁SW2之間。換句話說,在一些實施例中,覆蓋窄化第二寬遮罩220B’及間隙物700B的光阻層900並不會覆蓋窄化第二窄遮罩220A’,以利於在後續的蝕刻製程移除窄化第二窄遮罩220A’。舉例來說,第9圖是根據本發明的一些實施例,繪示出光阻層900覆蓋窄化第二寬遮罩220B’之頂面,並覆蓋形成在窄化第二寬遮罩220B’之側壁的一對間隙物700B的側表面。據此,相較於直接在間隙物之間形成光阻層而不具有遮罩(即不具有第二寬遮罩220B’)在其之間,並僅以光阻層與間隙物作為後續蝕刻製程之蝕刻遮罩的情況,本發明所提供的實施例可有效提升光阻層900之形成的製程容許度。Referring to Figure 9, a photoresist layer 900 is formed to cover the narrowed second wide mask 220B'. According to some embodiments of the present invention, the boundary BD (or sidewall) of the photoresist layer 900 may be located between the sidewall SW1 of the narrowed second wide mask 220B' and the sidewall SW2 of the adjacent narrowed second narrow mask 220A' . In other words, in some embodiments, the photoresist layer 900 covering the narrowed second wide mask 220B' and the spacer 700B does not cover the narrowed second narrow mask 220A' to facilitate the subsequent etching process Remove the narrowed second narrow mask 220A'. For example, FIG. 9 shows the photoresist layer 900 covering the top surface of the narrowed second wide mask 220B', and covering the top surface of the narrowed second wide mask 220B' according to some embodiments of the present invention. Side surfaces of a pair of spacers 700B of the side wall. Accordingly, compared to directly forming a photoresist layer between the spacers without a mask (that is, without the second wide mask 220B') between them, and only using the photoresist layer and the spacers as the subsequent etching In the case of the etching mask of the process, the embodiments provided by the present invention can effectively improve the process tolerance of the formation of the photoresist layer 900.

參照第10圖,藉由所形成的光阻層900遮蔽窄化第二寬遮罩220B’,並執行蝕刻製程來移除位於間隙物700A之間的窄化第二窄遮罩220A’。在一些實施例中,在移除窄化第二窄遮罩220A’之後,形成開口OP於間隙物700A之間,其中位於間隙物700A之間的第一硬遮罩層210(例如氮化物層212)的頂面部分從開口OP露出。Referring to FIG. 10, the formed photoresist layer 900 masks the narrowed second wide mask 220B', and performs an etching process to remove the narrowed second narrow mask 220A' between the spacers 700A. In some embodiments, after removing the narrowed second narrow mask 220A′, an opening OP is formed between the spacers 700A, wherein the first hard mask layer 210 (such as a nitride layer) located between the spacers 700A The top surface part of 212) is exposed from the opening OP.

參照第11圖,藉由例如灰化(ashing)及/或濕式去除(wet strip)製程來移除光阻層900,並保留複數個間隙物700A、窄化第二寬遮罩220B’、以及覆蓋窄化第二寬遮罩220B’之側壁的此對間隙物700B,以在後續的蝕刻製程中作為蝕刻遮罩。Referring to FIG. 11, the photoresist layer 900 is removed by, for example, an ashing and/or wet strip process, leaving a plurality of spacers 700A, narrowing the second wide mask 220B', And the pair of spacers 700B covering the sidewalls of the narrowed second wide mask 220B′ are used as an etching mask in the subsequent etching process.

參照第12圖,藉由間隙物700A、窄化第二寬遮罩220B’、以及覆蓋窄化第二寬遮罩220B’之側壁的間隙物700B作為蝕刻遮罩來蝕刻(例如執行反應式離子蝕刻(RIE)的乾式蝕刻)第一硬遮罩層210,以形成複數個圖案化第一硬遮罩層210P於目標層100上。根據本發明的一些實施例,間隙物700A可用以定義第一線寬(例如字元線結構的寬度(如第14圖所示的寬度W7)),而窄化第二寬遮罩220B’以及覆蓋其側壁的此對間隙物700B可共同用以定義第二線寬(例如選擇閘極結構的寬度(如第14圖所示的寬度W8))。Referring to FIG. 12, the spacer 700A, the narrowed second wide mask 220B', and the spacer 700B covering the sidewalls of the narrowed second wide mask 220B' are used as an etching mask to etch (for example, perform reactive ion Dry etching (RIE)) the first hard mask layer 210 to form a plurality of patterned first hard mask layers 210P on the target layer 100. According to some embodiments of the present invention, the spacer 700A can be used to define the first line width (for example, the width of the character line structure (such as the width W7 shown in FIG. 14)), while narrowing the second wide mask 220B', and The pair of spacers 700B covering the sidewalls thereof can be used together to define the second line width (for example, the width of the selected gate structure (such as the width W8 shown in FIG. 14)).

根據本發明的一些實施例,相較於將厚度大於間隙物之遮罩(例如在形成間隙物之後才形成的光阻層)形成在間隙物之間而與間隙物共同作為蝕刻遮罩的情況,由於本案之實施例中的窄化第二寬遮罩220B’及覆蓋在其側壁的間隙物700B之頂面不具有高度落差,因而與間隙物700A所共同形成的蝕刻遮罩可有效避免在蝕刻製程中(例如反應式離子蝕刻(RIE)的乾式蝕刻)造成離子束的散射,進而避免下方的圖案化第一硬遮罩層210P發生結構歪斜的問題。According to some embodiments of the present invention, compared to the case where a mask with a thickness greater than the spacers (for example, a photoresist layer formed after the spacers are formed) is formed between the spacers and used as an etching mask with the spacers Since the narrowed second wide mask 220B' and the top surface of the spacer 700B covering its sidewall in the embodiment of the present case do not have a height difference, the etching mask formed together with the spacer 700A can effectively avoid During the etching process (for example, reactive ion etching (RIE) dry etching), the ion beam is scattered, thereby avoiding the problem of structural distortion of the patterned first hard mask layer 210P underneath.

繼續參照第12圖,圖案化第一硬遮罩層210P包含由間隙物700A所定義的第一窄遮罩210A以及由窄化第二寬遮罩220B’與覆蓋其側壁的此對間隙物700B所定義的第一寬遮罩210B。第一窄遮罩210A包含形成在目標層100之頂面(例如蓋層103之頂面)上的圖案化氧化物層211A以及形成在其上的圖案化氮化物層212A。第一寬遮罩210B包含形成在目標層100之頂面(例如蓋層103之頂面)上的圖案化氧化物層211B以及形成在其上的圖案化氮化物層212B。Continuing to refer to FIG. 12, the patterned first hard mask layer 210P includes a first narrow mask 210A defined by spacers 700A and a narrowed second wide mask 220B' and the pair of spacers 700B covering the sidewalls thereof. The first wide mask 210B is defined. The first narrow mask 210A includes a patterned oxide layer 211A formed on the top surface of the target layer 100 (for example, the top surface of the cap layer 103) and a patterned nitride layer 212A formed thereon. The first wide mask 210B includes a patterned oxide layer 211B formed on the top surface of the target layer 100 (for example, the top surface of the capping layer 103) and a patterned nitride layer 212B formed thereon.

根據本發明的一些實施例,第一窄遮罩210A的寬度W5大抵相等於間隙物700A的寬度,以及第一寬遮罩210B的寬度W6大抵相等於窄化第二寬遮罩220B’之寬度與覆蓋在其側壁的該對間隙物700B的寬度的總和。具體而言,寬度W5可在0.012微米至0.027微米的範圍,而寬度W6可在0.09微米至5.045微米的範圍。According to some embodiments of the present invention, the width W5 of the first narrow mask 210A is approximately equal to the width of the spacer 700A, and the width W6 of the first wide mask 210B is approximately equal to the width of the narrowed second wide mask 220B' The sum of the width of the pair of spacers 700B covering the sidewalls thereof. Specifically, the width W5 may be in the range of 0.012 μm to 0.027 μm, and the width W6 may be in the range of 0.09 μm to 5.045 μm.

參照第13圖,執行蝕刻製程以移除間隙物700A、窄化第二寬遮罩220B’及覆蓋其側壁的間隙物700B,並保留形成在目標層100之頂面上的圖案化第一硬遮罩層210P以在後續蝕刻製程中作為蝕刻遮罩。Referring to FIG. 13, an etching process is performed to remove spacers 700A, narrow the second wide mask 220B', and cover the sidewalls of spacers 700B, and retain the patterned first hard layer formed on the top surface of the target layer 100 The mask layer 210P serves as an etching mask in the subsequent etching process.

參照第14圖,將形成於目標層100上之圖案化第一硬遮罩層210P的圖案(即複數個第一窄遮罩210A及第一寬遮罩210B)轉移至目標層100。根據本發明的一些實施例,第一窄遮罩210A是用以在目標層100中定義圖案化目標層100A,以及第一寬遮罩210B是用以在目標層100中定義圖案化目標層100B。根據本發明的一些實施例,半導體結構1000所包含的目標層100A可用於形成快閃記憶體裝置(未繪示)中的字元線結構,其包含依序堆疊之圖案化閘極層101A、圖案化導電材料層102A、以及圖案化蓋層103A。目標層100B可用於形成快閃記憶體裝置(未繪示)中的選擇閘極結構,其包含依序堆疊之圖案化閘極層101B、圖案化導電材料層102B、以及圖案化蓋層103B。Referring to FIG. 14, the pattern of the patterned first hard mask layer 210P (ie, a plurality of first narrow masks 210A and first wide masks 210B) formed on the target layer 100 is transferred to the target layer 100. According to some embodiments of the present invention, the first narrow mask 210A is used to define the patterned target layer 100A in the target layer 100, and the first wide mask 210B is used to define the patterned target layer 100B in the target layer 100 . According to some embodiments of the present invention, the target layer 100A included in the semiconductor structure 1000 can be used to form a word line structure in a flash memory device (not shown), which includes a patterned gate layer 101A, The patterned conductive material layer 102A and the patterned cap layer 103A. The target layer 100B can be used to form a select gate structure in a flash memory device (not shown), which includes a patterned gate layer 101B, a patterned conductive material layer 102B, and a patterned capping layer 103B that are sequentially stacked.

繼續參照第14圖,在一些實施例中,可形成為快閃記憶體裝置的半導體結構1000所包含的目標層100A(或稱為字元線結構100A)的寬度W7與第一窄遮罩210A的寬度W5大抵相同,而目標層100B(或稱為選擇閘極結構100B)的寬度W8與第一寬遮罩210B的寬度W6大抵相同。根據本發明的一些實施例,在形成目標層(字元線結構)100A與目標層(選擇閘極結構)100B之後,可藉由蝕刻製程將圖案化第一硬遮罩層210P移除(未繪示)。Continuing to refer to FIG. 14, in some embodiments, the width W7 of the target layer 100A (or called the word line structure 100A) included in the semiconductor structure 1000 that can be formed as a flash memory device and the first narrow mask 210A The width W5 of the target layer 100B (or referred to as the selective gate structure 100B) is approximately the same, and the width W8 of the target layer 100B (or referred to as the selective gate structure 100B) is approximately the same as the width W6 of the first wide mask 210B. According to some embodiments of the present invention, after the target layer (character line structure) 100A and the target layer (select gate structure) 100B are formed, the patterned first hard mask layer 210P can be removed by an etching process (not Illustrated).

綜上所述,本發明實施例所提供的半導體結構之形成方法,包含將複數個間隙物形成在圖案化硬遮罩之側壁後移除在其之間的窄硬遮罩,並藉由所形成的間隙物(例如間隙物700A、700B)與保留下來的寬硬遮罩(例如窄化第二寬遮罩220B’)共同作為蝕刻遮罩。上述間隙物可用以在位於圖案化硬遮罩下方的目標層中定義寬度較小的元件結構(例如字元線結構100A),以及上述保留下來的寬硬遮罩與形成在其側壁的一對間隙物可共同用以在目標層中定義寬度較大的元件結構(例如快閃記憶體裝置中的選擇閘極結構100B),而不需要形成額外之具有小尺寸線寬的光罩。因此,本發明實施例所提供的半導體結構之形成方法可有效降低製程困難度與製程成本。In summary, the method for forming a semiconductor structure provided by an embodiment of the present invention includes forming a plurality of spacers on the sidewalls of the patterned hard mask and then removing the narrow hard mask between them, and The formed spacers (for example, spacers 700A, 700B) and the remaining wide and hard mask (for example, the narrowed second wide mask 220B′) together serve as an etching mask. The above-mentioned spacers can be used to define a device structure with a smaller width in the target layer located under the patterned hard mask (for example, the character line structure 100A), as well as the remaining wide and hard mask and a pair formed on its sidewalls. The spacers can be used together to define a device structure with a larger width in the target layer (for example, the select gate structure 100B in a flash memory device) without forming an additional photomask with a small line width. Therefore, the semiconductor structure forming method provided by the embodiment of the present invention can effectively reduce the process difficulty and process cost.

根據本發明的一些實施例,藉由上述的半導體結構之形成方法來形成字元線結構與選擇閘極結構後,字元線結構可與在下方之半導體基板中的浮動閘極結構與穿隧氧化層組成記憶體單元(memory cell),而選擇閘極結構可與後續形成於半導體基板的兩側的源極/汲極組成選擇閘極電晶體(select gate transistor),並可接續其他半導體製程來形成快閃記憶體裝置。According to some embodiments of the present invention, after the word line structure and the select gate structure are formed by the above-mentioned semiconductor structure forming method, the word line structure can be combined with the floating gate structure and tunneling in the underlying semiconductor substrate. The oxide layer forms a memory cell, and the select gate structure and the source/drain subsequently formed on both sides of the semiconductor substrate form a select gate transistor, which can be connected to other semiconductor processes To form a flash memory device.

以上概述數個實施例,以便在本發明所屬技術領域中具有通常知識者可以更理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應該理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應該理解到,此類等效的製程和結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍之下,做各式各樣的改變、取代和替換。Several embodiments are summarized above so that those with ordinary knowledge in the technical field of the present invention can better understand the viewpoints of the embodiments of the present invention. Those with ordinary knowledge in the technical field of the present invention should understand that they can design or modify other manufacturing processes and structures based on the embodiments of the present invention to achieve the same purposes and/or advantages as the embodiments described herein. Those with ordinary knowledge in the technical field of the present invention should also understand that such equivalent manufacturing processes and structures do not depart from the spirit and scope of the present invention, and they can do so without departing from the spirit and scope of the present invention. Make all kinds of changes, substitutions and replacements.

10:基板 100:目標層 100A,100B:圖案化目標層 101:閘極層 101A,101B:圖案化閘極層 102:導電材料層 102A,102B:圖案化導電材料層 103:蓋層 103A,103B:圖案化蓋層 200:硬遮罩堆疊 210:第一硬遮罩層 210P:圖案化第一硬遮罩層 210A:第一窄遮罩 210B:第一寬遮罩 211:氧化物層 211A,211B:圖案化氧化物層 212:氮化物層 212A,212B:圖案化氮化物層 220:第二硬遮罩層 220P:圖案化第二硬遮罩 220P’:窄化圖案化第二硬遮罩 220A:第二窄遮罩 220A’:窄化第二窄遮罩 220B:第二寬遮罩 220B’:窄化第二寬遮罩 230:第三硬遮罩層 230P:圖案化第三硬遮罩 230A:第三窄遮罩 230B:第三寬遮罩 300:圖案化光阻層 300A:窄光阻圖案 300B:寬光阻圖案 301:抗反射層 301A,301B:圖案化抗反射層 700:間隙物材料層 700A,700B:間隙物 900:光阻層 1000:半導體結構 BD:邊界 OP:開口 W1,W2,W3,W4,W5,W6,W7,W8:寬度 S1:第一間距 S2:第二間距 SW1,SW2:側壁 10: substrate 100: target layer 100A, 100B: Patterned target layer 101: gate layer 101A, 101B: patterned gate layer 102: Conductive material layer 102A, 102B: Patterned conductive material layer 103: cap layer 103A, 103B: patterned cover layer 200: Hard mask stack 210: The first hard mask layer 210P: Patterned first hard mask layer 210A: The first narrow mask 210B: The first wide mask 211: oxide layer 211A, 211B: patterned oxide layer 212: Nitride layer 212A, 212B: patterned nitride layer 220: second hard mask layer 220P: Patterned second hard mask 220P’: Narrowing patterned second hard mask 220A: second narrower mask 220A’: Narrow the second narrow mask 220B: the second widest mask 220B’: Narrow the second widest mask 230: third hard mask layer 230P: Patterned third hard mask 230A: Third narrow mask 230B: Third wide mask 300: Patterned photoresist layer 300A: Narrow photoresist pattern 300B: wide photoresist pattern 301: Anti-reflective layer 301A, 301B: patterned anti-reflective layer 700: Spacer material layer 700A, 700B: interstitial objects 900: photoresist layer 1000: Semiconductor structure BD: Border OP: opening W1, W2, W3, W4, W5, W6, W7, W8: width S1: first spacing S2: second spacing SW1, SW2: side wall

第1至13圖是根據本發明的一些實施例,繪示形成半導體結構在各個階段的剖面示意圖。 第14圖是根據本發明的一些實施例,繪示出半導體結構的剖面示意圖。 FIGS. 1 to 13 are schematic cross-sectional views illustrating various stages of forming a semiconductor structure according to some embodiments of the present invention. FIG. 14 is a schematic cross-sectional view of a semiconductor structure according to some embodiments of the present invention.

10:基板 10: substrate

100:目標層 100: target layer

101:閘極層 101: gate layer

102:導電材料層 102: Conductive material layer

103:蓋層 103: cap layer

210P:圖案化第一硬遮罩層 210P: Patterned first hard mask layer

210A:第一窄遮罩 210A: The first narrow mask

210B:第一寬遮罩 210B: The first wide mask

211A,211B:圖案化氧化物層 211A, 211B: patterned oxide layer

212A,212B:圖案化氮化物層 212A, 212B: patterned nitride layer

Claims (9)

一種半導體結構之形成方法,包括:形成一目標層於一基板上;形成一硬遮罩堆疊於該目標層上,其中該硬遮罩堆疊包括依序形成於該目標層上的一第一硬遮罩層以及一第二硬遮罩層;圖案化該第二硬遮罩層以形成複數個圖案化第二硬遮罩,其中該些圖案化第二硬遮罩包括一第二寬遮罩及一第二窄遮罩;形成複數個間隙物於該第二寬遮罩及該第二窄遮罩之側壁;形成一光阻層以覆蓋該第二寬遮罩之頂面,且該光阻層覆蓋位於該第二寬遮罩之側壁的一對間隙物的側表面,並執行一蝕刻製程來移除位於該些間隙物之間的該第二窄遮罩;以及移除該光阻層,接著藉由該些間隙物與該第二寬遮罩作為一蝕刻遮罩來蝕刻該第一硬遮罩層,以形成複數個圖案化第一硬遮罩於該目標層上,其中是在蝕刻該第一硬遮罩層的步驟之前,移除該光阻層,且該些間隙物用以定義一第一線寬,該第二寬遮罩與形成在該第二寬遮罩之側壁的該對間隙物共同用以定義一第二線寬。 A method for forming a semiconductor structure includes: forming a target layer on a substrate; forming a hard mask stack on the target layer, wherein the hard mask stack includes a first hard mask sequentially formed on the target layer A mask layer and a second hard mask layer; the second hard mask layer is patterned to form a plurality of patterned second hard masks, wherein the patterned second hard masks include a second wide mask And a second narrow mask; forming a plurality of spacers on the sidewalls of the second wide mask and the second narrow mask; forming a photoresist layer to cover the top surface of the second wide mask, and the light The resist layer covers the side surfaces of a pair of spacers located on the sidewalls of the second wide mask, and performs an etching process to remove the second narrow mask located between the spacers; and removes the photoresist Layer, and then use the spacers and the second wide mask as an etching mask to etch the first hard mask layer to form a plurality of patterned first hard masks on the target layer, where Before the step of etching the first hard mask layer, the photoresist layer is removed, and the spacers are used to define a first line width, and the second wide mask is formed on the second wide mask. The pair of spacers on the sidewall are used together to define a second line width. 如請求項1所述之半導體結構之形成方法,更包括:形成一第三硬遮罩層於該第二硬遮罩層上;形成一圖案化光阻層於該第三硬遮罩層上;將該圖案化光阻層之圖案轉移至該第三硬遮罩層以形成複數個圖案化第三硬遮罩,並且該些圖案化第三硬遮罩包括一第三寬遮罩及一第三窄遮罩,其中該些圖案化第三硬遮罩之間具有一第一間 距;以及藉由該第三寬遮罩及該第三窄遮罩作為另一蝕刻遮罩來蝕刻該第二硬遮罩層,以形成該第二寬遮罩及該第二窄遮罩。 The method for forming a semiconductor structure according to claim 1, further comprising: forming a third hard mask layer on the second hard mask layer; forming a patterned photoresist layer on the third hard mask layer ; The pattern of the patterned photoresist layer is transferred to the third hard mask layer to form a plurality of patterned third hard masks, and the patterned third hard masks include a third wide mask and a The third narrow mask, wherein there is a first space between the patterned third hard masks And by using the third wide mask and the third narrow mask as another etching mask to etch the second hard mask layer to form the second wide mask and the second narrow mask. 如請求項1所述之半導體結構之形成方法,更包括:執行一濕蝕刻製程以側向蝕刻該些圖案化第二硬遮罩,使得該些圖案化第二硬遮罩之間具有之一第一間距增加為一第二間距。 The method for forming a semiconductor structure according to claim 1, further comprising: performing a wet etching process to laterally etch the patterned second hard masks, so that there is one between the patterned second hard masks The first pitch is increased to a second pitch. 如請求項1所述之半導體結構之形成方法,其中該光阻層之邊界位於該第二寬遮罩之側壁與緊鄰的該第二窄遮罩之側壁之間。 The method for forming a semiconductor structure according to claim 1, wherein the boundary of the photoresist layer is located between the sidewall of the second wide mask and the sidewall of the adjacent second narrow mask. 如請求項1所述之半導體結構之形成方法,更包括:將形成於該目標層上之該些圖案化第一硬遮罩的圖案轉移至該目標層,其中該些圖案化第一硬遮罩包括一第一寬遮罩及複數個第一窄遮罩,該第一寬遮罩的寬度大抵相等於該第二寬遮罩之寬度與覆蓋該第二寬遮罩之側壁的該對間隙物的寬度的總和,以及該些第一窄遮罩的寬度大抵相等於該些間隙物的寬度。其中,該第一寬遮罩是用以在該目標層中定義一選擇閘極結構,以及該些第一窄遮罩是用以在該目標層中定義複數個字元線結構。 The method for forming a semiconductor structure according to claim 1, further comprising: transferring the patterns of the patterned first hard masks formed on the target layer to the target layer, wherein the patterned first hard masks The mask includes a first wide mask and a plurality of first narrow masks. The width of the first wide mask is approximately equal to the width of the second wide mask and the pair of gaps covering the sidewalls of the second wide mask The sum of the widths of the objects and the width of the first narrow masks are approximately equal to the widths of the spacers. Wherein, the first wide mask is used to define a select gate structure in the target layer, and the first narrow masks are used to define a plurality of word line structures in the target layer. 如請求項1所述之半導體結構之形成方法,其中該目標層包括依序堆疊之一閘極層、一導電材料層、以及一蓋層。 The method for forming a semiconductor structure according to claim 1, wherein the target layer includes a gate layer, a conductive material layer, and a cap layer stacked in sequence. 如請求項1所述之半導體結構之形成方法,其中形成該第一硬遮罩層的步驟包括:形成一氧化物層於該目標層上;以及 形成一氮化物層於該氧化物層上,其中該氧化物層與該氮化物層組成該第一硬遮罩層。 The method for forming a semiconductor structure according to claim 1, wherein the step of forming the first hard mask layer includes: forming an oxide layer on the target layer; and A nitride layer is formed on the oxide layer, wherein the oxide layer and the nitride layer constitute the first hard mask layer. 如請求項1所述之半導體結構之形成方法,其中該第二硬遮罩層包括一氧化物層。 The method for forming a semiconductor structure according to claim 1, wherein the second hard mask layer includes an oxide layer. 如請求項2所述之半導體結構之形成方法,其中該第三硬遮罩層包括一多晶矽層。 The method for forming a semiconductor structure according to claim 2, wherein the third hard mask layer includes a polysilicon layer.
TW109107803A 2020-03-10 2020-03-10 Method for forming semiconductor structure TWI724815B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW109107803A TWI724815B (en) 2020-03-10 2020-03-10 Method for forming semiconductor structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW109107803A TWI724815B (en) 2020-03-10 2020-03-10 Method for forming semiconductor structure

Publications (2)

Publication Number Publication Date
TWI724815B true TWI724815B (en) 2021-04-11
TW202135241A TW202135241A (en) 2021-09-16

Family

ID=76605035

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109107803A TWI724815B (en) 2020-03-10 2020-03-10 Method for forming semiconductor structure

Country Status (1)

Country Link
TW (1) TWI724815B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI829194B (en) * 2022-03-04 2024-01-11 中國大陸商長鑫存儲技術有限公司 Preparation method for semiconductor structure and same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080280431A1 (en) * 2007-05-11 2008-11-13 Hynix Semiconductor Inc. Method of fabricating flash memory device
US20170221755A1 (en) * 2016-02-01 2017-08-03 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080280431A1 (en) * 2007-05-11 2008-11-13 Hynix Semiconductor Inc. Method of fabricating flash memory device
US20170221755A1 (en) * 2016-02-01 2017-08-03 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI829194B (en) * 2022-03-04 2024-01-11 中國大陸商長鑫存儲技術有限公司 Preparation method for semiconductor structure and same

Also Published As

Publication number Publication date
TW202135241A (en) 2021-09-16

Similar Documents

Publication Publication Date Title
US11348829B2 (en) Patterning methods for semiconductor devices and structures resulting therefrom
US8110340B2 (en) Method of forming a pattern of a semiconductor device
KR20170028817A (en) Different height of dummy fin
US20120171867A1 (en) Method for fabricating fine pattern by using spacer patterning technology
US8216938B2 (en) Method for forming semiconductor device
KR20190003300A (en) Semiconductor device and method
US11335568B2 (en) Method for forming semiconductor structure
CN111640658B (en) Semiconductor device and method of forming the same
TWI724815B (en) Method for forming semiconductor structure
US8304174B2 (en) Method for fabricating semiconductor device
TWI546859B (en) Patterned structure of semiconductor device and fabricating method thereof
KR102327667B1 (en) Methods of manufacturing semiconductor devices
US11335560B2 (en) Semiconductor devices and fabrication methods thereof
TWI694492B (en) Method of forming fine interconnection for semiconductor devices
CN113540106A (en) Method for forming semiconductor structure
KR20130023747A (en) Method for manufacturing semiconductor device
TWI794094B (en) Method for preparing semiconductor structure having fins
JP6092277B2 (en) Semiconductor device and manufacturing method thereof
CN111668155B (en) Patterning method and semiconductor device formed thereby
TWI694520B (en) Semiconductor substrate and method of processing the same
TWI814409B (en) Method for forming semiconductor structure
KR20110077380A (en) Method of manufacturing a semiconductor device
TWI688012B (en) Method for manufacturing a semiconductor structure
TWI641100B (en) Method for manufacturing semiconductor device
TWI518842B (en) Method of fabricating semiconductor device