TWI723371B - 微型探測器及缺陷量測方法 - Google Patents

微型探測器及缺陷量測方法 Download PDF

Info

Publication number
TWI723371B
TWI723371B TW108112013A TW108112013A TWI723371B TW I723371 B TWI723371 B TW I723371B TW 108112013 A TW108112013 A TW 108112013A TW 108112013 A TW108112013 A TW 108112013A TW I723371 B TWI723371 B TW I723371B
Authority
TW
Taiwan
Prior art keywords
gate
detector
measurement method
micro
item
Prior art date
Application number
TW108112013A
Other languages
English (en)
Other versions
TW202038013A (zh
Inventor
林本堅
林崇榮
金雅琴
蔡宜霈
Original Assignee
國立清華大學
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 國立清華大學 filed Critical 國立清華大學
Priority to TW108112013A priority Critical patent/TWI723371B/zh
Priority to US16/583,283 priority patent/US11335609B2/en
Publication of TW202038013A publication Critical patent/TW202038013A/zh
Priority to US17/143,134 priority patent/US20210159129A1/en
Application granted granted Critical
Publication of TWI723371B publication Critical patent/TWI723371B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/10Inductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42328Gate electrodes for transistors with a floating gate with at least one additional gate other than the floating gate and the control gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

本發明係提供一種微型探測器,其包含一基板、一鰭狀結構、一浮動閘極、一感測閘極、一讀取閘極以及一天線層。鰭狀結構位於基板上。浮動閘極位於基板上,浮動閘極與鰭狀結構彼此垂直交叉。感測閘極位於鰭狀結構之一側。讀取閘極形成於鰭狀結構之另一側。天線層連接感測閘極,其位於感測閘極上方。天線層接觸一外部能量源後產生一引致電荷,透過一耦合效應將引致電荷儲存於浮動閘極內。藉此,可透過計算引致電荷推估晶圓製程中之缺陷分布。

Description

微型探測器及缺陷量測方法
本發明係關於一種微型探測器及應用此微型探測器之缺陷量測方法;更特別言之,透過量測此微型探測器之電性特性,得以即時檢測一半導體器件於晶圓製造過程中所產生之缺陷者。
現代電子器件已逐漸朝向尺寸緊湊、高功效方面發展。多半電子器件係由半導體構成,於半導體製造過程中,微影(Photolithography)技術為決定關鍵尺寸(CD,Critical Dimension)之重要步驟。目前使用的ArF浸潤式準分子雷射光微影技術,不易達到20nm以下之精密尺寸。因此,隨著製程微縮,極紫外線(EUV,Extreme Ultraviolet)微影技術已成為目前重要的發展趨勢之一。
EUV光源主要由高溫、高密度的電漿中激發取得。電漿產生的高能光線經聚光鏡聚光,穿過中間焦點(IF,Intermediate Focus),經照明光學系統整形後,照亮反射型光罩(Reflective mask),光罩反射後的EUV光線,經投影光學系統成像於光阻,並形成所需圖樣(Pattern)。
目前已有多家晶圓製造廠投入了大量的研究資源於EUV微影系統上。然而,在EUV微影系統中,仍存在有例如缺乏光源能、光阻抗塗佈均勻度以及偵測系統等問題。其中,EUV微影技術遭受閃焰效應(Flare Effect)、散射光(Scattered light)效果的影響,加上其光學原理基本上是經由多個反射投影光學系統的反光鏡,導致關鍵尺寸的失真和均勻性的損失問題更為嚴重。
EUV的閃焰效應主要是不希望產生的散射光與表面粗糙所造成,影響圖樣製程後所呈現之關鍵尺寸(Critical Dimension)。因此,許多利用光學觀測之方法已被發展。
一種偵測EUV的繞射(Diffraction)與閃焰效應的方法,係設置一相干EUV散射測量顯微鏡(CSM,Coherent EUV Scattering Microscopy)系統,此系統中的EUV感光耦合元件偵測器(CCD Detector,Charge-Coupled Device Detector)可以偵測並成像EUV光罩之繞射圖案。藉由觀察繞射圖像之缺陷信號(Defect Signal)可反應EUV繞射與閃焰效應之程度。
另一種方法,係設計一光罩(Layout mask),用以定義連接點(Contact)與金屬線(Metal line)之間的垂直位移與水平位移位置。當圖樣(Pattern)完成後,透過掃瞄式電子顯微鏡(CD-SEM,Critical Dimension Scanning Electron Microscope)計量學量測圖樣之位移程度。藉由觀察圖樣之位移量可反應EUV的閃焰效應。
然而,上述方法所需之設備,往往過於複雜,且基於其原理,無法即時並準確地得到缺陷量測結果。
本發明揭示一種微型探測器及缺陷量測方法,其係可透過量測電性特性,計算因外部能量源所引致之引致電荷分布,進而可回推因外部能量源所導致之缺陷。
依據本發明一實施方式,提供一種微型探測器,其包含一基板、一鰭狀結構、一浮動閘極、一感測閘極、一讀取閘極以及一天線層。鰭狀結構位於基板上。浮動閘極位於基板上,且浮動閘極與鰭狀結構彼此垂直交叉。感測閘極位於鰭狀結構之一側。讀取閘極位於鰭狀結構之另一側。天線層連接感測閘極,其位於感測閘極上方。天線層接觸一外部能量源後產生一引致電荷,透過一耦合效應將引致電荷儲存於浮動閘極內。
依據上述實施方式的微型探測器中,更包含一淺溝槽隔離層。淺溝槽隔離層位於基板上,且鰭狀結構穿設於淺溝槽隔離層。
依據上述實施方式的微型探測器中,更包含一連接點,其中天線層透過連接點連接感測閘極。
依據上述實施方式的微型探測器中,連接點可呈平板狀、多顆柱狀或點狀。
依據上述實施方式的微型探測器中,天線層可呈平板狀、柵狀或指叉狀。
依據上述實施方式的微型探測器中,天線層之材質可為一金屬。
依據上述實施方式的微型探測器中,天線層之材質可為一受光反應材質。
依據上述實施方式的微型探測器中,天線層之厚度可為500Å-12000Å
依據本發明另一實施方式,提供一種缺陷量測方法,其係應用於至少一微型探測器。微型探測器包含一基板、位於基板上之一浮動閘極以及與浮動閘極耦合之一耦合結構。缺陷量測方法包含:以一外部能量源接觸耦合結構產生一引致電荷;透過耦合結構產生一耦合效應,將引致電荷儲存於浮動閘極內;對微型探測器進行一電性量測,取得微型探測器之一截止電壓;將截止電壓與一標準截止電壓進行比對,取得一截止電壓偏移值;利用截止電壓偏移值計算對應引致電荷之一電荷值。
依據上述實施方式的缺陷量測方法中,外部能量源可為一電磁波、一電子束、一電漿或一離子束。
依據上述實施方式的缺陷量測方法中,電磁波可包含一極紫外光、一深紫外光、一紫外光、一可見光或一紅外線光。
依據上述實施方式的缺陷量測方法中,微型探測器可包含一平面型電晶體結構或一鰭式電晶體結構。
依據上述實施方式的缺陷量測方法中,更包含將耦合結構區隔成一電荷收集區以及一訊號讀取區。
依據上述實施方式的缺陷量測方法中,更包含形成一感測閘極於電荷收集區內;以及形成一讀取閘極於訊號讀取區內。
依據上述實施方式的缺陷量測方法中,更包含將感測閘極連接一天線層。
依據上述實施方式的缺陷量測方法中,引致電荷之電荷值QFG可表示為:QFG=CT×△VT×CRREAD,其中CT為浮動閘極之總電容、△VT為截止電壓偏移值、CRREAD為讀取閘極之耦合比例。
依據上述實施方式的缺陷量測方法中,其中當缺陷量測方法應用於複數微型探測器時,此些微型探測器排列形成一微型探測器陣列。
依據上述實施方式的缺陷量測方法中,此些微型探測器可構成一NAND記憶體晶片架構或一NOR記憶體晶片架構。
100:微型探測器
110:基板
120:鰭狀結構
120a:源極
190a:電荷收集區
190b:訊號讀取區
200:光學元件
QFG:引致電荷之電荷值
120b:汲極
130:浮動閘極
140:感測閘極
150:讀取閘極
160:天線層
170:淺溝槽隔離層
180:連接點
△VT:截止電壓偏移值
VRG:透過讀取閘極量測之電壓值
L:EUV光源
CR:耦合比例
VAG:透過感測閘極量測之電壓值
LAG:感測閘極長度
第1圖係繪示依據本發明一實施例之微型探測器之結構示意圖;第2圖係繪示據本發明另一實施例之微型探測器之結構示意圖;第3圖係繪示第2圖實施例之微型探測器之上視圖;第4圖係繪示引致電流對應截止電壓偏移值之關係圖; 第5圖係繪示耦合比例隨感測閘極長度變化之關係圖;第6A圖、第6B圖及第6C圖係繪示第2圖實施例之微型探測器之天線層之多種結構示意圖;第7圖係繪示本發明又一實施例之形成微型探測器陣列之結構示意圖;第8圖係繪示第7圖實施例中之微型探測器陣列之一應用例示意圖;第9A圖及第9B圖係繪示極紫外線不同之閃焰效應程度之示意圖;第10A圖、第10B圖、第10C圖、第10D圖以及第10E圖係繪示引致電荷於電漿微影過程中隨不同天線層層數之變化圖;第11圖係繪示本發明一實施例中,以微型探測器構成NOR記憶體晶片架構之示意圖;以及第12圖係繪示本發明一實施例中,以微型探測器構成NAND記憶體晶片架構之示意圖。
以下將參照圖式說明本發明之複數個實施例。為明確說明起見,許多實務上的細節將在以下敘述中一併說明。然而,這些實務上的細節不應該用以限制本發明。也就是說,在本發明部分實施例中,這些實務上的細節是非必要的。此外,為簡化圖式起見,一些習知慣用的結構與元件在圖式中將 以簡單示意的方式繪示之;並且重複之元件將可能使用相同的編號表示之。
第1圖係繪示依據本發明一實施例之微型探測器100之結構示意圖;第2圖係繪示據本發明另一實施例之微型探測器100之結構示意圖。
微型探測器100包含一基板110、一鰭狀結構120、一浮動閘極130、一感測閘極140、一讀取閘極150以及一天線層160。基本之配置關係,鰭狀結構120位於基板110上。浮動閘極130亦位於基板110上,並與鰭狀結構120彼此垂直交叉。感測閘極140位於鰭狀結構120之一側。讀取閘極150位於鰭狀結構120之另一側。天線層160連接感測閘極140,並位於感測閘極140上方。天線層160可於接觸一外部能量源後產生一引致電荷,並透過一耦合效應將引致電荷儲存於浮動閘極130內。
於一實施例,微型探測器100可更包含一淺溝槽隔離層170。淺溝槽隔離層170位於基板110上,且鰭狀結構120穿設於淺溝槽隔離層170。更明確地說,鰭狀結構120係由基板110向上延伸而穿設於淺溝槽隔離層170。感測閘極140及讀取閘極150分別為鰭狀結構120區隔而位於鰭狀結構120之二相對側。另需提及,使用此淺溝槽隔離層170為降低漏電之用,不使用此淺溝槽隔離層170而改為由基板110上先形成一SOI(絕緣層上矽)層,再於此SOI層上形成鰭狀結構120亦為可能之另一種結構。當然,亦不排除其餘可能使用之對等結構。
微型探測器100可更包含一連接點180。天線層160係透過連接點180連接感測閘極140。連接點180可呈平板狀、多顆柱狀或點狀。天線層則160可呈平板(Plate)狀、柵狀(Palisade)或指叉狀(Fork)。天線層160材質可為金屬或受光反應材質,其厚度可為500Å-12000Å。不同形狀的蝕刻比不同,不同厚度的微影蝕刻的時間不同,所造成感測的效果亦不同。
基板110之材質可為任何適當的半導體材料,例如矽、鍺、碳或其它II-VI族或III-V族半導體化合物及類似者。
鰭狀結構120可通過直接由基板110磊晶並經過蝕刻而形成。例如可使用微影製程與選擇性蝕刻製程搭配,以形成高的寬高比(Aspect ratio)之鰭狀結構120。微影製程可使用例如光微影、極紫外線(EUV)微影或X射線微影等。
鰭狀結構120一般具有小至數十奈米的窄寬度。可以理解的是,鰭狀結構120可透過適當的摻雜,以便界定對應的汲極(Drain)與源極(Source)。換言之,本發明中之微型探測器100,係應用鰭式電晶體(FinFET)之技術。
第2圖實施例中之微型探測器100,其結構與第1圖實施例中之微型探測器100類似,包含鰭狀結構120、浮動閘極130、感測閘極140、讀取閘極150、天線層160、淺溝槽隔離層170以及連接點180。差異在於,第2圖實施例之 微型探測器100中,連接點180可延伸連接於感測閘極140及讀取閘極150之上。
請續參照第3圖,其係繪示第2圖實施例之微型探測器100之上視圖。由第3圖實施例可知,本發明之微型探測器100於鰭狀結構120二端分別形成一源極120a以及一汲極120b。因此,係基於鰭式電晶體(FinFET)結構而形成。於可能實施例中,利用平面型電晶體(Planar FET)結構亦可形成本發明之微型探測器100結構。因此,由第3圖實施例中,感測閘極140及讀取閘極150可視為一耦合結構,且可以大致區分為一電荷收集區190a以及一訊號讀取區190b。感測閘極140位於電荷收集區190a內,而讀取閘極150位於訊號讀取區190b內。
以下續說明上述微型探測器100之運作機制。於一用途中,本發明的微型探測器100,係用於偵測半導體器件於晶圓製造過程中所產生之缺陷。此缺陷,係指本導體器件電性上之缺失,可能是於電漿蝕刻過程中所產生之引致電荷所導致,亦可能是EUV(極紫外線)微影過程中所產生之閃焰效應所產生之引致電荷。首先,此引致電荷為天線層160所吸收,透過耦合結構聚積於感測閘極140上,因此引致一高電位差產生於浮動閘極130及基板110間,因此透過一電子穿隧效應,令引致電荷累積於浮動閘極130。接續,於第3圖實施例中,電荷收集區190a以及訊號讀取區190b可視為各自包含一電晶體結構。因此,於訊號讀取區190b,讀取閘極150可用以讀出訊號。感測閘極140則連接天線層160, 且其電壓透過連接點180而與浮動閘極130耦合。因此,可透過讀取閘極150進行電性量測,取得電晶體結構之I-V曲線。而由I-V曲線,可取得截止電壓(Threshold voltage),再以此截止電壓反推引致電荷之值。藉此,本發明提供一缺陷量測方法,其係包含:以一外部能量源接觸耦合結構產生一引致電荷;透過耦合結構產生一耦合效應,將引致電荷儲存於浮動閘極130內;對微型探測器100進行一電性量測,取得微型探測器100之一截止電壓;將截止電壓與一標準截止電壓進行比對,取得一截止電壓偏移值;利用截止電壓偏移值計算對應引致電荷之一電荷值。藉此,可利用電荷值推估外部能量源於一晶片於製造過程中所形成之缺陷。其中,引致電荷之電荷值QFG可表示為:QFG=CT×△VT×CRREAD,其中CT為浮動閘極之總電容、△VT為截止電壓偏移值、CRREAD為讀取閘極之耦合比例。上述之外部能量源,可為一電磁波、一電子束、一電漿或一離子束。電磁波可包含一極紫外光、一深紫外光、一紫外光、一可見光或一紅外線光。亦即,可與天線層160接觸反應,而產生引致電荷者,即可應用本發明之微型探測器100進行缺陷量測。
請續參照第4圖,其係繪示引致電流對應截止電壓偏移值△VT之關係圖,VRG表示透過讀取閘極150量測之電壓值。由第4圖中,可看出引致電荷的產生,確實可導致截止電壓偏移。並且,引致電荷之電荷值QFG可以為正或負,而對應之截止電壓偏移值△VT,亦可為正或負。
請續參照第5圖,其係繪示耦合比例CR隨感測閘極長度LAG變化之關係圖,VAG表示透過感測閘極140量測之電壓值。由第5圖中,可看出當感測閘極長度LAG增加1.6倍時,耦合比例CR增加7%。換言之,藉由控制感測閘極長度LAG,即可控制耦合比例CR。
請參照第6A圖、第6B圖及第6C圖。第6A圖、第6B圖及第6C圖係繪示第2圖實施例之微型探測器100之天線層160之多種結構示意圖。第6A圖中,天線層160呈平板(Plate)狀;第6B圖中,天線層160呈柵狀(Palisade);第6C圖中,天線層160呈指叉狀(Fork)。不同的天線層160形狀可獲致與外部能量源不同之耦合效應。天線層160之材質可為金屬或受光反應材質(例如:Ge、InGaAs、Gap、Silicon等)。
請續參照第7圖。第7圖係繪示本發明又一實施例之微型探測器100陣列之結構示意圖。本發明之微型探測器100,可以排列形成微型探測器100陣列。藉此,可提供對應於整片晶圓製程中,缺陷之分布狀況。
請續參照第8圖。第8圖係繪示第7圖實施例中之微型探測器100陣列之一應用例示意圖。微型探測器100陣列將於7奈米級先進製程中實現,並於EUV微影系統中進行偵測與測試。EUV光源L係透過多個光學元件200照射到晶圓上,以便與光阻反應形成所需圖樣,並配合如磊晶、蝕刻等製程,形成所需之半導體器件結構。微型探測器100陣列可以於晶圓製造過程中儲存EUV之電荷,並於中間金屬層(Inter-metal)測量電性,即可取得對應各層製程過程中之EUV 閃焰效應偵測結果。藉此,可提升EUV微影系統可靠度,亦可提供EUV微影系統之優化指標。
請續參照第9A圖及第9B圖。第9A圖及第9B圖係繪示極紫外線不同之閃焰效應程度之示意圖。習知已有研究分析閃焰效應與截止電壓之關係。第9A圖中,閃焰效應較嚴重,則截止電壓(VT)分佈高低差明顯(中間高,四周低)。反之,第9B圖中,閃焰效應情形較和緩,則截止電壓(VT)分佈數值較小且平緩。藉此結果,透過本發明之微型探測器100及類似於前述實施例所揭示之缺陷量測方法。所測得之電性曲線可取得截止電壓,由公式計算可得浮動閘極內的電荷。可利用此電荷進一步計算對應之穿隧電流密度與氧化層電場,並除以天線層160之耦合比例,計算出極紫外線所導致之電壓,再藉由材料光電效應之量子效率(Quantum Efficiency),即可得知對應之極紫外線強度。藉此,可推得極紫外線引致之閃焰效應程度,可據以降低閃焰效應的發生。
請續參照第10A圖至第10E圖。第10A圖、第10B圖、第10C圖、第10D圖以及第10E圖係繪示引致電荷於電漿微影過程中隨不同天線層160層數之變化圖。本發明中,可透過量測所得之截止電壓(VT)分佈,進一步分析晶圓上的引致電荷之電荷值(QFG)分佈。天線層160端之電壓較大,則截止電壓(VT)絕對值數值較大;反之,天線層160之電壓較小,則截止電壓(VT)絕對值數值較小。引致電荷分佈情形可由天線層160製程參數不同而變化。由第10A圖、第10B圖、第10C圖、第10D圖及第10E圖中,天線層160數量分別為1層、2層、3層、4層及5層,藉此,可導致引致電荷之同心圓與電荷值高低分佈。
請續參照第11圖及第12圖。第11圖係繪示本發明一實施例中,以微型探測器100構成NOR記憶體晶片架構之示意圖。第12圖係繪示本發明一實施例中,以微型探測器100構成NAND記憶體晶片架構之示意圖。本發明之微型探測器100可提供NAND或NOR記憶體晶片架構。在NOR記憶體晶片架構中,個別微型探測器100所構成之記憶胞,以並聯方式連接,使裝置能夠達到隨機存取的目的,其字元線、位元線及源極線配置如第11圖所示。NOR記憶體晶片架構係提供低密度、高速讀取之應用。另一方面,於NAND記憶體晶片架構中,個別微型探測器100所構成之記憶胞以並聯方式連接,以達成更小的胞元尺寸,使整體記憶體晶片尺寸更小,且每個位元的成本更低,其字元線、位元線及源極線配置如第12圖所示。於習知NAND或NOR記憶體晶片架構中,其字元線(Word line)係直接連接於閘極,本發明中,微型探測器100之字元線則連接於讀取閘極150上以耦合方式進行感測。此外,習知NAND或NOR記憶體晶片架構中,並無類似本發明之微型探測器100中,於鰭狀結構120兩側分別有感測閘極140及讀取閘極150。因此,以本發明之微型探測器100,具有即時偵測製程中缺陷之功能,具更廣之應用範圍。
根據上述,本發明之極微型探測器100陣列可以藉由電性量測偵測EUV過程中所產生的電荷,以電性量測取代CCD成像後觀測或SEM顯微鏡觀測圖樣位置。由於量測簡易,可以應用產線製程中線上晶圓接受度測試(WAT,Wafer Acceptance Test)系統檢測,提供晶圓廠出貨至下一流程的依據。此外,微型探測器100陣列於EUV微影製程中所儲存之電荷,經由電性量測除了可以提供閃焰效應之區域分布,亦可提供閃焰效應之定量分析,可成為改善EUV微影系統可靠度指標之一。再者,透過光罩Layout設計,提供後段製程(BEOL,Back End Of Line)多層金屬層之閃焰效應,並於於晶圓製造過程中間金屬層(Inter-metal)測量電性,可以即時瞭解各層製程過程中之EUV閃焰效應,提升EUV系統之可靠度及優化指標。
雖然本發明已以實施方式揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明的精神和範圍內,當可作各種的更動與潤飾,因此本發明的保護範圍當視後附的申請專利範圍所界定者為準。
100‧‧‧微型探測器
110‧‧‧基板
120‧‧‧鰭狀結構
130‧‧‧浮動閘極
140‧‧‧感測閘極
150‧‧‧讀取閘極
160‧‧‧天線層
170‧‧‧淺溝槽隔離層
180‧‧‧連接點

Claims (17)

  1. 一種微型探測器,包含:一基板;一鰭狀結構,位於該基板上;一浮動閘極,位於該基板上,該浮動閘極與該鰭狀結構彼此垂直交叉;一感測閘極,位於該鰭狀結構之一側;一讀取閘極,位於該鰭狀結構之另一側;以及一天線層,連接該感測閘極,該天線層位於該感測閘極上方;其中該天線層接觸一外部能量源後產生一引致電荷,透過一耦合效應將該引致電荷儲存於該浮動閘極內,該感測閘極以及該讀取閘極形成一耦合結構,該耦合結構與該浮動閘極耦合,且該耦合結構區隔成一電荷收集區以及一訊號讀取區。
  2. 如申請專利範圍第1項所述的微型探測器,更包含一淺溝槽隔離層,其中該淺溝槽隔離層形成於該基板上,且該鰭狀結構穿設於該淺溝槽隔離層。
  3. 如申請專利範圍第1項所述的微型探測器,更包含一連接點,其中該天線層透過該連接點連接該感測閘極。
  4. 如申請專利範圍第3項所述的微型探測器,其中該連接點呈平板狀、多顆柱狀或點狀。
  5. 如申請專利範圍第1項所述的微型探測器,其中該天線層呈平板狀、柵狀或指叉狀。
  6. 如申請專利範圍第1項所述的微型探測器,其中該天線層之材質為一金屬。
  7. 如申請專利範圍第1項所述的微型探測器,其中該天線層之材質為一受光反應材質。
  8. 如申請專利範圍第1項所述的微型探測器,其中該天線層之厚度為500Å-12000Å。
  9. 一種缺陷量測方法,其係應用於至少一微型探測器,該微型探測器包含一基板、位於該基板上之一浮動閘極以及與該浮動閘極耦合之一耦合結構,該缺陷量測方法包含:以一外部能量源接觸該耦合結構產生一引致電荷;透過該耦合結構產生一耦合效應,將該引致電荷儲存於該浮動閘極內; 將該耦合結構區隔成一電荷收集區以及一訊號讀取區;對該微型探測器進行一電性量測,取得該微型探測器之一截止電壓;將該截止電壓與一標準截止電壓進行比對,取得一截止電壓偏移值;以及利用該截止電壓偏移值計算對應該引致電荷之一電荷值。
  10. 如申請專利範圍第9項所述的缺陷量測方法,其中該外部能量源為一電磁波、一電子束、一電漿或一離子束。
  11. 如申請專利範圍第10項所述的缺陷量測方法,其中該電磁波包含一極紫外光、一紫外光、一深紫外光、一可見光或一紅外線光。
  12. 如申請專利範圍第9項所述的缺陷量測方法,其中該微型探測器包含一平面型電晶體結構或一鰭式電晶體結構。
  13. 如申請專利範圍第9項所述的缺陷量測方法,更包含: 形成一感測閘極於該電荷收集區內;以及形成一讀取閘極於該訊號讀取區內。
  14. 如申請專利範圍第13項所述的缺陷量測方法,更包含:將該感測閘極連接一天線層。
  15. 如申請專利範圍第13項所述的缺陷量測方法,其中該引致電荷之該電荷值QFG為:QFG=CT×△VT×CRREAD,其中CT為該浮動閘極之總電容、△VT為該截止電壓偏移值、CRREAD為該讀取閘極之耦合比例。
  16. 如申請專利範圍第9項所述的缺陷量測方法,其中當該缺陷量測方法應用於複數該微型探測器時,該些微型探測器排列形成一微型探測器陣列。
  17. 如申請專利範圍第9項所述的缺陷量測方法,其中該些微型探測器構成一NAND記憶體晶片架構或一NOR記憶體晶片架構。
TW108112013A 2019-04-03 2019-04-03 微型探測器及缺陷量測方法 TWI723371B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
TW108112013A TWI723371B (zh) 2019-04-03 2019-04-03 微型探測器及缺陷量測方法
US16/583,283 US11335609B2 (en) 2019-04-03 2019-09-26 Micro detector
US17/143,134 US20210159129A1 (en) 2019-04-03 2021-01-06 Defect measurement method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW108112013A TWI723371B (zh) 2019-04-03 2019-04-03 微型探測器及缺陷量測方法

Publications (2)

Publication Number Publication Date
TW202038013A TW202038013A (zh) 2020-10-16
TWI723371B true TWI723371B (zh) 2021-04-01

Family

ID=72663232

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108112013A TWI723371B (zh) 2019-04-03 2019-04-03 微型探測器及缺陷量測方法

Country Status (2)

Country Link
US (2) US11335609B2 (zh)
TW (1) TWI723371B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11362007B2 (en) * 2020-01-21 2022-06-14 Winbond Electronics Corp. Fin height monitoring structure and fin height monitoring method
CN113448787B (zh) * 2021-06-29 2022-09-09 海光信息技术股份有限公司 晶圆异常分析的方法、装置、电子设备及可读存储介质

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200941493A (en) * 2008-02-19 2009-10-01 Micron Technology Inc Systems and devices including local data lines and methods of using, making, and operating the same
TW201407755A (zh) * 2012-05-16 2014-02-16 Toshiba Kk 非揮發性半導體記憶體裝置及製造其之方法
TW201543616A (zh) * 2014-05-08 2015-11-16 Chrong-Jung Lin 具電阻性元件之非揮發性記憶體與其製作方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57157573A (en) * 1981-03-25 1982-09-29 Fujitsu Ltd Semiconductor non-volatile memory cell
US5844300A (en) * 1996-09-19 1998-12-01 Intel Corporation Single poly devices for monitoring the level and polarity of process induced charging in a MOS process
TW469431B (en) * 1998-12-01 2001-12-21 Koninkl Philips Electronics Nv Semiconductor device comprising a non-volatile memory which is erasable by means of UV irradiation
DE10154392A1 (de) * 2001-11-06 2003-05-15 Philips Corp Intellectual Pty Ladungsdetektor-Halbleiterbauelement, System aus einem Ladungsdetektor-Halbleiterbauelement und einem Referenz-Halbleiterbauelement, Wafer, Verwendung eines Wafers und Verfahren zur qualitativen und quantitativen Messung einer Aufladung eines Wafers
US6960784B2 (en) * 2003-06-18 2005-11-01 Intel Corporation Charging sensor method and apparatus
JP4445403B2 (ja) * 2005-01-24 2010-04-07 株式会社東芝 半導体装置の製造方法
US8536639B2 (en) * 2011-10-20 2013-09-17 Peking University I-shape floating gate for flash memory device and fabricating the same
EP2860767A1 (en) * 2013-10-10 2015-04-15 ams AG CMOS compatible ultraviolet sensor device and method of producing a CMOS compatible ultraviolet sensor device
US10276726B2 (en) * 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory cell and non-volatile memory
US10797142B2 (en) * 2018-12-03 2020-10-06 Silicon Storage Technology, Inc. FinFET-based split gate non-volatile flash memory with extended source line FinFET, and method of fabrication

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200941493A (en) * 2008-02-19 2009-10-01 Micron Technology Inc Systems and devices including local data lines and methods of using, making, and operating the same
US7742324B2 (en) * 2008-02-19 2010-06-22 Micron Technology, Inc. Systems and devices including local data lines and methods of using, making, and operating the same
TW201407755A (zh) * 2012-05-16 2014-02-16 Toshiba Kk 非揮發性半導體記憶體裝置及製造其之方法
TW201543616A (zh) * 2014-05-08 2015-11-16 Chrong-Jung Lin 具電阻性元件之非揮發性記憶體與其製作方法

Also Published As

Publication number Publication date
US20200321255A1 (en) 2020-10-08
US11335609B2 (en) 2022-05-17
US20210159129A1 (en) 2021-05-27
TW202038013A (zh) 2020-10-16

Similar Documents

Publication Publication Date Title
US9230867B2 (en) Structure and method for E-beam in-chip overlay mark
Patterson et al. Voltage contrast test structure for measurement of mask misalignment
KR102512180B1 (ko) 계산 효율적인 x 선 기반의 오버레이 측정
Tolpygo et al. Fabrication Process and Properties of Fully-Planarized Deep-Submicron Nb/Al–$\hbox {AlO} _ {\rm x}\hbox {/Nb} $ Josephson Junctions for VLSI Circuits
KR100513171B1 (ko) 소자성능측정에의해근접효과를정량화방법및장치
TWI723371B (zh) 微型探測器及缺陷量測方法
JPH033374B2 (zh)
US7759136B2 (en) Critical dimension (CD) control by spectrum metrology
US20070292771A1 (en) Method and system for optimizing intra-field critical dimension uniformity using a sacrificial twin mask
JP2023509480A (ja) 軟x線スキャタロメトリに依拠するオーバレイ計測方法及びシステム
JP2010272553A (ja) マスクブランクの欠陥検査装置および欠陥検査方法、ならびに半導体装置の製造方法
US11428947B2 (en) Super-resolution holographic microscope
Arceo et al. Patterned defect and CD metrology by TSOM beyond the 22-nm node
US8975094B2 (en) Test structure and method to facilitate development/optimization of process parameters
CN111785650B (zh) 微型探测器及缺陷量测方法
Wang et al. On-wafer FinFET-based EUV/eBeam detector arrays for advanced lithography processes
Wang et al. Embedded micro-detectors for EUV exposure control in FinFET CMOS technology
US6822260B1 (en) Linewidth measurement structure with embedded scatterometry structure
JP7463551B2 (ja) トレンチの光測定用ターゲット
US9201027B2 (en) Evaluating semiconductor wafers for pitch walking and/or epitaxial merge
Trujillo-Sevilla et al. High speed roughness measurement on blank silicon wafers using wave front phase imaging
KR100640982B1 (ko) 씨모스 이미지 센서의 테스트 패턴 및 이를 이용한공정관리 측정방법
Trujillo-Sevilla et al. Roughness and nanotopography measurement of a Silicon Wafer using Wave Front Phase Imaging: High speed single image snapshot of entire wafer producing sub nm topography data
Li et al. A study of diffraction-based overlay (DBO) on a 3nm CFET metal layer
US20240213023A1 (en) Method for fabricating semiconductor device