CN111785650B - 微型探测器及缺陷量测方法 - Google Patents

微型探测器及缺陷量测方法 Download PDF

Info

Publication number
CN111785650B
CN111785650B CN201910263956.3A CN201910263956A CN111785650B CN 111785650 B CN111785650 B CN 111785650B CN 201910263956 A CN201910263956 A CN 201910263956A CN 111785650 B CN111785650 B CN 111785650B
Authority
CN
China
Prior art keywords
micro
detector
charge
antenna layer
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910263956.3A
Other languages
English (en)
Other versions
CN111785650A (zh
Inventor
林本坚
林崇荣
金雅琴
蔡宜霈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to CN201910263956.3A priority Critical patent/CN111785650B/zh
Publication of CN111785650A publication Critical patent/CN111785650A/zh
Application granted granted Critical
Publication of CN111785650B publication Critical patent/CN111785650B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/282Testing of electronic circuits specially adapted for particular applications not provided for elsewhere
    • G01R31/2829Testing of circuits in sensor or actuator systems
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/302Contactless testing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Electromagnetism (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

本发明是提供一种微型探测器及缺陷量测方法,微型探测器包含一基板、一鳍状结构、一浮动栅极、一感测栅极、一读取栅极以及一天线层。鳍状结构位于基板上。浮动栅极位于基板上,浮动栅极与鳍状结构彼此垂直交叉。感测栅极位于鳍状结构的一侧。读取栅极形成于鳍状结构的另一侧。天线层连接感测栅极,其位于感测栅极上方。天线层接触一外部能量源后产生一引致电荷,透过一耦合效应将引致电荷储存于浮动栅极内。借此,可透过计算引致电荷推估晶圆制程中的缺陷分布。

Description

微型探测器及缺陷量测方法
技术领域
本发明是关于一种微型探测器及应用此微型探测器的缺陷量测方法;更特别言之,透过量测此微型探测器的电性特性,得以即时检测一半导体器件于晶圆制造过程中所产生的缺陷者。
背景技术
现代电子器件已逐渐朝向尺寸紧凑、高功效方面发展。多半电子器件是由半导体构成,于半导体制造过程中,微影(Photolithography)技术为决定关键尺寸(CD,CriticalDimension)的重要步骤。目前使用的ArF浸润式准分子激光微影技术,不易达到20nm以下的精密尺寸。因此,随着制程微缩,极紫外线(EUV,Extreme Ultraviolet)微影技术已成为目前重要的发展趋势之一。
EUV光源主要由高温、高密度的电浆中激发取得。电浆产生的高能光线经聚光镜聚光,穿过中间焦点(IF,Intermediate Focus),经照明光学系统整形后,照亮反射型光罩(Reflective mask),光罩反射后的EUV光线,经投影光学系统成像于光阻,并形成所需图样(Pattern)。
目前已有多家晶圆制造厂投入了大量的研究资源于EUV微影系统上。然而,在EUV微影系统中,仍存在有例如缺乏光源能、光阻抗涂布均匀度以及侦测系统等问题。其中,EUV微影技术遭受闪焰效应(Flare Effect)、散射光(Scattered light)效果的影响,加上其光学原理基本上是经由多个反射投影光学系统的反光镜,导致关键尺寸的失真和均匀性的损失问题更为严重。
EUV的闪焰效应主要是不希望产生的散射光与表面粗糙所造成,影响图样制程后所呈现的关键尺寸(Critical Dimension)。因此,许多利用光学观测的方法已被发展。
一种侦测EUV的绕射(Diffraction)与闪焰效应的方法,是设置一相干EUV散射测量显微镜(CSM,Coherent EUV Scattering Microscopy)系统,此系统中的EUV感光耦合元件侦测器(CCD Detector,Charge-Coupled Device Detector)可以侦测并成像EUV光罩的绕射图案。通过观察绕射图像的缺陷信号(Defect Signal)可反应EUV绕射与闪焰效应的程度。
另一种方法,是设计一光罩(Layout mask),用以定义连接点(Contact)与金属线(Metal line)之间的垂直位移与水平位移位置。当图样(Pattern)完成后,透过扫瞄式电子显微镜(CD-SEM,Critical Dimension Scanning Electron Microscope)计量学量测图样的位移程度。通过观察图样的位移量可反应EUV的闪焰效应。
然而,上述方法所需的设备,往往过于复杂,且基于其原理,无法即时并准确地得到缺陷量测结果。
发明内容
本发明揭示一种微型探测器及缺陷量测方法,其是可透过量测电性特性,计算因外部能量源所引致的引致电荷分布,进而可回推因外部能量源所导致的缺陷。
依据本发明一实施方式,提供一种微型探测器,其包含一基板、一鳍状结构、一浮动栅极、一感测栅极、一读取栅极、一天线层以及一连接点。鳍状结构位于基板上。浮动栅极位于基板上,且浮动栅极与鳍状结构彼此垂直交叉。感测栅极位于鳍状结构的一侧。读取栅极位于鳍状结构的另一侧。天线层连接感测栅极,其位于感测栅极上方。天线层透过连接点连接感测栅极,感测栅极的电压透过连接点与浮动栅极耦合。感测栅极与读取栅极形成一耦合结构,鳍状结构区隔耦合结构形成一电荷收集区以及一信号读取区,感测栅极于该电荷收集区内,读取栅极于该信号读取区内。天线层接触一外部能量源后产生一引致电荷,透过一耦合效应将引致电荷储存于浮动栅极内。
依据上述实施方式的微型探测器中,还包含一浅沟槽隔离层。浅沟槽隔离层位于基板上,且鳍状结构穿设于浅沟槽隔离层。
依据上述实施方式的微型探测器中,连接点可呈平板状、多颗柱状或点状。
依据上述实施方式的微型探测器中,天线层可呈平板状、栅状或指叉状。
依据上述实施方式的微型探测器中,天线层的材质可为一金属。
依据上述实施方式的微型探测器中,天线层的材质可为一受光反应材质。
依据上述实施方式的微型探测器中,天线层的厚度可为
Figure GDA0004208878860000021
依据本发明另一实施方式,提供一种缺陷量测方法,其是应用于至少一如上述实施方式的微型探测器。缺陷量测方法包含:将耦合结构区隔成电荷收集区以及信号读取区;形成感测栅极于电荷收集区内;形成读取栅极于信号读取区内;以外部能量源接触耦合结构产生引致电荷;透过耦合结构产生耦合效应,将引致电荷储存于浮动栅极内;对微型探测器进行一电性量测,取得微型探测器的一截止电压;将截止电压与一标准截止电压进行比对,取得一截止电压偏移值;利用截止电压偏移值计算对应引致电荷的一电荷值。
依据上述实施方式的缺陷量测方法中,外部能量源可为一电磁波、一电子束、一电浆或一离子束。
依据上述实施方式的缺陷量测方法中,电磁波可包含一紫外光、一可见光或一红外线光。
依据上述实施方式的缺陷量测方法中,微型探测器可包含一平面型晶体管结构或一鳍式晶体管结构。
依据上述实施方式的缺陷量测方法中,引致电荷的电荷值QFG可表示为:QFG=CT×ΔVT×CRREAD,其中CT为浮动栅极的总电容、ΔVT为截止电压偏移值、CRREAD为读取栅极的耦合比例。
依据上述实施方式的缺陷量测方法中,其中当缺陷量测方法应用于多个微型探测器时,这些微型探测器排列形成一微型探测器阵列。
依据上述实施方式的缺陷量测方法中,其中当缺陷量测方法应用于多个微型探测器时,这些微型探测器可构成一NAND记忆体晶片架构或一NOR记忆体晶片架构。
依据上述实施方式的缺陷量测方法中,电磁波可包含一极紫外光或一深紫外光。
附图说明
图1是绘示依据本发明一实施例的微型探测器的结构示意图;
图2是绘示据本发明另一实施例的微型探测器的结构示意图;
图3是绘示图2实施例的微型探测器的上视图;
图4是绘示引致电流对应截止电压偏移值的关系图;
图5是绘示耦合比例随感测栅极长度变化的关系图;
图6A、图6B及图6C是绘示图2实施例的微型探测器的天线层的多种结构示意图;
图7是绘示本发明又一实施例的形成微型探测器阵列的结构示意图;
图8是绘示图7实施例中的微型探测器阵列的一应用例示意图;
图9A及图9B是绘示极紫外线不同的闪焰效应程度的示意图;
图10A、图10B、图10C、图10D以及图10E是绘示引致电荷于电浆微影过程中随不同天线层层数的变化图;
图11是绘示本发明一实施例中,以微型探测器构成NOR记忆体晶片架构的示意图;以及
图12是绘示本发明一实施例中,以微型探测器构成NAND记忆体晶片架构的示意图。
具体实施方式
以下将参照附图说明本发明的多个实施例。为明确说明起见,许多实务上的细节将在以下叙述中一并说明。然而,这些实务上的细节不应该用以限制本发明。也就是说,在本发明部分实施例中,这些实务上的细节是非必要的。此外,为简化附图起见,一些已知惯用的结构与元件在附图中将以简单示意的方式绘示之;并且重复的元件将可能使用相同的编号表示之。
图1是绘示依据本发明一实施例的微型探测器100的结构示意图;图2是绘示据本发明另一实施例的微型探测器100的结构示意图。
微型探测器100包含一基板110、一鳍状结构120、一浮动栅极130、一感测栅极140、一读取栅极150以及一天线层160。基本的配置关系,鳍状结构120位于基板110上。浮动栅极130亦位于基板110上,并与鳍状结构120彼此垂直交叉。感测栅极140位于鳍状结构120的一侧。读取栅极150位于鳍状结构120的另一侧。天线层160连接感测栅极140,并位于感测栅极140上方。天线层160可于接触一外部能量源后产生一引致电荷,并透过一耦合效应将引致电荷储存于浮动栅极130内。
于一实施例,微型探测器100可还包含一浅沟槽隔离层170。浅沟槽隔离层170位于基板110上,且鳍状结构120穿设于浅沟槽隔离层170。更明确地说,鳍状结构120是由基板110向上延伸而穿设于浅沟槽隔离层170。感测栅极140及读取栅极150分别为鳍状结构120区隔而位于鳍状结构120的二相对侧。另需提及,使用此浅沟槽隔离层170为降低漏电之用,不使用此浅沟槽隔离层170而改为由基板110上先形成一SOI(绝缘层上硅)层,再于此SOI层上形成鳍状结构120亦为可能的另一种结构。当然,亦不排除其余可能使用的对等结构。
微型探测器100可还包含一连接点180。天线层160是透过连接点180连接感测栅极140。连接点180可呈平板状、多颗柱状或点状。天线层则160可呈平板(Plate)状、栅状(Palisade)或指叉状(Fork)。天线层160材质可为金属或受光反应材质,其厚度可为
Figure GDA0004208878860000051
不同形状的蚀刻比不同,不同厚度的微影蚀刻的时间不同,所造成感测的效果亦不同。
基板110的材质可为任何适当的半导体材料,例如硅、锗、碳或其它II-VI族或III-V族半导体化合物及类似者。
鳍状结构120可通过直接由基板110磊晶并经过蚀刻而形成。例如可使用微影制程与选择性蚀刻制程搭配,以形成高的宽高比(Aspect ratio)的鳍状结构120。微影制程可使用例如光微影、极紫外线(EUV)微影或X射线微影等。
鳍状结构120一般具有小至数十纳米的窄宽度。可以理解的是,鳍状结构120可透过适当的掺杂,以便界定对应的漏极(Drain)与源极(Source)。换言之,本发明中的微型探测器100,是应用鳍式晶体管(FinFET)的技术。
图2实施例中的微型探测器100,其结构与图1实施例中的微型探测器100类似,包含鳍状结构120、浮动栅极130、感测栅极140、读取栅极150、天线层160、浅沟槽隔离层170以及连接点180。差异在于,图2实施例的微型探测器100中,连接点180可延伸连接于感测栅极140及读取栅极150之上。
请续参照图3,其是绘示图2实施例的微型探测器100的上视图。由图3实施例可知,本发明的微型探测器100于鳍状结构120二端分别形成一源极120a以及一漏极120b。因此,是基于鳍式晶体管(FinFET)结构而形成。于可能实施例中,利用平面型晶体管(PlanarFET)结构亦可形成本发明的微型探测器100结构。因此,由图3实施例中,感测栅极140及读取栅极150可视为一耦合结构,且可以大致区分为一电荷收集区190a以及一信号读取区190b。感测栅极140位于电荷收集区190a内,而读取栅极150位于信号读取区190b内。
以下续说明上述微型探测器100的运作机制。于一用途中,本发明的微型探测器100,是用于侦测半导体器件于晶圆制造过程中所产生的缺陷。此缺陷,是指本导体器件电性上的缺失,可能是于电浆蚀刻过程中所产生的引致电荷所导致,亦可能是EUV(极紫外线)微影过程中所产生的闪焰效应所产生的引致电荷。首先,此引致电荷为天线层160所吸收,透过耦合结构聚积于感测栅极140上,因此引致一高电位差产生于浮动栅极130及基板110间,因此透过一电子穿隧效应,令引致电荷累积于浮动栅极130。接续,于图3实施例中,电荷收集区190a以及信号读取区190b可视为各自包含一晶体管结构。因此,于信号读取区190b,读取栅极150可用以读出信号。感测栅极140则连接天线层160,且其电压透过连接点180而与浮动栅极130耦合。因此,可透过读取栅极150进行电性量测,取得晶体管结构的I-V曲线。而由I-V曲线,可取得截止电压(Threshold voltage),再以此截止电压反推引致电荷的值。借此,本发明提供一缺陷量测方法,其是包含:以一外部能量源接触耦合结构产生一引致电荷;透过耦合结构产生一耦合效应,将引致电荷储存于浮动栅极130内;对微型探测器100进行一电性量测,取得微型探测器100的一截止电压;将截止电压与一标准截止电压进行比对,取得一截止电压偏移值;利用截止电压偏移值计算对应引致电荷的一电荷值。借此,可利用电荷值推估外部能量源于一晶片于制造过程中所形成的缺陷。其中,引致电荷的电荷值QFG可表示为:QFG=CT×ΔVT×CRREAD,其中CT为浮动栅极的总电容、ΔVT为截止电压偏移值、CRREAD为读取栅极的耦合比例。上述的外部能量源,可为一电磁波、一电子束、一电浆或一离子束。电磁波可包含一极紫外光、一深紫外光、一紫外光、一可见光或一红外线光。亦即,可与天线层160接触反应,而产生引致电荷者,即可应用本发明的微型探测器100进行缺陷量测。
请续参照图4,其是绘示引致电流对应截止电压偏移值ΔVT的关系图,VRG表示透过读取栅极150量测的电压值。由图4中,可看出引致电荷的产生,确实可导致截止电压偏移。并且,引致电荷的电荷值QFG可以为正或负,而对应的截止电压偏移值ΔVT,亦可为正或负。
请续参照图5,其是绘示耦合比例CR随感测栅极长度LAG变化的关系图,VAG表示透过感测栅极140量测的电压值。由图5中,可看出当感测栅极长度LAG增加1.6倍时,耦合比例CR增加7%。换言之,通过控制感测栅极长度LAG,即可控制耦合比例CR。
请参照图6A、图6B及图6C。图6A、图6B及图6C是绘示图2实施例的微型探测器100的天线层160的多种结构示意图。图6A中,天线层160呈平板(Plate)状;图6B中,天线层160呈栅状(Palisade);图6C中,天线层160呈指叉状(Fork)。不同的天线层160形状可获致与外部能量源不同的耦合效应。天线层160的材质可为金属或受光反应材质(例如:Ge、InGaAs、Gap、Silicon等)。
请续参照图7。图7是绘示本发明又一实施例的微型探测器100阵列的结构示意图。本发明的微型探测器100,可以排列形成微型探测器100阵列。借此,可提供对应于整片晶圆制程中,缺陷的分布状况。
请续参照图8。图8是绘示图7实施例中的微型探测器100阵列的一应用例示意图。微型探测器100阵列将于7纳米级先进制程中实现,并于EUV微影系统中进行侦测与测试。EUV光源L是透过多个光学元件照射到晶圆上,以便与光阻反应形成所需图样,并配合如磊晶、蚀刻等制程,形成所需的半导体器件结构。微型探测器100阵列可以于晶圆制造过程中储存EUV的电荷,并于中间金属层(Inter-metal)测量电性,即可取得对应各层制程过程中的EUV闪焰效应侦测结果。借此,可提升EUV微影系统可靠度,亦可提供EUV微影系统的优化指标。
请续参照图9A及图9B。图9A及图9B是绘示极紫外线不同的闪焰效应程度的示意图。已知已有研究分析闪焰效应与截止电压的关系。图9A中,闪焰效应较严重,则截止电压(VT)分布高低差明显(中间高,四周低)。反之,图9B中,闪焰效应情形较和缓,则截止电压(VT)分布数值较小且平缓。借此结果,透过本发明的微型探测器100及类似于前述实施例所揭示的缺陷量测方法。所测得的电性曲线可取得截止电压,由公式计算可得浮动栅极内的电荷。可利用此电荷进一步计算对应的穿隧电流密度与氧化层电场,并除以天线层160的耦合比例,计算出极紫外线所导致的电压,再通过材料光电效应的量子效率(QuantumEfficiency),即可得知对应的极紫外线强度。借此,可推得极紫外线引致的闪焰效应程度,可据以降低闪焰效应的发生。
请续参照图10A至图10E。图10A、图10B、图10C、图10D以及图10E是绘示引致电荷于电浆微影过程中随不同天线层160层数的变化图。本发明中,可透过量测所得的截止电压(VT)分布,进一步分析晶圆上的引致电荷的电荷值(QFG)分布。天线层160端的电压较大,则截止电压(VT)绝对值数值较大;反之,天线层160的电压较小,则截止电压(VT)绝对值数值较小。引致电荷分布情形可由天线层160制程参数不同而变化。由图10A、图10B、图10C、图10D及图10E中,天线层160数量分别为1层、2层、3层、4层及5层,借此,可导致引致电荷的同心圆与电荷值高低分布。
请续参照图11及图12。图11是绘示本发明一实施例中,以微型探测器100构成NOR记忆体晶片架构的示意图。图12是绘示本发明一实施例中,以微型探测器100构成NAND记忆体晶片架构的示意图。本发明的微型探测器100可提供NAND或NOR记忆体晶片架构。在NOR记忆体晶片架构中,个别微型探测器100所构成的记忆胞,以并联方式连接,使装置能够达到随机存取的目的,其字元线、位元线及源极线配置如图11所示。NOR记忆体晶片架构是提供低密度、高速读取的应用。另一方面,于NAND记忆体晶片架构中,个别微型探测器100所构成的记忆胞以并联方式连接,以达成更小的胞元尺寸,使整体记忆体晶片尺寸更小,且每个位元的成本更低,其字元线、位元线及源极线配置如图12所示。于已知NAND或NOR记忆体晶片架构中,其字元线(Word line)是直接连接于栅极,本发明中,微型探测器100的字元线则连接于读取栅极150上以耦合方式进行感测。此外,已知NAND或NOR记忆体晶片架构中,并无类似本发明的微型探测器100中,于鳍状结构120两侧分别有感测栅极140及读取栅极150。因此,以本发明的微型探测器100,具有即时侦测制程中缺陷的功能,具更广的应用范围。
根据上述,本发明的极微型探测器100阵列可以通过电性量测侦测EUV过程中所产生的电荷,以电性量测取代CCD成像后观测或SEM显微镜观测图样位置。由于量测简易,可以应用产线制程中线上晶圆接受度测试(WAT,Wafer Acceptance Test)系统检测,提供晶圆厂出货至下一流程的依据。此外,微型探测器100阵列于EUV微影制程中所储存的电荷,经由电性量测除了可以提供闪焰效应的区域分布,亦可提供闪焰效应的定量分析,可成为改善EUV微影系统可靠度指标之一。再者,透过光罩Layout设计,提供后段制程(BEOL,Back EndOf Line)多层金属层的闪焰效应,并于于晶圆制造过程中间金属层(Inter-metal)测量电性,可以即时了解各层制程过程中的EUV闪焰效应,提升EUV系统的可靠度及优化指标。
虽然本发明已以实施方式揭露如上,然其并非用以限定本发明,任何熟悉此技艺者,在不脱离本发明的精神和范围内,当可作各种的更动与润饰,因此本发明的保护范围当视所附的权利要求书所界定的范围为准。

Claims (15)

1.一种微型探测器,其特征在于,包含:
一基板;
一鳍状结构,位于该基板上;
一浮动栅极,位于该基板上,该浮动栅极与该鳍状结构彼此垂直交叉;
一感测栅极,位于该鳍状结构的一侧;
一读取栅极,位于该鳍状结构的另一侧;
一天线层,连接该感测栅极,该天线层位于该感测栅极上方;以及
一连接点,其中该天线层透过该连接点连接该感测栅极,该感测栅极的电压透过该连接点与该浮动栅极耦合;
其中该感测栅极与该读取栅极形成一耦合结构,该鳍状结构区隔该耦合结构形成一电荷收集区以及一信号读取区,该感测栅极于该电荷收集区内,该读取栅极于该信号读取区内;
其中该天线层接触一外部能量源后产生一引致电荷,透过一耦合效应将该引致电荷储存于该浮动栅极内。
2.根据权利要求1所述的微型探测器,其特征在于,还包含一浅沟槽隔离层,其中该浅沟槽隔离层形成于该基板上,且该鳍状结构穿设于该浅沟槽隔离层。
3.根据权利要求1所述的微型探测器,其特征在于,该连接点呈平板状、多颗柱状或点状。
4.根据权利要求1所述的微型探测器,其特征在于,该天线层呈平板状、栅状或指叉状。
5.根据权利要求1所述的微型探测器,其特征在于,该天线层的材质为一金属。
6.根据权利要求1所述的微型探测器,其特征在于,该天线层的材质为一受光反应材质。
7.根据权利要求1所述的微型探测器,其特征在于,该天线层的厚度为
Figure FDA0004208878850000021
8.一种缺陷量测方法,其是应用于至少一如权利要求1至7任一项所述的微型探测器,其特征在于,该缺陷量测方法包含:
将该耦合结构区隔成该电荷收集区以及该信号读取区;
形成该感测栅极于该电荷收集区内;
形成该读取栅极于该信号读取区内;
以该外部能量源接触该耦合结构产生该引致电荷;
透过该耦合结构产生该耦合效应,将该引致电荷储存于该浮动栅极内;
对该微型探测器进行一电性量测,取得该微型探测器的一截止电压;
将该截止电压与一标准截止电压进行比对,取得一截止电压偏移值;以及
利用该截止电压偏移值计算对应该引致电荷的一电荷值。
9.根据权利要求8所述的缺陷量测方法,其特征在于,该外部能量源为一电磁波、一电子束、一电浆或一离子束。
10.根据权利要求9所述的缺陷量测方法,其特征在于,该电磁波包含一紫外光、一可见光或一红外线光。
11.根据权利要求8所述的缺陷量测方法,其特征在于,该微型探测器包含一平面型晶体管结构或一鳍式晶体管结构。
12.根据权利要求8所述的缺陷量测方法,其特征在于,该引致电荷的该电荷值QFG为:
QFG=CT×ΔVT×CRREAD,其中CT为该浮动栅极的总电容、ΔVT为该截止电压偏移值、CRREAD为该读取栅极的耦合比例。
13.根据权利要求8所述的缺陷量测方法,其特征在于,当该缺陷量测方法应用于多个该微型探测器时,该些微型探测器排列形成一微型探测器阵列。
14.根据权利要求8所述的缺陷量测方法,其特征在于,当该缺陷量测方法应用于多个该微型探测器时,该些微型探测器构成一NAND记忆体晶片架构或一NOR记忆体晶片架构。
15.根据权利要求9所述的缺陷量测方法,其特征在于,该电磁波包含一极紫外光或一深紫外光。
CN201910263956.3A 2019-04-03 2019-04-03 微型探测器及缺陷量测方法 Active CN111785650B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910263956.3A CN111785650B (zh) 2019-04-03 2019-04-03 微型探测器及缺陷量测方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201910263956.3A CN111785650B (zh) 2019-04-03 2019-04-03 微型探测器及缺陷量测方法

Publications (2)

Publication Number Publication Date
CN111785650A CN111785650A (zh) 2020-10-16
CN111785650B true CN111785650B (zh) 2023-07-04

Family

ID=72754778

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910263956.3A Active CN111785650B (zh) 2019-04-03 2019-04-03 微型探测器及缺陷量测方法

Country Status (1)

Country Link
CN (1) CN111785650B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103715198A (zh) * 2012-10-04 2014-04-09 闪矽公司 三维单浮栅非易失性存储器装置
CN105097864A (zh) * 2014-05-08 2015-11-25 林崇荣 具电阻性元件的非易失性存储器与其制作方法
CN107452744A (zh) * 2016-05-31 2017-12-08 台湾积体电路制造股份有限公司 非易失性存储单元和非易失性存储器

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8536639B2 (en) * 2011-10-20 2013-09-17 Peking University I-shape floating gate for flash memory device and fabricating the same
JP2013239622A (ja) * 2012-05-16 2013-11-28 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103715198A (zh) * 2012-10-04 2014-04-09 闪矽公司 三维单浮栅非易失性存储器装置
CN105097864A (zh) * 2014-05-08 2015-11-25 林崇荣 具电阻性元件的非易失性存储器与其制作方法
CN107452744A (zh) * 2016-05-31 2017-12-08 台湾积体电路制造股份有限公司 非易失性存储单元和非易失性存储器

Also Published As

Publication number Publication date
CN111785650A (zh) 2020-10-16

Similar Documents

Publication Publication Date Title
TWI753030B (zh) 用於x 射線散射測量系統之全光束度量
KR102512180B1 (ko) 계산 효율적인 x 선 기반의 오버레이 측정
US9230867B2 (en) Structure and method for E-beam in-chip overlay mark
KR102515242B1 (ko) 투과 소각 x 선 산란 계측 시스템
Patterson et al. Voltage contrast test structure for measurement of mask misalignment
KR102557179B1 (ko) 파장 분해 연질 x 선 반사 측정법에 기초한 반도체 계측을 위한 방법 및 시스템
Bunday et al. Gaps analysis for CD metrology beyond the 22nm node
JP5230730B2 (ja) 裏面照射型線形センサーを使用する検査システム
JPH033374B2 (zh)
US20210159129A1 (en) Defect measurement method
JP2006040991A (ja) 半導体装置の評価方法、および製造方法
JP2023509480A (ja) 軟x線スキャタロメトリに依拠するオーバレイ計測方法及びシステム
US20100224913A1 (en) One-dimensional FET-based corrosion sensor and method of making same
Arceo et al. Patterned defect and CD metrology by TSOM beyond the 22-nm node
JP2006500771A (ja) 拡散層の横方向拡散の測定
US8975094B2 (en) Test structure and method to facilitate development/optimization of process parameters
CN111785650B (zh) 微型探测器及缺陷量测方法
US6963393B2 (en) Measurement of lateral diffusion of diffused layers
Wang et al. On-wafer FinFET-based EUV/eBeam detector arrays for advanced lithography processes
Wang et al. Embedded micro-detectors for EUV exposure control in FinFET CMOS technology
Lorusso et al. Electron beam metrology for advanced technology nodes
JP7463551B2 (ja) トレンチの光測定用ターゲット
Ohashi et al. Precise measurement of thin-film thickness in 3D-NAND device with CD-SEM
US9201027B2 (en) Evaluating semiconductor wafers for pitch walking and/or epitaxial merge
Kuo et al. Recess gate process control by using 3D SCD in 3xm vertical DRAM

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant