TWI717062B - Patterning method - Google Patents

Patterning method Download PDF

Info

Publication number
TWI717062B
TWI717062B TW108137339A TW108137339A TWI717062B TW I717062 B TWI717062 B TW I717062B TW 108137339 A TW108137339 A TW 108137339A TW 108137339 A TW108137339 A TW 108137339A TW I717062 B TWI717062 B TW I717062B
Authority
TW
Taiwan
Prior art keywords
layer
mask pattern
containing material
material layer
mask
Prior art date
Application number
TW108137339A
Other languages
Chinese (zh)
Other versions
TW202117804A (en
Inventor
林庚平
歐陽自明
Original Assignee
華邦電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 華邦電子股份有限公司 filed Critical 華邦電子股份有限公司
Priority to TW108137339A priority Critical patent/TWI717062B/en
Application granted granted Critical
Publication of TWI717062B publication Critical patent/TWI717062B/en
Publication of TW202117804A publication Critical patent/TW202117804A/en

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A patterning method includes sequentially forming a target layer, a first layer, a second layer, a third layer, and a first mask pattern. A first spacer is formed on a sidewall of the first mask layer. The first mask pattern is removed to form a plurality of periphery openings surrounding a center opening in the first spacer. A rounding process is performed to round the periphery openings and form a second mask pattern. A portion of the second layer is removed by using the second mask pattern as a mask, so as to form a third mask pattern. A second spacer is formed in the third mask pattern. The third mask pattern is removed. Portions of the first layer and the target layer are removed by using the second spacer as a mask.

Description

圖案化的方法Patterning method

本發明是有關於一種圖案化的方法,且特別是有關於一種著陸墊結構的製造方法。The present invention relates to a patterning method, and particularly relates to a method for manufacturing a landing pad structure.

隨著科技的進步,各類電子產品皆朝向輕薄短小的趨勢發展。在這趨勢之下,DRAM的關鍵尺寸亦逐漸縮小,其導致電容器接觸窗及其下方的著陸墊愈來愈密集,進而產生許多問題,例如各個著陸墊的形狀不一致、相鄰著陸墊之間形成不需要的橋接(undesired bridge)等。另外,當著陸墊的積集度增加時,著陸墊的製程也愈來愈複雜,進而導致製程裕度(process window)變小。因此,本領域技術人員在致力於提升電容器接觸窗及其下方的著陸墊的積集度的同時,亦需顧及到製程裕度與元件良率。With the advancement of science and technology, all kinds of electronic products are developing towards the trend of light, thin and short. Under this trend, the critical size of DRAM is also gradually shrinking, which causes the capacitor contact window and the landing pads under it to become more and more dense, which in turn causes many problems, such as the inconsistency of the shape of each landing pad and the formation between adjacent landing pads. Undesired bridge (undesired bridge) etc. In addition, when the integration degree of the landing pad increases, the manufacturing process of the landing pad becomes more and more complicated, which in turn leads to a smaller process window. Therefore, while those skilled in the art are committed to improving the integration of the capacitor contact window and the land pad underneath, it is also necessary to consider the process margin and the device yield.

本發明提供一種圖案化的方法,其可製造出均勻形狀的多個半導體結構,以提升在單位面積中的半導體結構的積集度。The present invention provides a patterning method, which can manufacture a plurality of semiconductor structures of uniform shape, so as to improve the integration degree of semiconductor structures in a unit area.

本發明提供一種圖案化的方法,其可提升半導體結構的積集度,同時增加半導體結構的製程裕度並提升元件良率。The present invention provides a patterning method, which can increase the integration degree of the semiconductor structure, increase the process margin of the semiconductor structure and improve the device yield.

本發明提供一種圖案化的方法,其步驟如下。於基底上依序形成導體層、第一含氮材料層、第一含碳材料層、第二含氮材料層、第二含碳材料層以及光阻圖案。以光阻圖案為罩幕,移除部分第二含碳材料層,以形成第一罩幕圖案。於第一罩幕圖案的側壁上形成第一間隙壁。移除第一罩幕圖案,以於第一間隙壁中形成中心開口與環繞中心開口的多個周邊開口。進行圓角化製程,移除部分第二含氮材料層,以形成第二罩幕圖案。The present invention provides a patterning method, the steps of which are as follows. A conductor layer, a first nitrogen-containing material layer, a first carbon-containing material layer, a second nitrogen-containing material layer, a second carbon-containing material layer, and a photoresist pattern are sequentially formed on the substrate. Using the photoresist pattern as a mask, a part of the second carbon-containing material layer is removed to form a first mask pattern. A first gap wall is formed on the side wall of the first mask pattern. The first mask pattern is removed to form a central opening and a plurality of peripheral openings surrounding the central opening in the first spacer. Perform a rounding process to remove part of the second nitrogen-containing material layer to form a second mask pattern.

本發明提供另一種圖案化的方法,其步驟如下。於基底上依序形成目標層、第一層、第二層、第三層以及第一罩幕圖案。於第一罩幕圖案的側壁上形成第一間隙壁。移除第一罩幕圖案,以於第一間隙壁中形成多個中心開口與環繞多個中心開口的周邊開口。進行圓角化製程,以圓角化多個周邊開口並形成第二罩幕圖案。以第二罩幕圖案為罩幕,移除部分第二層,以形成第三罩幕圖案。於第三罩幕圖案中形成第二間隙壁。移除第三罩幕圖案。以第二間隙壁為罩幕,移除部分第一層與部分目標層。The present invention provides another patterning method, the steps of which are as follows. A target layer, a first layer, a second layer, a third layer, and a first mask pattern are sequentially formed on the substrate. A first gap wall is formed on the side wall of the first mask pattern. The first mask pattern is removed to form a plurality of central openings and peripheral openings surrounding the plurality of central openings in the first spacer. A rounding process is performed to round a plurality of peripheral openings to form a second mask pattern. Take the second mask pattern as the mask, and remove part of the second layer to form the third mask pattern. A second gap wall is formed in the third mask pattern. Remove the third mask pattern. Using the second spacer as a mask, part of the first layer and part of the target layer are removed.

以下實施例說明的圖案化方法可視為一種半導體結構的製造方法。此半導體結構可以是動態隨機存取記憶體(DRAM)的著陸墊或電容器接觸窗結構,但本發明不以此為限。The patterning method described in the following embodiments can be regarded as a manufacturing method of a semiconductor structure. The semiconductor structure can be a landing pad of a dynamic random access memory (DRAM) or a capacitor contact structure, but the invention is not limited to this.

請參照圖1A與圖2A,本實施例提供一種半導體結構的製造方法,其步驟如下。首先,提供基底100。1A and 2A, this embodiment provides a method for manufacturing a semiconductor structure, the steps of which are as follows. First, the substrate 100 is provided.

具體來說,如圖2A所示,基底100包括晶胞區R1、周邊區R2以及位於晶胞區R1與周邊區R2之間的防護環區R3。Specifically, as shown in FIG. 2A, the substrate 100 includes a unit cell region R1, a peripheral region R2, and a guard ring region R3 located between the unit cell region R1 and the peripheral region R2.

如圖2A所示,於基底100上形成複合層堆疊,其由下至上依序包括介電層102、阻障層104、導體層106、第一含氮材料層108、第一含碳材料層110、第二含氮材料層112、第二含碳材料層114、抗反射層116以及光阻圖案118。As shown in FIG. 2A, a composite layer stack is formed on the substrate 100, which sequentially includes a dielectric layer 102, a barrier layer 104, a conductor layer 106, a first nitrogen-containing material layer 108, and a first carbon-containing material layer from bottom to top. 110, a second nitrogen-containing material layer 112, a second carbon-containing material layer 114, an anti-reflection layer 116, and a photoresist pattern 118.

在一實施例中,介電層102可以是氮化矽層,其可利用化學氣相沉積法(CVD)來形成。阻障層104的材料可以是金屬(例如Ti、Ta等),其可利用CVD來形成。導體層106的材料可例如是金屬(例如W、Cu、AlCu等),其可利用CVD來形成。在一實施例中,第一含氮材料層108、第二含氮材料層112的材料例如是氮化矽、氮氧化矽或其組合,第一含氮材料層108的厚度約為30 nm至50 nm,第二含氮材料層112的厚度約為60 nm至80 nm,其可利用CVD或原子層沉積法(ALD)來形成。在一實施例中,第一含碳材料層110、第二含碳材料層114的材料例如是類金剛石碳(Diamond-like carbon)、非晶形碳膜(amorphous carbon film)、高選擇性透明(High selectivity Transparency)膜或其組合,其厚度約為70 nm至100 nm,其可利用CVD來形成。在一實施例中,抗反射層116的材料包括有機聚合物、碳或氮氧化矽等,其厚度約為20 nm至30 nm,其可利用CVD來形成。在一實施例中,光阻圖案118的材料包括正型光阻、負型光阻等,其可利用旋轉塗佈法與顯影製程來形成。In an embodiment, the dielectric layer 102 may be a silicon nitride layer, which may be formed by chemical vapor deposition (CVD). The material of the barrier layer 104 may be a metal (for example, Ti, Ta, etc.), which may be formed by CVD. The material of the conductor layer 106 may be, for example, a metal (for example, W, Cu, AlCu, etc.), which may be formed by CVD. In one embodiment, the material of the first nitrogen-containing material layer 108 and the second nitrogen-containing material layer 112 is, for example, silicon nitride, silicon oxynitride, or a combination thereof, and the thickness of the first nitrogen-containing material layer 108 is about 30 nm to 50 nm, the thickness of the second nitrogen-containing material layer 112 is about 60 nm to 80 nm, which can be formed by CVD or atomic layer deposition (ALD). In an embodiment, the materials of the first carbon-containing material layer 110 and the second carbon-containing material layer 114 are, for example, diamond-like carbon, amorphous carbon film, and highly selective transparent ( High selectivity Transparency) film or a combination thereof, the thickness of which is about 70 nm to 100 nm, which can be formed by CVD. In one embodiment, the material of the anti-reflective layer 116 includes organic polymer, carbon or silicon oxynitride, etc., with a thickness of about 20 nm to 30 nm, which can be formed by CVD. In an embodiment, the material of the photoresist pattern 118 includes a positive photoresist, a negative photoresist, etc., which can be formed by a spin coating method and a development process.

值得注意的是,如圖1A所示,光阻圖案118包括光阻圖案118a、118b。光阻圖案118a包括位於晶胞區R1中的彼此分離的多個島狀圖案。光阻圖案118b包括位於防護環區R3中的沿著Y方向延伸的條狀圖案。另外,雖然圖1A的切線I-I’僅橫越兩個光阻圖案118a,但在不同於切線I-I’的剖面上亦具有多個光阻圖案118a(其繪示為虛線)。It is worth noting that, as shown in FIG. 1A, the photoresist pattern 118 includes photoresist patterns 118a and 118b. The photoresist pattern 118a includes a plurality of island-shaped patterns separated from each other in the unit cell region R1. The photoresist pattern 118b includes a stripe pattern extending along the Y direction in the guard ring region R3. In addition, although the tangent line I-I' of FIG. 1A only crosses two photoresist patterns 118a, there are also a plurality of photoresist patterns 118a on a cross section different from the tangent line I-I' (which is shown as a dashed line).

請參照圖1A-1B與圖2A-2B,以光阻圖案118為罩幕,移除部分抗反射層116與部分第二含碳材料層114,以形成第一罩幕圖案214。在此情況下,如圖1B所示,第一罩幕圖案214複製光阻圖案118,其亦包括第一罩幕圖案214a、214b。第一罩幕圖案214a包括位於晶胞區R1中的彼此分離的多個島狀圖案。第一罩幕圖案214b包括位於防護環區R3中的沿著Y方向延伸的條狀圖案。在本實施例中,第二含氮材料層112可用以當作形成第一罩幕圖案214的蝕刻停止層。另外,如圖2B所示,第一罩幕圖案214的頂面上仍殘留部分抗反射層116a。1A-1B and 2A-2B, the photoresist pattern 118 is used as a mask, and a portion of the anti-reflection layer 116 and a portion of the second carbon-containing material layer 114 are removed to form a first mask pattern 214. In this case, as shown in FIG. 1B, the first mask pattern 214 replicates the photoresist pattern 118, which also includes the first mask patterns 214a and 214b. The first mask pattern 214a includes a plurality of island-shaped patterns separated from each other in the unit cell region R1. The first mask pattern 214b includes a stripe pattern extending along the Y direction in the guard ring region R3. In this embodiment, the second nitrogen-containing material layer 112 can be used as an etch stop layer for forming the first mask pattern 214. In addition, as shown in FIG. 2B, part of the anti-reflection layer 116a remains on the top surface of the first mask pattern 214.

請參照圖1C、圖2C以及圖3,於基底100上形成第一間隙壁材料120,以共形地覆蓋第一罩幕圖案214的頂面與側壁。在一實施例中,第一間隙壁材料120包括介電材料,其可例如是氧化矽、氮化矽、氮氧化矽或其組合,其厚度約為30 nm至50 nm,其可利用ALD來形成。1C, 2C, and 3, a first spacer material 120 is formed on the substrate 100 to conformally cover the top surface and sidewalls of the first mask pattern 214. In one embodiment, the first spacer material 120 includes a dielectric material, which can be, for example, silicon oxide, silicon nitride, silicon oxynitride, or a combination thereof. The thickness is about 30 nm to 50 nm. form.

值得注意的是,如圖1C所示,位於晶胞區R1中的第一罩幕圖案214a是以六方最密堆積(hexagonal closed packing)的形式排列的柱狀圖案(從剖面圖2C來看)或島狀圖案(從上視圖1C來看)。具體來說,沿著Y方向的相鄰兩個第一罩幕圖案214a之間的空隙G1的距離D1會小於沿著X方向的相鄰兩個第一罩幕圖案214a之間的空隙G2的距離D2。在此情況下,如圖2C與圖3所示,第一間隙壁材料120具有突出部120p與凹陷部120r。突出部120p位於相鄰兩個第一罩幕圖案214a之間的空隙G1中;而凹陷部120r位於突出部120p的兩側,如圖2C所示。突出部120p的頂面120t1高於凹陷部120r的頂面120t2。在一實施例中,如圖1C所示,凹陷部120r對應於相鄰三個第一罩幕圖案214之間的形心C。It is worth noting that, as shown in FIG. 1C, the first mask pattern 214a located in the unit cell region R1 is a columnar pattern arranged in the form of hexagonal closed packing (from the cross-sectional view of FIG. 2C) Or island pattern (from the top view 1C). Specifically, the distance D1 of the gap G1 between two adjacent first mask patterns 214a along the Y direction is smaller than the gap G2 between two adjacent first mask patterns 214a along the X direction. Distance D2. In this case, as shown in FIGS. 2C and 3, the first spacer material 120 has a protrusion 120p and a recess 120r. The protrusion 120p is located in the gap G1 between two adjacent first mask patterns 214a; and the recess 120r is located on both sides of the protrusion 120p, as shown in FIG. 2C. The top surface 120t1 of the protrusion 120p is higher than the top surface 120t2 of the recess 120r. In an embodiment, as shown in FIG. 1C, the recess 120r corresponds to the centroid C between three adjacent first mask patterns 214.

另一方面,沿著Y方向的相鄰兩個第一罩幕圖案214a之間的空隙G1的距離D1亦小於沿著Y方向的第一罩幕圖案214a、214b之間的空隙G3的距離D3。在此情況下,如圖3所示,第一間隙壁材料120會填滿空隙G1,而不會填滿空隙G3。因此,填入空隙G1中的第一間隙壁材料120的頂面120t1會高於填入空隙G3中的第一間隙壁材料120的頂面120t3。On the other hand, the distance D1 of the gap G1 between two adjacent first mask patterns 214a along the Y direction is also smaller than the distance D3 of the gap G3 between the first mask patterns 214a, 214b along the Y direction. . In this case, as shown in FIG. 3, the first spacer material 120 will fill the gap G1 but not the gap G3. Therefore, the top surface 120t1 of the first spacer material 120 filled in the gap G1 is higher than the top surface 120t3 of the first spacer material 120 filled in the gap G3.

請參照圖1C-1D、圖2C-2D以及圖3-4,進行蝕刻製程,移除部分第一間隙壁材料120、第一罩幕圖案214上的抗反射層116a以及部分第二含氮材料層112,以暴露出第一罩幕圖案214的頂面214t。在此情況下,如圖2D所示,第一間隙壁220形成在第一罩幕圖案214的側壁上。突出部120p(如圖3所示)的高度降低以形成連接部220c(如圖4所示),其連接Y方向上的相鄰兩個第一罩幕圖案214a之間的空隙G1的第一間隙壁220。另外,凹陷部120r(如圖2C所示)及其下方的部分第二含氮材料層112亦被移除,以形成開口221(如圖2D所示)。如圖1D所示,開口221可視為周邊開口,其環繞第一罩幕圖案214a。上述蝕刻製程可以是非等向性蝕刻製程,例如反應性離子蝕刻(RIE)製程或是乾式蝕刻製程。Please refer to FIGS. 1C-1D, 2C-2D and FIGS. 3-4 to perform an etching process to remove part of the first spacer material 120, the anti-reflection layer 116a on the first mask pattern 214, and part of the second nitrogen-containing material Layer 112 to expose the top surface 214t of the first mask pattern 214. In this case, as shown in FIG. 2D, the first spacer 220 is formed on the sidewall of the first mask pattern 214. The height of the protrusion 120p (as shown in FIG. 3) is reduced to form a connecting part 220c (as shown in FIG. 4), which connects the first gap G1 between two adjacent first mask patterns 214a in the Y direction. The gap wall 220. In addition, the recess 120r (as shown in FIG. 2C) and a portion of the second nitrogen-containing material layer 112 underneath are also removed to form an opening 221 (as shown in FIG. 2D). As shown in FIG. 1D, the opening 221 can be regarded as a peripheral opening, which surrounds the first mask pattern 214a. The above-mentioned etching process may be an anisotropic etching process, such as a reactive ion etching (RIE) process or a dry etching process.

請參照圖1D-1E與圖2D-2E,移除第一罩幕圖案214,以形成中心開口223及開口225。,周邊開口221環繞中心開口223。值得注意的是,如圖1E所示,相較於具有圓形圖案的中心開口223,周邊開口221呈現三角形或類三角形。在一些實施例中,6個周邊開口221以中心開口223為圓心,呈放射狀排列。另外,如圖2E所示,中心開口223兩側的第一間隙壁220的頂面220t1高於連接部220c的頂面220t2。另一方面,開口225可視為條狀開口,其位於防護環區R3中且沿著Y方向延伸。Referring to FIGS. 1D-1E and 2D-2E, the first mask pattern 214 is removed to form a central opening 223 and an opening 225. , The peripheral opening 221 surrounds the central opening 223. It is worth noting that, as shown in FIG. 1E, compared to the central opening 223 having a circular pattern, the peripheral opening 221 is triangular or triangular-like. In some embodiments, the six peripheral openings 221 are arranged radially with the central opening 223 as the center. In addition, as shown in FIG. 2E, the top surface 220t1 of the first spacer 220 on both sides of the central opening 223 is higher than the top surface 220t2 of the connecting portion 220c. On the other hand, the opening 225 can be regarded as a strip-shaped opening, which is located in the guard ring region R3 and extends along the Y direction.

請參照圖1E-1F與圖2E-2F,進行圓角化製程,移除部分第二含氮材料層112a,以形成第二罩幕圖案212。在一些實施例中,上述的圓角化製程包括沉積步驟與蝕刻步驟。具體來說,如圖5A與圖5B所示,進行沉積步驟,以在周邊開口221的側壁上形成氧化物層227。在此情況下,如圖5B所示,氧化物層227容易填滿呈三角形的周邊開口221的尖角部分,而使得經沉積的周邊開口221a變得圓滑。另外,氧化物層227亦會形成在中心開口223的側壁,以使經沉積的中心開口223a更為圓滑。在一實施例中,上述的沉積步驟可使用包括SiCl 4與O 2的反應氣體,以形成氧化矽層227。但本發明不以此為限。另一方面,從放大剖面圖6來看,氧化物層227不僅覆蓋周邊開口221的側壁與中心開口223的側壁,還延伸覆蓋第一間隙壁220的頂面220t1與連接部220c的頂面220t2。在一些實施例中,氧化物層227亦形成在周邊開口221的底面與中心開口223的底面,以構成連續結構,毯覆於圖6的結構上。值得注意的是,連接部220c的頂面220t2上的氧化物層227的厚度T1大於連接部220c的側壁上的氧化物層227的厚度T2。如此一來,此氧化物層227可進一步地阻擋後續蝕刻步驟,從而避免相鄰兩個周邊開口221連接(特別是在晶胞區R1的邊緣區域),而導致後續著陸墊結構橋接的問題。也就是說,此氧化物層227可有效地增加製程裕度,進而提升良率。 Please refer to FIGS. 1E-1F and FIGS. 2E-2F to perform a rounding process to remove part of the second nitrogen-containing material layer 112a to form a second mask pattern 212. In some embodiments, the above-mentioned filleting process includes a deposition step and an etching step. Specifically, as shown in FIGS. 5A and 5B, a deposition step is performed to form an oxide layer 227 on the sidewall of the peripheral opening 221. In this case, as shown in FIG. 5B, the oxide layer 227 easily fills up the sharp corners of the triangular peripheral opening 221, so that the deposited peripheral opening 221a becomes smooth. In addition, an oxide layer 227 is also formed on the sidewall of the central opening 223 to make the deposited central opening 223a smoother. In one embodiment, the above-mentioned deposition step may use a reactive gas including SiCl 4 and O 2 to form the silicon oxide layer 227. However, the present invention is not limited to this. On the other hand, from the enlarged cross-sectional view 6, the oxide layer 227 not only covers the side walls of the peripheral opening 221 and the side walls of the central opening 223, but also extends to cover the top surface 220t1 of the first spacer 220 and the top surface 220t2 of the connecting portion 220c. . In some embodiments, the oxide layer 227 is also formed on the bottom surface of the peripheral opening 221 and the bottom surface of the central opening 223 to form a continuous structure, which is blanketed on the structure of FIG. 6. It is worth noting that the thickness T1 of the oxide layer 227 on the top surface 220t2 of the connecting portion 220c is greater than the thickness T2 of the oxide layer 227 on the sidewall of the connecting portion 220c. In this way, the oxide layer 227 can further block subsequent etching steps, thereby avoiding the connection between two adjacent peripheral openings 221 (especially in the edge region of the unit cell region R1), which may cause the subsequent bridging problem of the landing pad structure. In other words, the oxide layer 227 can effectively increase the process margin, thereby improving the yield.

在形成氧化物層227之後,可進行蝕刻步驟,以擴大並圓角化周邊開口221b與中心開口223b,進而完成第一循環,如圖5B與圖5C所示。在一實施例中,上述的蝕刻步驟可以是使用包括CH 3F與O 2的蝕刻氣體,以移除氧化矽層227。但本發明不以此為限。在替代實施例中,上述的蝕刻步驟可包括主蝕刻步驟與過蝕刻步驟。上述的主蝕刻步驟對第二含氮材料層112a的蝕刻速率大於對氧化物層227的蝕刻速率。上述的過蝕刻步驟對第二含氮材料層112a的蝕刻速率大於對第一含碳材料層110的蝕刻速率。在此情況下,如圖1F與圖2F所示,第一含碳材料層110可視為移除第二含氮材料層112a的蝕刻停止層,使得第一含碳材料層110外露於第二罩幕圖案212。在替代實施例中,在完成上述的第一循環之後,如圖5C所示,部分氧化物層227a仍殘留在周邊開口221b的周圍。另外,在完成上述的第一循環之後,可選擇性地重複進行上述的沉積步驟與上述的蝕刻步驟,以完成第二循環。根據實際需求,上述的第二循環可以是多次的第二循環,以使周邊開口221b與中心開口223b向下延伸至第一含碳材料層110,且達到所需的尺寸。在此情況下,如圖1F,周邊開口221b與中心開口223b可以是形狀一致的圓形開口。在一實施例中,周邊開口221b與中心開口223b的直徑可介於30 nm至40 nm之間。周邊開口221b與中心開口223b的直徑分布的標準差可小於或等於5 nm或是介於10%至15%之間。 After the oxide layer 227 is formed, an etching step may be performed to enlarge and fillet the peripheral opening 221b and the central opening 223b to complete the first cycle, as shown in FIGS. 5B and 5C. In one embodiment, the above-mentioned etching step may use an etching gas including CH 3 F and O 2 to remove the silicon oxide layer 227. However, the present invention is not limited to this. In an alternative embodiment, the above-mentioned etching step may include a main etching step and an over-etching step. The etching rate of the second nitrogen-containing material layer 112a in the above-mentioned main etching step is greater than the etching rate of the oxide layer 227. The etching rate of the second nitrogen-containing material layer 112a in the above-mentioned over-etching step is greater than the etching rate of the first carbon-containing material layer 110. In this case, as shown in FIGS. 1F and 2F, the first carbon-containing material layer 110 can be regarded as an etching stop layer for removing the second nitrogen-containing material layer 112a, so that the first carbon-containing material layer 110 is exposed to the second cover Screen pattern 212. In an alternative embodiment, after the above-mentioned first cycle is completed, as shown in FIG. 5C, part of the oxide layer 227a still remains around the peripheral opening 221b. In addition, after completing the above-mentioned first cycle, the above-mentioned deposition step and the above-mentioned etching step can be selectively repeated to complete the second cycle. According to actual requirements, the above-mentioned second cycle may be multiple second cycles, so that the peripheral opening 221b and the central opening 223b extend downward to the first carbonaceous material layer 110 and reach the required size. In this case, as shown in FIG. 1F, the peripheral opening 221b and the central opening 223b may be circular openings with the same shape. In an embodiment, the diameter of the peripheral opening 221b and the central opening 223b may be between 30 nm and 40 nm. The standard deviation of the diameter distribution of the peripheral opening 221b and the central opening 223b may be less than or equal to 5 nm or between 10% and 15%.

另外,沿著Y方向的相鄰兩個第二罩幕圖案212之間具有連接部212c,以連接沿著Y方向的相鄰兩個第二罩幕圖案212。此外,第二罩幕圖案212上仍殘留部分第一間隙壁220a。In addition, there is a connecting portion 212c between two adjacent second mask patterns 212 along the Y direction to connect two adjacent second mask patterns 212 along the Y direction. In addition, a portion of the first spacer 220a remains on the second mask pattern 212.

在一實施例中,藉由在第一罩幕圖案214的側壁上形成第一間隙壁220,並將第一間隙壁220當作蝕刻罩幕,以增加圖案密度或特徵密度的製造方法可稱為自對準雙重圖案化(self-alignment double patterning,SADP)製程。具體來說,在進行自對準雙重圖案化製程之後,如圖1F所示,在單一個中心圖案CP(其對應於圖1C的第一罩幕圖案214a)的周圍增加了至少6個周邊圖案PP。換言之,自對準雙重圖案化製程可增加圖案密度或特徵密度的積集度,以克服現行微影製程中光源解析度的限制。In one embodiment, the first spacer 220 is formed on the sidewall of the first mask pattern 214, and the first spacer 220 is used as an etching mask to increase the pattern density or feature density. It is a self-alignment double patterning (SADP) process. Specifically, after the self-aligned double patterning process, as shown in FIG. 1F, at least 6 peripheral patterns are added around a single central pattern CP (which corresponds to the first mask pattern 214a in FIG. 1C) PP. In other words, the self-aligned double patterning process can increase the pattern density or feature density integration, so as to overcome the limitation of the light source resolution in the current lithography process.

請參照圖1F-1G與圖2F-2G,以第二罩幕圖案212為罩幕,移除部分第一含碳材料層110,以形成第三罩幕圖案210。在此情況下,如圖1G與圖2G所示,第一含氮材料層108可視為移除第一含碳材料層110的蝕刻停止層,使得第一含氮材料層108外露於第三罩幕圖案210。值得注意的是,當第二罩幕圖案212與第一含氮材料層108的材料皆為氮化矽時,第一含氮材料層108的緻密度大於第二罩幕圖案212的緻密度。也就是說,第一含氮材料層108可用以當作移除第一含碳材料層110的蝕刻停止層,而不會有損耗或是僅些微損耗。Referring to FIGS. 1F-1G and 2F-2G, taking the second mask pattern 212 as a mask, a portion of the first carbon-containing material layer 110 is removed to form a third mask pattern 210. In this case, as shown in FIGS. 1G and 2G, the first nitrogen-containing material layer 108 can be regarded as the etching stop layer for removing the first carbon-containing material layer 110, so that the first nitrogen-containing material layer 108 is exposed to the third cover Screen pattern 210. It is worth noting that when the materials of the second mask pattern 212 and the first nitrogen-containing material layer 108 are both silicon nitride, the density of the first nitrogen-containing material layer 108 is greater than that of the second mask pattern 212. In other words, the first nitrogen-containing material layer 108 can be used as an etch stop layer for removing the first carbon-containing material layer 110 without loss or only a slight loss.

請參照圖1G-1H與圖2G-2H,藉由濕式蝕刻製程,移除第三罩幕圖案210上的第二罩幕圖案212與第一間隙壁220a,以暴露出第三罩幕圖案210的頂面210t。1G-1H and 2G-2H, the second mask pattern 212 and the first spacer 220a on the third mask pattern 210 are removed by a wet etching process to expose the third mask pattern The top surface of 210 is 210t.

請參照圖1I與圖2I,於第一含氮材料層108上形成第二間隙壁材料122。如圖2I所示,第二間隙壁材料122覆蓋第三罩幕圖案210的頂面210t且填入第三罩幕圖案210中的空隙。在一實施例中,第二間隙壁材料122包括介電材料,其可例如是氧化矽、氮化矽、氮氧化矽或其組合,其厚度約為40 nm至50 nm,其可利用CVD或ALD來形成。Referring to FIG. 1I and FIG. 2I, a second spacer material 122 is formed on the first nitrogen-containing material layer 108. As shown in FIG. 2I, the second spacer material 122 covers the top surface 210t of the third mask pattern 210 and fills the gaps in the third mask pattern 210. In one embodiment, the second spacer material 122 includes a dielectric material, which can be, for example, silicon oxide, silicon nitride, silicon oxynitride, or a combination thereof, and its thickness is about 40 nm to 50 nm. It can use CVD or ALD to form.

請參照圖1I-1J與圖2I-2J,接著,進行對第二間隙壁材料122進行回蝕刻製程,移除部分第二間隙壁材料122,以暴露出第三罩幕圖案210的頂面210t。在此情況下,如圖2J所示,第二間隙壁222形成在第三罩幕圖案210中,且晶胞區R1中的第二間隙壁222的頂面222t與第三罩幕圖案210的頂面210t實質上共平面。Please refer to FIGS. 1I-1J and 2I-2J, and then perform an etch-back process on the second spacer material 122 to remove part of the second spacer material 122 to expose the top surface 210t of the third mask pattern 210 . In this case, as shown in FIG. 2J, the second spacer 222 is formed in the third mask pattern 210, and the top surface 222t of the second spacer 222 in the cell region R1 and the third mask pattern 210 The top surface 210t is substantially coplanar.

請參照圖1J-1K與圖2J-2K,移除第三罩幕圖案210,以暴露出第一含氮材料層108的頂面。在此情況下,如圖2K所示,留在第一含氮材料層108上的第二間隙壁222可用以當作蝕刻罩幕,以圖案化下方的第一含氮材料層108與導體層106,以於晶胞區R1中的基底100上形成多個著陸墊,並於防護環區R3中的基底100上形成防護環。1J-1K and 2J-2K, the third mask pattern 210 is removed to expose the top surface of the first nitrogen-containing material layer 108. In this case, as shown in FIG. 2K, the second spacer 222 remaining on the first nitrogen-containing material layer 108 can be used as an etching mask to pattern the first nitrogen-containing material layer 108 and the conductor layer below. 106, to form a plurality of landing pads on the substrate 100 in the unit cell region R1, and form a guard ring on the substrate 100 in the guard ring region R3.

具體來說,在形成圖2K的結構之後,如圖2L所示,以第二間隙壁222為罩幕,移除部分第一含氮材料層108、部分導體層106以及部分阻障層104,以暴露出介電層102的頂面。在此情況下,圖案化的導體層206複製第二間隙壁222的圖案,以形成著陸墊206a與防護環206b,其中著陸墊206a位於晶胞區R1中,而防護環206b則是位於防護環區R3中。在一些實施例中,著陸墊206a包括中心圖案CP與環繞中心圖案CP的周邊圖案PP。在另一實施例中,著陸墊206a與防護環206b是同時形成且具有相同材料。此外,如圖2L所示,圖案化的導體層206的頂面上仍殘留部分第一含氮材料層108a。在一些實施例中,中心圖案CP與周邊圖案PP的直徑可介於30 nm至40 nm之間。中心圖案CP與周邊圖案PP的直徑分布的標準差可小於或等於5 nm或是介於10%至15%之間。Specifically, after the structure of FIG. 2K is formed, as shown in FIG. 2L, a portion of the first nitrogen-containing material layer 108, a portion of the conductor layer 106, and a portion of the barrier layer 104 are removed using the second spacer 222 as a mask. To expose the top surface of the dielectric layer 102. In this case, the patterned conductor layer 206 replicates the pattern of the second spacer 222 to form a landing pad 206a and a guard ring 206b. The landing pad 206a is located in the cell region R1, and the guard ring 206b is located in the guard ring Zone R3. In some embodiments, the landing pad 206a includes a central pattern CP and a peripheral pattern PP surrounding the central pattern CP. In another embodiment, the landing pad 206a and the guard ring 206b are formed at the same time and have the same material. In addition, as shown in FIG. 2L, part of the first nitrogen-containing material layer 108a remains on the top surface of the patterned conductor layer 206. In some embodiments, the diameter of the central pattern CP and the peripheral pattern PP may be between 30 nm and 40 nm. The standard deviation of the diameter distribution of the central pattern CP and the peripheral pattern PP may be less than or equal to 5 nm or between 10% and 15%.

請參照圖2L與圖2M,於介電層102上形成介電材料(未繪示),以填入圖案化的導體層206中的空隙並覆蓋圖案化的導體層206的頂面206t。接著,進行回蝕刻製程,以移除部分介電材料與第一含氮材料層108a,進而暴露出圖案化的導體層206的頂面206t。在此情況下,如圖2M所示,圖案化的導體層206的頂面206t與介電層130的頂面130t可視為共平面。在一些實施例中,介電層130的材料包括氧化矽、氮化矽、氮氧化矽或其組合。2L and 2M, a dielectric material (not shown) is formed on the dielectric layer 102 to fill the voids in the patterned conductive layer 206 and cover the top surface 206t of the patterned conductive layer 206. Then, an etch-back process is performed to remove part of the dielectric material and the first nitrogen-containing material layer 108a, thereby exposing the top surface 206t of the patterned conductive layer 206. In this case, as shown in FIG. 2M, the top surface 206t of the patterned conductive layer 206 and the top surface 130t of the dielectric layer 130 can be regarded as coplanar. In some embodiments, the material of the dielectric layer 130 includes silicon oxide, silicon nitride, silicon oxynitride, or a combination thereof.

請參照圖2M與圖2N,在回蝕刻製程之後,可在介電層130上形成另一介電層132。接著,在介電層132中形成多個電容器開口134,並將多個電容器136分別形成在電容器開口134中。具體來說,各電容器136可包括下電極、上電極以及位於下電極與上電極之間的電容介電層(未繪示)。在一實施例中,介電層132的材料可例如是氧化矽。下電極與上電極的材料例如是氮化鈦、氮化鉭、鎢、鈦鎢、鋁、銅或金屬矽化物。電容介電層可包括高介電常數材料層(即介電常數高於4的介電材料),其材料例如是下述元素的氧化物,如:鉿、鋯、鋁、鈦、鑭、釔、釓或鉭,又或是氮化鋁,或是上述任意組合。2M and 2N, after the etch-back process, another dielectric layer 132 may be formed on the dielectric layer 130. Next, a plurality of capacitor openings 134 are formed in the dielectric layer 132, and a plurality of capacitors 136 are respectively formed in the capacitor openings 134. Specifically, each capacitor 136 may include a lower electrode, an upper electrode, and a capacitive dielectric layer (not shown) between the lower electrode and the upper electrode. In an embodiment, the material of the dielectric layer 132 may be silicon oxide, for example. The material of the lower electrode and the upper electrode is, for example, titanium nitride, tantalum nitride, tungsten, titanium tungsten, aluminum, copper or metal silicide. The capacitor dielectric layer may include a high dielectric constant material layer (ie, a dielectric material with a dielectric constant higher than 4), the material of which is, for example, oxides of the following elements, such as hafnium, zirconium, aluminum, titanium, lanthanum, and yttrium , Gamma or tantalum, or aluminum nitride, or any combination of the above.

在本實施例中,如圖2N所示,晶胞區R1的著陸墊206a可視為電容器接觸窗,以電性連接電容器136與主動區(未繪示)。但本發明不以此為限,在其他實施例中,上述的圖案化方法亦可應用在不同半導體結構中,以增加圖案密度或特徵密度的積集度。In this embodiment, as shown in FIG. 2N, the landing pad 206a of the unit cell region R1 can be regarded as a capacitor contact window to electrically connect the capacitor 136 and the active region (not shown). However, the present invention is not limited to this. In other embodiments, the above-mentioned patterning method can also be applied to different semiconductor structures to increase the pattern density or feature density integration.

舉例來說,本發明實施例可提供另一種圖案化的方法,其步驟如下。於基底上依序形成目標層、第一層、第二層、第三層以及第一罩幕圖案。於第一罩幕圖案的側壁上形成第一間隙壁。移除第一罩幕圖案,以於第一間隙壁中形成多個中心開口與環繞多個中心開口的周邊開口。進行圓角化製程,以圓角化多個周邊開口並形成第二罩幕圖案。以第二罩幕圖案為罩幕,移除部分第二層,以形成第三罩幕圖案。於第三罩幕圖案中形成第二間隙壁。移除第三罩幕圖案。以第二間隙壁為罩幕,移除部分第一層與部分目標層,以形成目標圖案。在本實施例中,目標圖案的圖案密度可大於第一罩幕圖案的圖案密度,以有效地提升半導體結構的積集度。在一些實施例中,目標圖案的直徑可介於30 nm至40 nm之間。另外,目標圖案的直徑分布的標準差可小於或等於5 nm或是介於10%至15%之間。For example, the embodiment of the present invention may provide another patterning method, the steps of which are as follows. A target layer, a first layer, a second layer, a third layer, and a first mask pattern are sequentially formed on the substrate. A first gap wall is formed on the side wall of the first mask pattern. The first mask pattern is removed to form a plurality of central openings and peripheral openings surrounding the plurality of central openings in the first spacer. A rounding process is performed to round a plurality of peripheral openings to form a second mask pattern. Take the second mask pattern as the mask, and remove part of the second layer to form the third mask pattern. A second gap wall is formed in the third mask pattern. Remove the third mask pattern. Using the second spacer as a mask, part of the first layer and part of the target layer are removed to form a target pattern. In this embodiment, the pattern density of the target pattern may be greater than the pattern density of the first mask pattern, so as to effectively improve the integration degree of the semiconductor structure. In some embodiments, the diameter of the target pattern may be between 30 nm and 40 nm. In addition, the standard deviation of the diameter distribution of the target pattern may be less than or equal to 5 nm or between 10% and 15%.

綜上所述,本發明實施例藉由複合層堆疊搭配雙重圖案化製程,以同時形成多個目標圖案。多個目標圖案以六方最密堆積的形式排列,其可有效提升半導體結構的積集度。另外,本發明實施例可藉由圓角化製程使得周邊開口變得更為圓形,以與中心開口的尺寸趨近一致。此外,上述的圓角化製程所形成的氧化物層還可進一步地阻擋後續蝕刻步驟,從而避免相鄰兩個周邊開口連接(特別是在晶胞區的邊緣區域),而導致後續半導體結構橋接的問題。換言之,本發明實施例可有效地增加製程裕度,進而提升良率。In summary, in the embodiment of the present invention, multiple target patterns are formed at the same time by stacking multiple layers with a double patterning process. The multiple target patterns are arranged in a hexagonal most densely packed form, which can effectively increase the degree of integration of the semiconductor structure. In addition, the embodiment of the present invention can make the peripheral openings become more rounded through the rounding process, so as to approximate the size of the central opening. In addition, the oxide layer formed by the above-mentioned filleting process can further block the subsequent etching steps, thereby avoiding the connection of two adjacent peripheral openings (especially in the edge area of the cell region), which may cause the subsequent semiconductor structure to bridge The problem. In other words, the embodiment of the present invention can effectively increase the process margin, thereby improving the yield.

100:基底 102、130、132:介電層 104:阻障層 106:導體層 108、108a:第一含氮材料層 110:第一含碳材料層 112、112a:第二含氮材料層 114:第二含碳材料層 116、116a:抗反射層 118、118a、118b:光阻圖案 120:第一間隙壁材料 120p:突出部 120r:凹陷部 120t1、120t2、120t3:頂面 122:第二間隙壁材料 134:電容器開口 136:電容器 206:圖案化的導體層 206a:著陸墊 206b:防護環 206t:頂面 210:第三罩幕圖案 210t:頂面 212:第二罩幕圖案 212c:連接部 214、214a、214b:第一罩幕圖案 220、220a:第一間隙壁 220c:連接部 221、221a、221b:周邊開口 222:第二間隙壁 222t:頂面 223、223a、223b:中心開口 225:開口 227、227a:氧化物層 C:形心 CP:中心圖案 PP:周邊圖案 SR:防護環 D1、D2、D3:距離 G1、G2、G3:空隙 R1:晶胞區 R2:周邊區 R3:防護環區 X、Y:方向100: base 102, 130, 132: Dielectric layer 104: barrier layer 106: Conductor layer 108, 108a: the first nitrogen-containing material layer 110: The first layer of carbonaceous material 112, 112a: second nitrogen-containing material layer 114: The second layer of carbonaceous material 116, 116a: Anti-reflection layer 118, 118a, 118b: photoresist pattern 120: first spacer material 120p: protrusion 120r: Depressed part 120t1, 120t2, 120t3: top surface 122: second spacer material 134: Capacitor opening 136: capacitor 206: patterned conductor layer 206a: landing pad 206b: Guard ring 206t: top surface 210: The third mask pattern 210t: top surface 212: The second mask pattern 212c: connecting part 214, 214a, 214b: the first mask pattern 220, 220a: the first gap wall 220c: connecting part 221, 221a, 221b: peripheral opening 222: second gap wall 222t: top surface 223, 223a, 223b: center opening 225: open 227, 227a: oxide layer C: Centroid CP: Center pattern PP: Peripheral pattern SR: Protective ring D1, D2, D3: distance G1, G2, G3: gap R1: unit cell area R2: Surrounding area R3: Protective ring area X, Y: direction

圖1A至圖1K是本發明第一實施例的一種半導體結構的製造流程的上視示意圖。 圖2A至圖2K是沿著圖1A至圖1K的切線I-I’的剖面示意圖。 圖2L至圖2N是本發明第二實施例的一種半導體結構的製造流程的剖面示意圖。 圖3是沿著圖1C的切線II-II’的剖面示意圖。 圖4是沿著圖1D的切線II-II’的剖面示意圖。 圖5A至圖5C是本發明一實施例的一種圓角化製程的上視示意圖。 圖6是圖2E的放大示意圖。 1A to 1K are schematic top views of a manufacturing process of a semiconductor structure according to the first embodiment of the present invention. 2A to 2K are schematic cross-sectional views taken along the tangent line I-I' of FIGS. 1A to 1K. 2L to 2N are schematic cross-sectional views of a manufacturing process of a semiconductor structure according to a second embodiment of the present invention. Fig. 3 is a schematic cross-sectional view taken along the line II-II' of Fig. 1C. Fig. 4 is a schematic cross-sectional view taken along the line II-II' of Fig. 1D. 5A to 5C are schematic top views of a rounding process according to an embodiment of the invention. Fig. 6 is an enlarged schematic view of Fig. 2E.

112a:第二含氮材料層 112a: The second nitrogen-containing material layer

220:第一間隙壁 220: first gap

220c:連接部 220c: connecting part

221b:周邊開口 221b: Peripheral opening

223b:中心開口 223b: Center opening

227a:氧化物層 227a: oxide layer

Claims (10)

一種圖案化的方法,包括: 於基底上依序形成導體層、第一含氮材料層、第一含碳材料層、第二含氮材料層、第二含碳材料層以及光阻圖案; 以所述光阻圖案為罩幕,移除部分第二含碳材料層,以形成第一罩幕圖案; 於所述第一罩幕圖案的側壁上形成第一間隙壁; 移除所述第一罩幕圖案,以於所述第一間隙壁中形成中心開口與環繞所述中心開口的多個周邊開口;以及 進行圓角化製程,移除部分所述第二含氮材料層,以形成第二罩幕圖案。 A patterning method includes: Sequentially forming a conductor layer, a first nitrogen-containing material layer, a first carbon-containing material layer, a second nitrogen-containing material layer, a second carbon-containing material layer, and a photoresist pattern on the substrate; Using the photoresist pattern as a mask, removing part of the second carbon-containing material layer to form a first mask pattern; Forming a first gap wall on the side wall of the first mask pattern; Removing the first mask pattern to form a central opening and a plurality of peripheral openings surrounding the central opening in the first spacer; and A rounding process is performed to remove part of the second nitrogen-containing material layer to form a second mask pattern. 如申請專利範圍第1項所述的圖案化的方法,其中所述進行所述圓角化製程包括: 進行沉積步驟,以在所述多個周邊開口的側壁上形成氧化物層:以及 進行蝕刻步驟,以擴大並圓角化所述多個周邊開口,進而完成第一循環。 The patterning method according to the first item of the scope of patent application, wherein the performing the filleting process includes: Performing a deposition step to form an oxide layer on the sidewalls of the plurality of peripheral openings: and An etching step is performed to enlarge and fillet the plurality of peripheral openings, thereby completing the first cycle. 如申請專利範圍第2項所述的圖案化的方法,其中所述沉積步驟包括使用包括SiCl 4與O 2的反應氣體,且所述氧化物層為氧化矽。 The patterning method according to the second item of the patent application, wherein the deposition step includes using a reaction gas including SiCl 4 and O 2 , and the oxide layer is silicon oxide. 如申請專利範圍第2項所述的圖案化的方法,其中所述蝕刻步驟包括使用包括CH 3F與O 2的蝕刻氣體。 The patterning method as described in the scope of patent application 2, wherein the etching step includes using an etching gas including CH 3 F and O 2 . 如申請專利範圍第4項所述的圖案化的方法,其中所述蝕刻步驟包括: 主蝕刻步驟,所述主蝕刻步驟對所述第二含氮材料層的蝕刻速率大於對所述氧化物層的蝕刻速率;以及 過蝕刻步驟,所述過蝕刻步驟對所述第二含氮材料層的蝕刻速率大於對所述第一含碳材料層的蝕刻速率。 The patterning method as described in item 4 of the scope of patent application, wherein the etching step includes: A main etching step, the etching rate of the main etching step to the second nitrogen-containing material layer is greater than the etching rate to the oxide layer; and In the over-etching step, the etching rate of the second nitrogen-containing material layer in the over-etching step is greater than the etching rate of the first carbon-containing material layer. 如申請專利範圍第2項所述的圖案化的方法,其中所述氧化物層覆蓋所述第一間隙壁的頂面與側壁,所述第一間隙壁的所述頂面上的所述氧化物層的厚度大於所述第一間隙壁的所述側壁上的所述氧化物層的厚度。The patterning method as described in item 2 of the scope of patent application, wherein the oxide layer covers the top surface and sidewalls of the first spacer, and the oxide layer on the top surface of the first spacer The thickness of the object layer is greater than the thickness of the oxide layer on the sidewall of the first spacer. 如申請專利範圍第2項所述的圖案化的方法,其中在完成所述第一循環之後,更包括重複進行所述沉積步驟與所述蝕刻步驟,以完成第二循環。The patterning method as described in item 2 of the scope of patent application, wherein after the first cycle is completed, it further includes repeating the deposition step and the etching step to complete the second cycle. 如申請專利範圍第1項所述的圖案化的方法,其中所述基底包括晶胞區、周邊區以及位於所述晶胞區與所述周邊區之間的防護環區,而形成在所述晶胞區中的所述第一罩幕圖案包括多個柱狀圖案,其以六方最密堆積的形式排列。The patterning method according to the first item of the scope of patent application, wherein the substrate includes a unit cell region, a peripheral region, and a guard ring region located between the unit cell region and the peripheral region, and is formed in the The first mask pattern in the unit cell region includes a plurality of columnar patterns, which are arranged in a hexagonal most densely packed form. 如申請專利範圍第1項所述的圖案化的方法,更包括: 以所述第二罩幕圖案為罩幕,移除部分所述第一含碳材料層,以形成第三罩幕圖案; 於所述第三罩幕圖案中形成第二間隙壁;以及 移除所述第三罩幕圖案。 The patterning method described in item 1 of the scope of patent application further includes: Taking the second mask pattern as a mask, removing part of the first carbon-containing material layer to form a third mask pattern; Forming a second gap wall in the third mask pattern; and Remove the third mask pattern. 一種圖案化的方法,包括: 於基底上依序形成目標層、第一層、第二層、第三層以及第一罩幕圖案; 於所述第一罩幕圖案的側壁上形成第一間隙壁; 移除所述第一罩幕圖案,以於所述第一間隙壁中形成中心開口與環繞所述中心開口的多個周邊開口; 進行圓角化製程,以圓角化所述多個周邊開口並形成第二罩幕圖案; 以所述第二罩幕圖案為罩幕,移除部分所述第二層,以形成第三罩幕圖案; 於所述第三罩幕圖案中形成第二間隙壁; 移除所述第三罩幕圖案;以及 以所述第二間隙壁為罩幕,移除部分所述第一層與部分所述目標層。 A patterning method includes: Forming a target layer, a first layer, a second layer, a third layer, and a first mask pattern on the substrate in sequence; Forming a first gap wall on the side wall of the first mask pattern; Removing the first mask pattern to form a central opening and a plurality of peripheral openings surrounding the central opening in the first gap wall; Performing a rounding process to round the plurality of peripheral openings to form a second mask pattern; Taking the second mask pattern as a mask, removing part of the second layer to form a third mask pattern; Forming a second gap wall in the third mask pattern; Removing the third mask pattern; and Using the second spacer as a mask, part of the first layer and part of the target layer are removed.
TW108137339A 2019-10-16 2019-10-16 Patterning method TWI717062B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW108137339A TWI717062B (en) 2019-10-16 2019-10-16 Patterning method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW108137339A TWI717062B (en) 2019-10-16 2019-10-16 Patterning method

Publications (2)

Publication Number Publication Date
TWI717062B true TWI717062B (en) 2021-01-21
TW202117804A TW202117804A (en) 2021-05-01

Family

ID=75237188

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108137339A TWI717062B (en) 2019-10-16 2019-10-16 Patterning method

Country Status (1)

Country Link
TW (1) TWI717062B (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW428257B (en) * 1999-08-31 2001-04-01 United Microelectronics Corp Fabricating method of landing pad
TW200503111A (en) * 2003-06-25 2005-01-16 Samsung Electronics Co Ltd Semiconductor device and method of manufacturing the same
TW200742086A (en) * 2006-01-17 2007-11-01 Ibm Structure and method for MOSFET gate electrode landing pad
CN101924018A (en) * 2009-06-11 2010-12-22 上海华虹Nec电子有限公司 Method for improving corner at top of groove into rounded corner
EP2287667A1 (en) * 2009-06-26 2011-02-23 Rohm and Haas Electronic Materials, L.L.C. Self-aligned spacer multiple patterning methods

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW428257B (en) * 1999-08-31 2001-04-01 United Microelectronics Corp Fabricating method of landing pad
TW200503111A (en) * 2003-06-25 2005-01-16 Samsung Electronics Co Ltd Semiconductor device and method of manufacturing the same
TW200742086A (en) * 2006-01-17 2007-11-01 Ibm Structure and method for MOSFET gate electrode landing pad
CN101924018A (en) * 2009-06-11 2010-12-22 上海华虹Nec电子有限公司 Method for improving corner at top of groove into rounded corner
EP2287667A1 (en) * 2009-06-26 2011-02-23 Rohm and Haas Electronic Materials, L.L.C. Self-aligned spacer multiple patterning methods

Also Published As

Publication number Publication date
TW202117804A (en) 2021-05-01

Similar Documents

Publication Publication Date Title
TWI327746B (en) Method of forming pitch multipled contacts
TWI384587B (en) Methods of forming a plurality of capacitors
US11610897B2 (en) Landing pad structure
US8120103B2 (en) Semiconductor device with vertical gate and method for fabricating the same
KR20100104685A (en) Method of fabricating semiconductor device having capacitor
TW200952041A (en) Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
CN109920730B (en) Patterning method
KR20210085699A (en) Semiconductor device including a storage node electrode having a step and manufacturing method the same
WO2022205665A1 (en) Mask structure and manufacturing method therefor, and semiconductor structure and manufacturing method therefor
WO2022179022A1 (en) Method for forming semiconductor structure, and semiconductor structure
WO2021258561A1 (en) Memory forming method and memory
CN112768352B (en) Patterning method
US11289493B2 (en) Patterning method
TWI717062B (en) Patterning method
US20050032304A1 (en) Method of forming storage node of capacitor in semiconductor memory, and structure therefore
US20230223429A1 (en) Semiconductor structure and manufacturing method thereof
WO2021244370A1 (en) Capacitor structure, fabrication method thereof, and memory
CN111524886B (en) Landing pad structure and manufacturing method thereof
KR100705257B1 (en) Semiconductor device and manufacturing method thereof
JPH0677431A (en) Manufacture of high-integration semiconductor element
KR20050033203A (en) A method for manufacturing a capacitor
KR100950752B1 (en) Semiconductor device and method for manufacturing the same
TWI771167B (en) Manufacturing method of semiconductor device
US20230361165A1 (en) Method of forming semiconductor structure and semiconductor structure
TWI389261B (en) Buried wordline dram with stacked capacitor structures and fabrication methods for stacked capacitor structures