TWI716441B - Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications - Google Patents

Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications Download PDF

Info

Publication number
TWI716441B
TWI716441B TW105127658A TW105127658A TWI716441B TW I716441 B TWI716441 B TW I716441B TW 105127658 A TW105127658 A TW 105127658A TW 105127658 A TW105127658 A TW 105127658A TW I716441 B TWI716441 B TW I716441B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
silicon
material layer
sidewalls
Prior art date
Application number
TW105127658A
Other languages
Chinese (zh)
Other versions
TW201724500A (en
Inventor
孫世宇
吉田尚美
冰西 孫
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/874,146 external-priority patent/US9484406B1/en
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201724500A publication Critical patent/TW201724500A/en
Application granted granted Critical
Publication of TWI716441B publication Critical patent/TWI716441B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/413Nanosized electrodes, e.g. nanowire electrodes comprising one or a plurality of nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Abstract

The present disclosure provide methods for forming nanowire structures with desired materials horizontal gate-all-around (hGAA) structures field effect transistor (FET) for semiconductor chips. In one example, a method of forming nanowire structures on a substrate includes supplying an oxygen containing gas mixture to a multi-material layer on a substrate in a processing chamber, wherein the multi-material layer includes repeating pairs of a first layer and a second layer, the first and the second layers having a first group and a second group of sidewalls respectively exposed through openings defined in the multi-material layer, and selectively forming an oxidation layer on the second group of sidewalls in the second layer.

Description

用於製造對於半導體應用的水平環繞式閘極裝置的奈米線的方法Method for manufacturing nanowires for horizontal wrap-around gate devices for semiconductor applications

本發明的實施方式大體而言係關於用於利用期望材料將垂直堆疊奈米線形成在半導體基板上的方法,更具體而言,係關於用於利用期望材料將垂直堆疊奈米線形成在半導體基板上以供用於場效應電晶體(FET)半導體製造應用的方法。The embodiments of the present invention generally relate to methods for forming vertically stacked nanowires on semiconductor substrates using desired materials, and more specifically, to methods for forming vertically stacked nanowires on semiconductor substrates using desired materials. On the substrate for the method used for field effect transistor (FET) semiconductor manufacturing applications.

可靠生產亞半微米和更小的特徵是下一代超大型積體電路(VLSI)和特大型積體電路(ULSI)的半導體裝置的關鍵技術挑戰之一。然而,隨著電路技術極限推進,收縮尺寸的VLSI和ULSI技術對處理能力有另外需求。在基板上可靠形成閘極結構對VLSI和ULSI成功並且對於繼續努力增加電路密度以及單個基板和管芯的品質而言是重要的。Reliable production of sub-half micron and smaller features is one of the key technical challenges for the next generation of very large integrated circuit (VLSI) and ultra-large integrated circuit (ULSI) semiconductor devices. However, with the advancement of circuit technology limits, shrinking VLSI and ULSI technologies have additional requirements for processing capabilities. Reliable formation of the gate structure on the substrate is important for the success of VLSI and ULSI and for continued efforts to increase circuit density and the quality of individual substrates and dies.

隨著下一代裝置的電路密度增加,互連件(諸如通孔、溝槽、觸點、閘極結構和其他特徵、以及在其之間的介電材料)的寬度減至25 nm和20 nm尺寸以及更小,而介電層的厚度保持基本上恆定,由此特徵的深寬比增加。此外,減小的通道長度通常造成常規平面MOSFET架構的顯著短通道效應。為了能夠製造下一代裝置和結構,三維(3D)裝置結構通常被用於改進電晶體的效能。具體而言,鰭式場效應電晶體(FinFET)通常被用於增強裝置效能。FinFET裝置通常包括具有高深寬比的半導體鰭,其中用於電晶體的通道和源極/汲極區域形成在半導體鰭上。隨後,閘極電極利用通道和源極/汲極區域的增加的表面積的優點在鰭式裝置的一部分的側部上方並沿該側部形成,以便產生更快、更可靠且更好控制的半導體電晶體裝置。FinFET的另外優點包括減小短通道效應,並且提供更高電流。具有hGAA配置的裝置結構通常藉由環繞閘極提供優越靜電控制,以便抑制短通道效應以及相關聯的洩漏電流。As the circuit density of next-generation devices increases, the width of interconnects (such as vias, trenches, contacts, gate structures and other features, and dielectric materials between them) is reduced to 25 nm and 20 nm The size and smaller, while the thickness of the dielectric layer remains substantially constant, whereby the aspect ratio of the feature increases. In addition, the reduced channel length usually causes a significant short channel effect of conventional planar MOSFET architectures. In order to be able to manufacture next-generation devices and structures, three-dimensional (3D) device structures are often used to improve the performance of transistors. Specifically, fin field effect transistors (FinFETs) are often used to enhance device performance. FinFET devices generally include semiconductor fins with a high aspect ratio, in which channels for transistors and source/drain regions are formed on the semiconductor fins. Subsequently, the gate electrode is formed above and along the side of a part of the fin device, taking advantage of the increased surface area of the channel and source/drain regions, in order to produce a faster, more reliable and better controlled semiconductor Transistor device. Other advantages of FinFET include reducing short-channel effects and providing higher current. Device structures with hGAA configurations usually provide superior electrostatic control by surrounding gates in order to suppress short channel effects and associated leakage currents.

在一些應用中,水平環繞式閘極(hGAA)結構被用於下一代半導體裝置應用。hGAA裝置結構包括懸浮在堆疊配置中並由源極/汲極區域連接的若干晶格匹配通道(例如,奈米線)。In some applications, the horizontal surround gate (hGAA) structure is used for next-generation semiconductor device applications. The hGAA device structure includes several lattice matching channels (eg, nanowires) suspended in a stacked configuration and connected by source/drain regions.

在hGAA結構中,通常利用不同材料來形成通道結構(例如,奈米線),此舉會不受期望地增加在將所有該等材料集成在奈米線結構中而不降低裝置效能方面的製造難度。例如,與hGAA結構關聯的挑戰之一包括在金屬閘極與源極/汲極之間存在較大寄生電容。不適當地管理此種寄生電容可能導致裝置效能降低很多。In the hGAA structure, different materials are usually used to form the channel structure (for example, nanowires). This will undesirably increase manufacturing in terms of integrating all these materials into the nanowire structure without reducing device performance. Difficulty. For example, one of the challenges associated with the hGAA structure includes the large parasitic capacitance between the metal gate and the source/drain. Inappropriate management of such parasitic capacitance may result in a lot of reduction in device performance.

因此,需要用於利用良好的輪廓和尺寸控制在基板上形成hGAA裝置結構的通道結構的改進方法。Therefore, there is a need for an improved method for forming the channel structure of the hGAA device structure on the substrate with good profile and size control.

本揭示案提供了用於利用期望材料形成半導體晶片的水平環繞式閘極(hGAA)結構的奈米線結構的方法。在一個實例中,一種將奈米線結構形成在基板上的方法包括:將含氧的氣體混合物供應到處理腔室中的基板上的多材料層,其中多材料層包括重複的第一層和第二層對,第一層和第二層具有分別經由多材料層中限定的開口而暴露的第一組側壁和第二組側壁;以及選擇性地將氧化層形成在第二層中的第二組側壁上。The present disclosure provides a method for forming a nanowire structure of a horizontal surround gate (hGAA) structure of a semiconductor wafer using a desired material. In one example, a method of forming a nanowire structure on a substrate includes: supplying an oxygen-containing gas mixture to a multi-material layer on the substrate in a processing chamber, wherein the multi-material layer includes a repeated first layer and The second layer pair, the first layer and the second layer having a first set of sidewalls and a second set of sidewalls respectively exposed through openings defined in the multi-material layer; Two sets of side walls.

在另一實例中,一種將奈米線結構形成在基板上的方法包括:主要將氧化層形成在基板上設置的多材料層的一部分上,其中多材料層包括重複的第一層和第二層對,第一層和第二層具有分別經由多材料層中限定的開口而暴露的第一組側壁和第二組側壁,其中選擇性地將氧化層形成在第二層中的第二組側壁上。In another example, a method for forming a nanowire structure on a substrate includes mainly forming an oxide layer on a portion of a multi-material layer provided on the substrate, wherein the multi-material layer includes repeated first and second layers. A pair of layers, the first layer and the second layer have a first set of sidewalls and a second set of sidewalls respectively exposed through openings defined in the multi-material layer, wherein an oxide layer is selectively formed in the second set of the second layer On the side wall.

在又一實例中,一種將奈米線結構形成在基板上的方法包括:主要將氧化層形成在基板上設置的多材料層的一部分上,其中多材料層包括重複的矽層和SiGe層對,矽層和SiGe層具有分別經由多材料層中限定的開口而暴露的第一組側壁和第二組側壁,其中氧化層被選擇性地形成在其上的部分位於SiGe層中的該第二組側壁上。In yet another example, a method for forming a nanowire structure on a substrate includes: mainly forming an oxide layer on a part of a multi-material layer provided on the substrate, wherein the multi-material layer includes repeated pairs of silicon and SiGe layers , The silicon layer and the SiGe layer have a first set of sidewalls and a second set of sidewalls respectively exposed through the openings defined in the multi-material layer, wherein the portion on which the oxide layer is selectively formed is located in the second set of the SiGe layer Group on the side wall.

提供用於製造水平環繞式閘極(hGAA)半導體裝置結構的具有受控寄生電容的奈米線結構的方法。在一個實例中,包括以交替堆疊構型佈置的不同材料(例如,第一材料和第二材料)的超晶格結構(superlattice structure)可形成在基板上,以稍後被用作水平環繞式閘極(hGAA)半導體裝置結構的奈米線(例如,通道結構)。可執行選擇性氧化製程以選擇性地將氧化層形成在超晶格結構中的第一材料的側壁上,而第二材料上發生最小程度氧化。在超晶格結構中的第一材料與第二材料的側壁上的氧化選擇性大於5:1。藉由此舉,維持並控制在奈米線與源極/汲極區域之間形成有寄生裝置的介面以便有效降低寄生電容。Provided is a method for manufacturing a nanowire structure with controlled parasitic capacitance for a horizontal surround gate (hGAA) semiconductor device structure. In one example, a superlattice structure including different materials (for example, a first material and a second material) arranged in an alternating stack configuration may be formed on a substrate to be later used as a horizontal wrap-around type Gate electrode (hGAA) semiconductor device structure nanowire (for example, channel structure). A selective oxidation process may be performed to selectively form an oxide layer on the sidewalls of the first material in the superlattice structure, while minimal oxidation occurs on the second material. The oxidation selectivity on the sidewalls of the first material and the second material in the superlattice structure is greater than 5:1. By doing so, the interface with parasitic devices formed between the nanowire and the source/drain regions is maintained and controlled to effectively reduce the parasitic capacitance.

圖1是如以下進一步描述的適於執行選擇性氧化製程的說明性處理系統132的截面圖。處理系統132可為CENTURA®以及Producer® SE或Producer® GT沉積系統,所有該等系統均能夠從加利福尼亞州聖克拉拉市的應用材料公司(Applied Materials Inc., Santa Clara, California)購得。構想的是,其他處理系統(包括可從其他製造商獲得的彼等處理系統)可適於實踐本發明。Figure 1 is a cross-sectional view of an illustrative processing system 132 suitable for performing a selective oxidation process as described further below. The processing system 132 can be a CENTURA® and Producer® SE or Producer® GT deposition system, all of which can be purchased from Applied Materials Inc. (Santa Clara, California). It is contemplated that other processing systems (including those available from other manufacturers) may be suitable for practicing the invention.

處理系統132包括處理腔室100,該處理腔室被耦接至氣體面板130和控制器110。處理腔室100一般包括頂部124、側部101和底壁122,它們限定內部容積126。The processing system 132 includes a processing chamber 100 that is coupled to the gas panel 130 and the controller 110. The processing chamber 100 generally includes a top 124, a side 101, and a bottom wall 122, which define an internal volume 126.

支撐基座150提供在腔室100的內部容積126中。基座150可由鋁、陶瓷以及其他合適材料製成。在一個實施方式中,基座150是由陶瓷材料(諸如氮化鋁)製成,此種材料是適合用於高溫環境(諸如電漿製程環境)中的材料,而不造成對基座150的熱損壞。基座150可以使用升降機構(未圖示)在腔室100內在垂直方向上移動。The support base 150 is provided in the internal volume 126 of the chamber 100. The base 150 may be made of aluminum, ceramic, and other suitable materials. In one embodiment, the base 150 is made of a ceramic material (such as aluminum nitride), which is suitable for use in a high temperature environment (such as a plasma process environment) without causing damage to the base 150 Thermal damage. The base 150 can be moved in the vertical direction in the chamber 100 using a lifting mechanism (not shown).

基座150可以包括嵌入式加熱器元件170,該嵌入式加熱器元件170適於控制支撐在基座150上的基板190的溫度。在一個實施方式中,基座150可藉由將電流從電源106施加至加熱器元件170來電阻加熱。在一個實施方式中,加熱器元件170可由被封裝在鎳鐵鉻合金(例如,INCOLOY®)鞘管中的鎳鉻線製成。從電源106供應的電流由控制器110調節,以便控制加熱器元件170所產生的熱量,藉此在任何合適溫度範圍下進行薄膜沉積的過程中,維持基板190和基座150處於基本上恆定的溫度。在另一實施方式中,基座可根據需要被維持處於室溫。在又一實施方式中,基座150亦可根據需要包括冷卻器(未圖示),以便根據需要將基座150冷卻在低於室溫的範圍中。可調整所供應的電流以選擇性地將基座150的溫度控制在約100攝氏度至約1100攝氏度之間,例如,在200攝氏度至約1000攝氏度之間,諸如在約300攝氏度至約800攝氏度之間。The base 150 may include an embedded heater element 170 adapted to control the temperature of the substrate 190 supported on the base 150. In one embodiment, the susceptor 150 may be resistively heated by applying current from the power source 106 to the heater element 170. In one embodiment, the heater element 170 may be made of nickel-chromium wire encapsulated in a nickel-iron-chromium alloy (eg, INCOLOY®) sheath. The current supplied from the power supply 106 is adjusted by the controller 110 to control the heat generated by the heater element 170, thereby maintaining the substrate 190 and the susceptor 150 in a substantially constant state during the film deposition process under any suitable temperature range. temperature. In another embodiment, the base can be maintained at room temperature as needed. In another embodiment, the base 150 may also include a cooler (not shown) as required, so as to cool the base 150 in a range below room temperature as required. The supplied current can be adjusted to selectively control the temperature of the base 150 between about 100 degrees Celsius and about 1100 degrees Celsius, for example, between 200 degrees Celsius and about 1000 degrees Celsius, such as between about 300 degrees Celsius and about 800 degrees Celsius. between.

溫度感測器172(諸如熱電偶)可被嵌入支撐基座150中,以便以習知方式監測基座150的溫度。量測到的溫度將由控制器110用來控制供應到加熱器元件170的功率,以便將基板維持在期望溫度。A temperature sensor 172 (such as a thermocouple) may be embedded in the support base 150 to monitor the temperature of the base 150 in a conventional manner. The measured temperature will be used by the controller 110 to control the power supplied to the heater element 170 in order to maintain the substrate at a desired temperature.

真空泵102被耦接至腔室100的壁101中形成的埠。真空泵102用於維持處理腔室100中的期望氣體壓力。真空泵102亦從腔室100抽空處理後的氣體以及製程的副產物。The vacuum pump 102 is coupled to a port formed in the wall 101 of the chamber 100. The vacuum pump 102 is used to maintain a desired gas pressure in the processing chamber 100. The vacuum pump 102 also evacuates the processed gas and process by-products from the chamber 100.

具有複數個孔隙128的噴淋頭120被耦接至處理腔室100在基板支撐基座150上方的頂部124。噴淋頭120的孔隙128用於將製程氣體引入腔室100中。孔隙128可以具有不同大小、數量、分佈、形狀、設計和直徑,以便促進用於不同製程要求的各種製程氣體的流動。噴淋頭120被連接至氣體面板130,從而允許各種氣體在製程過程中供應到內部容積126。電漿是由離開噴淋頭120的製程氣體混合物形成,以便增強製程氣體的熱解,從而導致材料沉積在基板190的表面191上。A shower head 120 having a plurality of apertures 128 is coupled to the top 124 of the processing chamber 100 above the substrate support base 150. The aperture 128 of the shower head 120 is used to introduce the process gas into the chamber 100. The pores 128 may have different sizes, numbers, distributions, shapes, designs, and diameters in order to facilitate the flow of various process gases used for different process requirements. The shower head 120 is connected to the gas panel 130 to allow various gases to be supplied to the internal volume 126 during the manufacturing process. The plasma is formed from the process gas mixture leaving the shower head 120 to enhance the pyrolysis of the process gas, thereby causing material to be deposited on the surface 191 of the substrate 190.

噴淋頭120和基板支撐基座150可形成為內部容積126中的一對間隔開的電極。一或多個RF功率源140將偏置電位經由匹配網路138提供到噴淋頭120,以便促進在噴淋頭120與基座150之間產生電漿。替代地,RF功率源140和匹配網路138可耦接至噴淋頭120、基板支撐基座150,或耦接至噴淋頭120和基板支撐基座150兩者,或耦接至設置在腔室100外部的天線(未圖示)。在一個實施方式中,RF功率源140可以在約30 kHz至約13.6 MHz的頻率下提供在約10瓦特與約3000瓦特之間的功率。The shower head 120 and the substrate support base 150 may be formed as a pair of spaced apart electrodes in the internal volume 126. One or more RF power sources 140 provide a bias potential to the showerhead 120 via the matching network 138 to promote the generation of plasma between the showerhead 120 and the base 150. Alternatively, the RF power source 140 and the matching network 138 may be coupled to the shower head 120, the substrate support base 150, or to both the shower head 120 and the substrate support base 150, or to An antenna (not shown) outside the chamber 100. In one embodiment, the RF power source 140 may provide power between about 10 watts and about 3000 watts at a frequency of about 30 kHz to about 13.6 MHz.

任選的水蒸氣產生(WVG)系統152被耦接至處理系統132,該水蒸汽產生(WVG)系統152與處理腔室100中限定的內部容積126流體連通。WVG系統152借助O2 和H2 的催化反應產生超高純度水蒸氣。在一個實施方式中,WVG系統152具有內襯有催化劑的反應器或催化筒,其中借助化學反應來產生水蒸氣。催化劑可包括金屬或合金,諸如鈀、鉑、鎳、他們的組合物以及它們的合金。An optional water vapor generation (WVG) system 152 is coupled to the processing system 132, which water vapor generation (WVG) system 152 is in fluid communication with an internal volume 126 defined in the processing chamber 100. The WVG system 152 generates ultra-high purity water vapor through the catalytic reaction of O 2 and H 2 . In one embodiment, the WVG system 152 has a reactor or catalytic cylinder lined with a catalyst, in which water vapor is generated by a chemical reaction. The catalyst may include metals or alloys, such as palladium, platinum, nickel, combinations thereof, and alloys thereof.

控制器110包括用於控制製程序列並調節來自氣體面板130和WVG系統152的氣體流動的中央處理單元(CPU)112、記憶體116和支撐電路114。CPU 112可為可用於工業環境的任何形式的通用電腦處理器。軟體常式可以存儲在記憶體116(諸如隨機存取記憶體、唯讀記憶體、軟碟或硬碟驅動器,或者其他形式的數位存儲裝置)中。支撐電路114習知地耦接到CPU 112,並且可以包括快取記憶體、時鐘電路、輸入/輸出系統、電源等等。控制器110與處理系統132的各種元件之間的雙向通訊經由許多信號電纜(統稱為信號匯流排118,其中一些在圖1中示出)進行處理。The controller 110 includes a central processing unit (CPU) 112, a memory 116, and a support circuit 114 for controlling the system sequence and adjusting the gas flow from the gas panel 130 and the WVG system 152. The CPU 112 may be any form of general-purpose computer processor that can be used in an industrial environment. The software routines may be stored in the memory 116 (such as random access memory, read-only memory, floppy disk or hard disk drive, or other forms of digital storage devices). The support circuit 114 is conventionally coupled to the CPU 112, and may include a cache memory, a clock circuit, an input/output system, a power supply, and so on. The two-way communication between the controller 110 and the various elements of the processing system 132 is processed via many signal cables (collectively referred to as signal bus 118, some of which are shown in FIG. 1).

圖2描繪了可實踐本文所述方法的半導體處理系統200的平面圖。一種可適於從本發明受益的處理系統是可從加利福尼亞州聖克拉拉市應用材料公司商購的300mm ProducerTM處理系統。處理系統200一般包括:前部平臺202,在該前部平臺中,FOUP 214中包括的基板盒218支撐,並且基板被裝載到裝載鎖定腔室209中並從中卸載;傳送腔室211,該傳送腔室容納基板處理器213;以及一系列的串接處理腔室206,該串接處理腔室安裝在該傳送腔室211上。Figure 2 depicts a plan view of a semiconductor processing system 200 in which the methods described herein can be practiced. One processing system that can be adapted to benefit from the present invention is the 300mm Producer (TM) processing system commercially available from Applied Materials, Inc., Santa Clara, California. The processing system 200 generally includes: a front platform 202 in which a substrate cassette 218 included in the FOUP 214 is supported, and substrates are loaded into and unloaded from the load lock chamber 209; a transfer chamber 211, which transfers The chamber houses the substrate processor 213; and a series of series-connected processing chambers 206, the series-connected processing chambers are installed on the transfer chamber 211.

每一個串接處理腔室206包括用於對基板進行處理的兩個製程區域。該兩個製程區域共享共用的氣體供應源、共用的壓力控制和共用的製程氣體排放/泵送系統。該系統的模組化設計使得能夠快速從任一個配置轉換成任何其他配置。可出於執行特定製程步驟的目的而更改腔室的佈置和組合。根據本發明的態樣,串接處理腔室206中的任何一者可以包括如下所述的蓋,其包括以上參照圖1中描繪的處理腔室100所描述的一個或多個腔室配置。應當注意,處理腔室100可根據需要被配置成執行沉積製程、蝕刻製程、固化製程或加熱/退火製程。在一個實施方式中,被示為所設計的單個腔室的處理腔室100可被併入半導體處理系統200中。Each cascade processing chamber 206 includes two processing regions for processing substrates. The two process areas share a common gas supply source, a common pressure control, and a common process gas discharge/pumping system. The modular design of the system enables rapid conversion from any configuration to any other configuration. The arrangement and combination of chambers can be changed for the purpose of performing specific process steps. According to aspects of the invention, any one of the tandem processing chambers 206 may include a cover as described below, which includes one or more chamber configurations described above with reference to the processing chamber 100 depicted in FIG. 1. It should be noted that the processing chamber 100 can be configured to perform a deposition process, an etching process, a curing process, or a heating/annealing process as needed. In one embodiment, the processing chamber 100 shown as a single chamber designed may be incorporated into the semiconductor processing system 200.

在一個實施方案中,處理系統132可適配有串接處理腔室中的一個或多個,該等串接處理腔室具有已知適應各種其他已知製程(諸如化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、固化或加熱/退火等)的支撐腔室硬體。例如,系統200可配置有處理腔室100之一作為電漿沉積腔室,以用於將諸如介電薄膜沉積在基板上。此種配置可最大化研究與研發製造利用,並且若需要,減弱經蝕刻的薄膜暴露於大氣。In one embodiment, the processing system 132 may be equipped with one or more of the series-connected processing chambers, which are known to adapt to various other known processes (such as chemical vapor deposition (CVD)). , Physical vapor deposition (PVD), etching, curing or heating/annealing, etc.) of the supporting chamber hardware. For example, the system 200 may be configured with one of the processing chambers 100 as a plasma deposition chamber for depositing, for example, a dielectric thin film on a substrate. This configuration can maximize research and R&D manufacturing utilization, and if necessary, reduce the exposure of the etched film to the atmosphere.

包括中央處理單元(CPU)244、記憶體242和支撐電路246的控制器240被耦接至半導體處理系統200的各種元件,以便促進對本發明的製程的控制。記憶體242可為任何電腦可讀的介質,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他形式的數位存儲裝置(無論是半導體處理系統200或CPU 244的本端還是遠端的)。支撐電路246被耦接到CPU 244,以便以習知方式支撐CPU。該等電路包括快取記憶體、電源、時鐘電路、輸入/輸出電路和子系統等等。存儲在記憶體242中的軟體常式或一系列的程式指令在由CPU 244執行時,執行串接處理腔室206。A controller 240 including a central processing unit (CPU) 244, a memory 242, and a support circuit 246 is coupled to various components of the semiconductor processing system 200 to facilitate control of the process of the present invention. The memory 242 can be any computer-readable medium, such as random access memory (RAM), read-only memory (ROM), floppy disk, hard disk, or any other form of digital storage device (whether it is the semiconductor processing system 200 Or the local end of the CPU 244 is still remote). The support circuit 246 is coupled to the CPU 244 to support the CPU in a conventional manner. These circuits include cache memory, power supplies, clock circuits, input/output circuits, and subsystems. When the software routines or a series of program instructions stored in the memory 242 are executed by the CPU 244, the serial processing chamber 206 is executed.

圖3是用於利用複合材料製造奈米線結構(例如,通道結構)以用於水平環繞式閘極(hGAA)半導體裝置結構的方法300的一個實例的流程圖。圖4A-4C是對應於方法300的各種階段的複合基板的一部分的截面圖。方法300可以用來在基板上形成水平環繞式閘極(hGAA)半導體裝置結構的具有期望材料的奈米線結構,它可稍後用於形成場效應電晶體(FET)。替代地,方法300可有益地用於製造其他類型結構。FIG. 3 is a flowchart of an example of a method 300 for manufacturing a nanowire structure (for example, a channel structure) using composite materials for use in a horizontal surround gate (hGAA) semiconductor device structure. 4A-4C are cross-sectional views of a portion of a composite substrate corresponding to various stages of method 300. The method 300 can be used to form a nanowire structure with a desired material of a horizontal surround gate (hGAA) semiconductor device structure on a substrate, which can be later used to form a field effect transistor (FET). Alternatively, the method 300 can be beneficially used to manufacture other types of structures.

方法300在操作302處藉由提供基板(諸如如圖4A所示其上形成有薄膜堆疊401的圖2中描繪的基板502)開始。基板502可為如下材料,諸如結晶矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、鍺化矽、鍺、摻雜或未摻雜多晶矽、摻雜或未摻雜矽晶片以及圖案化或未圖案化晶片絕緣體上的矽(SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃或藍寶石。基板502可以具有各種尺寸,諸如200 mm、300 mm、450 mm或其他直徑,並且可為矩形或方形的面板。除非另外指明,否則本文所述實例在具有200 mm直徑、300 mm直徑或450 mm直徑的基板上進行。The method 300 begins at operation 302 by providing a substrate, such as the substrate 502 depicted in FIG. 2 with a thin film stack 401 formed thereon as shown in FIG. 4A. The substrate 502 can be made of the following materials, such as crystalline silicon (for example, Si<100> or Si<111>), silicon oxide, strained silicon, silicon germanium, germanium, doped or undoped polysilicon, doped or undoped Silicon wafers and patterned or unpatterned silicon on insulator (SOI), carbon-doped silicon oxide, silicon nitride, doped silicon, germanium, gallium arsenide, glass or sapphire. The substrate 502 may have various sizes, such as 200 mm, 300 mm, 450 mm, or other diameters, and may be a rectangular or square panel. Unless otherwise specified, the examples described herein were performed on substrates having a diameter of 200 mm, 300 mm, or 450 mm.

薄膜堆疊401包括多材料層212,該多材料層設置在任選的材料層504上。在其中任選的材料層504不存在的實施方式中,薄膜堆疊401可根據需要直接形成在基板502上。在一個實例中,任選的材料層504是絕緣材料。該絕緣材料的合適實例可以包括氧化矽材料、氮化矽材料、氮氧化矽材料或任何合適絕緣材料。替代地,任選的材料層504可根據需要為任何合適材料,包括導電材料或非導電材料。多材料層212包括至少一對層,每對包括第一層212a和第二層212b。儘管圖4A中描繪的實例示出四對,每對包括第一層212a和第二層212b(交替的對,每對包括第一層212a和第二層212b),但應注意,對數(每對包括第一層212a和第二層212b)可基於不同製程需要而變化。在一個特定實施方式中,可沉積4對的第一層212a和第二層212b以在基板502上形成多材料層212。在一個實施方案中,每單個第一層212a的厚度可在約20Å與約200Å之間,諸如約50Å,並且每單個第二層212b的厚度可在約20Å與約200Å之間,諸如約50Å。多材料層212可以具有在約10Å與約5000Å之間的總厚度,諸如在約40Å與約4000Å之間。The thin film stack 401 includes a multi-material layer 212 disposed on an optional material layer 504. In an embodiment where the optional material layer 504 is not present, the thin film stack 401 may be directly formed on the substrate 502 as required. In one example, the optional material layer 504 is an insulating material. Suitable examples of the insulating material may include silicon oxide material, silicon nitride material, silicon oxynitride material, or any suitable insulating material. Alternatively, the optional material layer 504 may be any suitable material as required, including conductive materials or non-conductive materials. The multi-material layer 212 includes at least one pair of layers, and each pair includes a first layer 212a and a second layer 212b. Although the example depicted in FIG. 4A shows four pairs, each pair including the first layer 212a and the second layer 212b (alternating pairs, each pair including the first layer 212a and the second layer 212b), it should be noted that the logarithm (each The pair including the first layer 212a and the second layer 212b) may vary based on different process requirements. In a specific embodiment, 4 pairs of the first layer 212 a and the second layer 212 b may be deposited to form the multi-material layer 212 on the substrate 502. In one embodiment, the thickness of each single first layer 212a may be between about 20Å and about 200Å, such as about 50Å, and the thickness of each single second layer 212b may be between about 20Å and about 200Å, such as about 50Å. . The multi-material layer 212 may have a total thickness between about 10 Å and about 5000 Å, such as between about 40 Å and about 4000 Å.

第一層212a可為藉由磊晶沉積製程形成的晶體矽層,諸如單晶(single crystalline)矽層、多晶矽層或單晶質(monocrystalline)矽層。替代地,第一層212a可為摻雜矽層,包括p型摻雜矽層或n型摻雜矽層。合適的p型摻雜物包括B摻雜物、Al摻雜物、Ga摻雜物、In摻雜物等等。合適的n型摻雜物包括N摻雜物、P摻雜物、As摻雜物、Sb摻雜物等等。在又一實例中,第一層212a可為第III-V族材料,諸如GaAs層。第二層212b可為含Ge層,諸如SiGe層、Ge層或其他合適的層。替代地,第二層212b可為摻雜矽層,包括p型摻雜矽層或n型摻雜矽層。在又一實例中,第二層212b可為第III-V族材料,諸如GaAs層。在又一實例中,第一層212a可為矽層,並且第二層212b是金屬材料,該金屬材料具有高介電常數材料塗層在金屬材料的外表面上。高介電常數材料的合適實例包括二氧化鉿(HfO2 )、二氧化鋯(ZrO2 )、氧矽酸鉿(HfSiO4 )、氧化鉿鋁(HfAlO)、氧矽酸鋯(ZrSiO4 )、二氧化鉭(TaO2 )、氧化鋁、鋁摻雜的二氧化鉿、鈦酸鍶鉍(BST)或鈦酸鉑鋯(PZT)等等。在一個特定實施方案中,塗層是二氧化鉿(HfO2 )層。The first layer 212a may be a crystalline silicon layer formed by an epitaxial deposition process, such as a single crystalline silicon layer, a polycrystalline silicon layer, or a monocrystalline silicon layer. Alternatively, the first layer 212a may be a doped silicon layer, including a p-type doped silicon layer or an n-type doped silicon layer. Suitable p-type dopants include B dopants, Al dopants, Ga dopants, In dopants, and so on. Suitable n-type dopants include N dopants, P dopants, As dopants, Sb dopants, and so on. In yet another example, the first layer 212a may be a III-V group material, such as a GaAs layer. The second layer 212b may be a Ge-containing layer, such as a SiGe layer, a Ge layer, or other suitable layers. Alternatively, the second layer 212b may be a doped silicon layer, including a p-type doped silicon layer or an n-type doped silicon layer. In yet another example, the second layer 212b may be a III-V group material, such as a GaAs layer. In yet another example, the first layer 212a may be a silicon layer, and the second layer 212b may be a metal material with a high dielectric constant material coating on the outer surface of the metal material. Suitable examples of high dielectric constant materials include hafnium dioxide (HfO 2 ), zirconium dioxide (ZrO 2 ), hafnium oxysilicate (HfSiO 4 ), hafnium aluminum oxide (HfAlO), zirconium oxysilicate (ZrSiO 4 ), Tantalum dioxide (TaO 2 ), aluminum oxide, aluminum-doped hafnium dioxide, bismuth strontium titanate (BST) or platinum zirconium titanate (PZT), etc. In a specific embodiment, the coating is a hafnium dioxide (HfO 2 ) layer.

在圖4A中描繪的特定實例中,第一層212a是晶體矽層,諸如單晶矽層、多晶矽層或單晶質矽層。第二層212b是SiGe層。In the specific example depicted in FIG. 4A, the first layer 212a is a crystalline silicon layer, such as a single crystal silicon layer, a polycrystalline silicon layer, or a single crystal silicon layer. The second layer 212b is a SiGe layer.

在一些實例中,硬掩膜層(未示於圖4A中)和/或圖案化的光刻膠層可設置在多材料層212上,以圖案化多材料層212。在圖4A中示出的實例中,已經在先前圖案化製程中圖案化多材料層212,以便在多材料層212中形成開口402,該等開口可稍後具有源極/汲極錨頭形成在其中。In some examples, a hard mask layer (not shown in FIG. 4A) and/or a patterned photoresist layer may be disposed on the multi-material layer 212 to pattern the multi-material layer 212. In the example shown in FIG. 4A, the multi-material layer 212 has been patterned in the previous patterning process to form openings 402 in the multi-material layer 212, which openings can be formed later with source/drain anchors In it.

在基板502是晶體矽層並且絕緣層504是氧化矽層的實施方案中,第一層212a可為本徵磊晶矽層,並且第二層212b是SiGe層。在另一實施方案中,第一層212a可為含摻雜矽的層,並且第二層212b可為本徵磊晶矽層。含摻雜矽的層可為p型摻雜物或n型摻雜物,或根據需要為SiGe層。在基板502是Ge或GaAs基板的又一實施方案中,第一層212a可為GeSi層,並且第二層212b可為本徵磊晶Ge層,或反之亦然。在其中基板502是主要具有<100>處的晶面的GaAs層的又一實施方案中,第一層212a可為本徵Ge層,並且第二層212b是GaAs層,或反之亦然。應當注意,基板材料以及多材料層212中的第一層212a和第二層212b的選擇可呈利用以上列出的材料的不同組合。In the embodiment where the substrate 502 is a crystalline silicon layer and the insulating layer 504 is a silicon oxide layer, the first layer 212a may be an intrinsic epitaxial silicon layer, and the second layer 212b is a SiGe layer. In another embodiment, the first layer 212a may be a layer containing doped silicon, and the second layer 212b may be an intrinsic epitaxial silicon layer. The layer containing doped silicon may be a p-type dopant or an n-type dopant, or a SiGe layer as required. In another embodiment where the substrate 502 is a Ge or GaAs substrate, the first layer 212a may be a GeSi layer, and the second layer 212b may be an intrinsic epitaxial Ge layer, or vice versa. In yet another embodiment where the substrate 502 is a GaAs layer mainly having crystal planes at <100>, the first layer 212a may be an intrinsic Ge layer, and the second layer 212b is a GaAs layer, or vice versa. It should be noted that the substrate material and the selection of the first layer 212a and the second layer 212b in the multi-material layer 212 may utilize different combinations of the materials listed above.

在任選的操作303處,內襯層404可形成在多材料層212的側壁405上,如圖4B2所示。內襯層404可以提供基本上平面(例如,均勻)的表面,該表面允許氧化層稍後以良好的介面黏附和平面度形成在其上。用於形成氧化層的製程將會稍後在操作304處進行描述。因此,在其中多材料層212的側壁405是具有期望直度的基本上平面的實施方式中,可減弱內襯層404,並且在操作304處,可以將氧化層直接形成在多材料層212的側壁405上。At optional operation 303, the liner layer 404 may be formed on the sidewall 405 of the multi-material layer 212, as shown in FIG. 4B2. The liner layer 404 may provide a substantially planar (eg, uniform) surface that allows the oxide layer to be later formed thereon with good interface adhesion and flatness. The process for forming the oxide layer will be described later at operation 304. Therefore, in an embodiment in which the sidewall 405 of the multi-material layer 212 is substantially planar with the desired straightness, the liner layer 404 can be weakened, and at operation 304, an oxide layer can be directly formed on the multi-material layer 212 On the side wall 405.

在一個實例中,內襯層404可從可有助於以介面處良好的黏附性將氧化層橋接至多材料層212的側壁405的材料中進行選擇。此外,內襯層404可以具有足夠厚度以從多材料層212的側壁405填充在奈米級粗糙表面中,以便提供基本上平面的表面,該基本上平面的表面允許氧化層稍後以期望水平的平面度和平坦度形成在其上。在一個實例中,內襯層404可以具有在約0.5 nm與約5 nm之間的厚度。In one example, the liner layer 404 can be selected from materials that can help bridge the oxide layer to the sidewall 405 of the multi-material layer 212 with good adhesion at the interface. In addition, the liner layer 404 may have a sufficient thickness to fill the nano-rough surface from the sidewall 405 of the multi-material layer 212 in order to provide a substantially planar surface that allows the oxide layer to be at a desired level later. The flatness and flatness are formed on it. In one example, the liner layer 404 may have a thickness between about 0.5 nm and about 5 nm.

在一個實施方式中,內襯層404是含矽介電層,諸如含氮化矽的層、含碳化矽的層、含氧化矽的層,例如,SiN、SiON、SiC、SiCN、SiOC或氧碳氮化矽或具有摻雜物的矽材料等等。形成在含矽介電層中的摻雜物可以具有相對低的濃度,具有富矽原子的薄膜性質。在一個實例中,內襯層404是氮化矽層或氮氧化矽(SiON),具有在約5Å與約50Å之間的厚度,諸如約10Å。內襯層404可以在PVD、CVD、ALD或其他合適電漿處理腔室中由CVD製程、ALD製程或任何合適沉積技術形成。In one embodiment, the liner layer 404 is a silicon-containing dielectric layer, such as a silicon nitride-containing layer, a silicon carbide-containing layer, a silicon oxide-containing layer, for example, SiN, SiON, SiC, SiCN, SiOC or oxygen. Silicon carbonitride or silicon materials with dopants, etc. The dopants formed in the silicon-containing dielectric layer can have a relatively low concentration and have the properties of a thin film rich in silicon atoms. In one example, the liner layer 404 is a silicon nitride layer or silicon oxynitride (SiON), having a thickness between about 5 Å and about 50 Å, such as about 10 Å. The liner layer 404 may be formed by a CVD process, an ALD process, or any suitable deposition technique in a PVD, CVD, ALD, or other suitable plasma processing chamber.

在操作304處,在任選的內襯層404形成在多材料層212的側壁405上後,可執行選擇性氧化物沉積以選擇性地將氧化層形成在多材料層212的某些區域上。在其中不執行任選操作303並且內襯層404未形成在基板上的實例中,可直接在基板上執行選擇性氧化物沉積製程,如圖4B1中提及。At operation 304, after the optional liner layer 404 is formed on the sidewall 405 of the multi-material layer 212, selective oxide deposition may be performed to selectively form an oxide layer on certain areas of the multi-material layer 212 . In an example in which the optional operation 303 is not performed and the liner layer 404 is not formed on the substrate, the selective oxide deposition process can be directly performed on the substrate, as mentioned in FIG. 4B1.

由於多材料層212中的第一層212a和第二層212b是由不同材料製成,因此當執行選擇性氧化物沉積製程時,氧化製程可相對於另一材料主要在一種材料上發生。在圖4B1中描繪的實例中,其中第一層212a是矽層並且第二層212b是SiGe層,選擇性氧化製程可主要發生在第二層212b的側壁406上,而非發生在第一層212a上。發生在第二層212b的側壁406上的選擇性氧化製程主要在第二層212b的側壁406上形成氧化層407。認為,SiGe合金具有比主要含矽材料更高的活性。因此,當供應氧原子時,氧原子傾向於以更快反應速率與SiGe合金中的Si原子反應,而非與來自主要含矽材料材料的Si原子反應,由此提供選擇性沉積製程,以便主要在SiGe合金的第二層212b的側壁406上而非在第一層212a上形成氧化層407。最小氧化物殘餘物411可出現在第一層212a的側壁408上。Since the first layer 212a and the second layer 212b in the multi-material layer 212 are made of different materials, when the selective oxide deposition process is performed, the oxidation process can mainly occur on one material relative to the other material. In the example depicted in FIG. 4B1, where the first layer 212a is a silicon layer and the second layer 212b is a SiGe layer, the selective oxidation process can mainly occur on the sidewalls 406 of the second layer 212b instead of the first layer. On 212a. The selective oxidation process that occurs on the sidewall 406 of the second layer 212b mainly forms an oxide layer 407 on the sidewall 406 of the second layer 212b. It is believed that SiGe alloy has higher activity than the main silicon-containing material. Therefore, when oxygen atoms are supplied, the oxygen atoms tend to react with Si atoms in the SiGe alloy at a faster reaction rate instead of reacting with Si atoms from the main silicon-containing material, thereby providing a selective deposition process for the main An oxide layer 407 is formed on the sidewall 406 of the second layer 212b of SiGe alloy instead of on the first layer 212a. The minimum oxide residue 411 may appear on the sidewall 408 of the first layer 212a.

氧化製程消耗來自第二層212b中的SiGe合金的矽原子,從而將矽原子朝外拉,以與氧原子反應來形成氧化層407。由於Ge原子可以在氧化製程過程中相對較容易活化和移動,因此第二層212b中的矽原子被逐漸地拉出,並與氧原子反應以在側壁406上形成氧化層407。The oxidation process consumes silicon atoms from the SiGe alloy in the second layer 212b, thereby pulling the silicon atoms outward to react with oxygen atoms to form the oxide layer 407. Since Ge atoms can be activated and moved relatively easily during the oxidation process, the silicon atoms in the second layer 212b are gradually pulled out and react with oxygen atoms to form an oxide layer 407 on the sidewall 406.

相比之下,由於第一層212a中的矽原子並不具有Ge原子來作為活性驅動器以便主動將矽原子向外推向允許反應以與氧原子反應的位置,因此第一層212a中的氧化層形成速率顯著低於第二層212b中的氧化層形成速率,由此提供選擇性氧化製程,該選擇性氧化製程主要在第二層212b的側壁406上而非在第一層212a上形成氧化層407。在一個實例中,第二層212b(例如,SiGe層)和第一層212a(例如,矽層)之間的氧化速率的選擇性大於5:1,諸如約6:1和10:1。In contrast, since the silicon atoms in the first layer 212a do not have Ge atoms to act as active drivers to actively push the silicon atoms outward to allow the reaction to react with oxygen atoms, the oxidation in the first layer 212a The layer formation rate is significantly lower than the oxide layer formation rate in the second layer 212b, thereby providing a selective oxidation process that mainly forms oxidation on the sidewall 406 of the second layer 212b instead of on the first layer 212a Layer 407. In one example, the selectivity of the oxidation rate between the second layer 212b (eg, SiGe layer) and the first layer 212a (eg, silicon layer) is greater than 5:1, such as about 6:1 and 10:1.

在一個實施方案中,選擇性氧化製程可以在合適的電漿處理腔室中執行,包括處理腔室,諸如圖1中描繪的處理腔室100或其他合適的電漿腔室。處理溫度被控制在低溫範圍內,諸如小於1200攝氏度。認為,低溫製程可以提供溫和的熱能來消耗矽原子,並且將矽原子朝向側壁的存在有氧原子的表面推動,以便形成氧化矽407,而不損壞由薄膜堆疊401中的Ge原子形成的晶格結構。藉由此舉,矽原子中的一部分可逐漸轉化成氧化層407,而不形成介面部位或原子空位。在一個實施方案中,製程溫度可實現為在約100攝氏度至約1100攝氏度之間,例如,在200攝氏度至約1000攝氏度之間,諸如在約300攝氏度與約800攝氏度之間。In one embodiment, the selective oxidation process may be performed in a suitable plasma processing chamber, including a processing chamber, such as the processing chamber 100 depicted in FIG. 1 or other suitable plasma chambers. The processing temperature is controlled in a low temperature range, such as less than 1200 degrees Celsius. It is believed that the low-temperature process can provide mild thermal energy to consume silicon atoms and push the silicon atoms toward the sidewall surface where oxygen atoms are present to form silicon oxide 407 without damaging the lattice formed by the Ge atoms in the thin film stack 401 structure. In this way, a part of the silicon atoms can be gradually transformed into the oxide layer 407 without forming interface parts or atomic vacancies. In one embodiment, the process temperature can be achieved between about 100 degrees Celsius and about 1100 degrees Celsius, for example, between 200 degrees Celsius and about 1000 degrees Celsius, such as between about 300 degrees Celsius and about 800 degrees Celsius.

在一個實施方案中,氧化製程可以在含電漿的環境(諸如去耦電漿氧化或快速熱氧化)、熱環境(諸如火爐)或熱電漿環境(諸如APCVD、SACVD、LPCVD或任何合適的CVD製程)中執行。氧化製程可藉由在處理環境中使用含氧的氣體混合物來執行,以使多材料層212反應。在一個實施方案中,含氧的氣體混合物包括具有或沒有惰性氣體的含氧氣體中的至少一者。含氧氣體的合適實例包括O2 、O3 、H2 O、NO2 、N2 O、蒸汽、水汽等等。與處理氣體混合物一起供應的惰性氣體的合適實例包括Ar、He、Kr等等中的至少一者。在示例性實施方式中,在含氧的氣體混合物中供應的含氧氣體是具有在約50 sccm與約1000 sccm之間的流速的O2 氣體。In one embodiment, the oxidation process can be performed in a plasma-containing environment (such as decoupling plasma oxidation or rapid thermal oxidation), a thermal environment (such as a furnace), or a thermal plasma environment (such as APCVD, SACVD, LPCVD or any suitable CVD Process). The oxidation process can be performed by using an oxygen-containing gas mixture in the processing environment to cause the multi-material layer 212 to react. In one embodiment, the oxygen-containing gas mixture includes at least one of oxygen-containing gases with or without inert gas. Suitable examples of oxygen-containing gas include O 2 , O 3 , H 2 O, NO 2 , N 2 O, steam, water vapor, and the like. Suitable examples of the inert gas supplied with the process gas mixture include at least one of Ar, He, Kr, and the like. In an exemplary embodiment, the oxygen-containing gas supplied in the oxygen-containing gas mixture is O 2 gas having a flow rate between about 50 sccm and about 1000 sccm.

在氧化製程過程中,可調節若干製程參數以控制氧化製程。在一個示例性的實施方案中,製程壓力被調節為在約0.1托與約大氣壓力(例如,760托)之間。在一個實例中,如在操作304處執行的氧化製程被配置成具有相對高的沉積壓力,諸如大於100托的壓力,諸如在約300托與大氣壓力之間。可用於在操作304處執行選擇性氧化製程的合適技術可以根據需要包括去耦電漿氧化製程(DPO)、電漿增強化學氣相沉積製程(PECVD)、低壓化學氣相沉積製程(LPCVD)、亞大氣壓化學氣相沉積製程(SACVD)、大氣壓力化學氣相沉積製程(APCVD)、熱爐製程、氧氣退火製程、電漿浸入製程或任何合適製程。在一個實施方案中,氧化製程可以在紫外(UV)光照射下執行。During the oxidation process, several process parameters can be adjusted to control the oxidation process. In an exemplary embodiment, the process pressure is adjusted to be between about 0.1 Torr and about atmospheric pressure (eg, 760 Torr). In one example, the oxidation process as performed at operation 304 is configured to have a relatively high deposition pressure, such as a pressure greater than 100 Torr, such as between about 300 Torr and atmospheric pressure. Suitable techniques that can be used to perform the selective oxidation process at operation 304 may include decoupling plasma oxidation process (DPO), plasma enhanced chemical vapor deposition process (PECVD), low pressure chemical vapor deposition process (LPCVD), Sub-atmospheric pressure chemical vapor deposition process (SACVD), atmospheric pressure chemical vapor deposition process (APCVD), hot furnace process, oxygen annealing process, plasma immersion process or any suitable process. In one embodiment, the oxidation process may be performed under ultraviolet (UV) light irradiation.

在一個實施方案中,氧化製程在期望厚度的氧化層407形成在第二層212b的側壁406上時完成。在一個實例中,氧化層407可以具有在約1 nm與約10 nm之間的厚度。氧化製程總的製程時間可由期望部分的矽原子主要地與氧原子反應以形成期望厚度的氧化層407後的時間模式確定。在一個實例中,基板502經受約5秒至約5分鐘的選擇性氧化製程,此舉取決於第二層212b的氧化速率、氣體的壓力和流速。在示例性實施方案中,基板502暴露於氧化製程達約600秒或更少。In one embodiment, the oxidation process is completed when the oxide layer 407 of the desired thickness is formed on the sidewall 406 of the second layer 212b. In one example, the oxide layer 407 may have a thickness between about 1 nm and about 10 nm. The total process time of the oxidation process can be determined by a time pattern after a desired part of silicon atoms mainly react with oxygen atoms to form an oxide layer 407 with a desired thickness. In one example, the substrate 502 is subjected to a selective oxidation process for about 5 seconds to about 5 minutes, depending on the oxidation rate of the second layer 212b, the pressure and flow rate of the gas. In an exemplary embodiment, the substrate 502 is exposed to the oxidation process for about 600 seconds or less.

此外,在其中內襯層404形成在多材料層212的側壁405上的實例中,當在操作304處執行選擇性氧化製程時,類似地,氧化層416可僅選擇性地形成在第二層212b的側壁406上,其中內襯層404與之接觸,如圖4B2’所示。如上所論述的,第二層212b中的GeSi合金要比第一層212a中存在的Si材料更具活性。在氧化製程過程中,Ge原子可由來自氧化製程的熱能來活化,從而形成允許將氧原子拉入來與矽原子結合的介面空位。由此,來自選擇性氧化製程的氧原子穿過內襯層404,以與來自第二層212b的矽原子反應,從而在第二層212b的側壁406上形成氧化層416。由於內襯層404在多材料層212的側壁405上提供基本上平面的表面,因而形成在第二層212b中、在內襯層404下方的氧化層416仍可維持側壁405上的基本上為平面的表面,以便根據需要為奈米線結構提供筆直側壁輪廓。在一個實施方式中,內襯層404結合氧化層416可以具有在約3 nm與約15 nm之間的厚度,諸如在約7 nm與約8 nm之間。In addition, in an example in which the liner layer 404 is formed on the sidewall 405 of the multi-material layer 212, when the selective oxidation process is performed at operation 304, similarly, the oxide layer 416 may be selectively formed only on the second layer. On the side wall 406 of 212b, the lining layer 404 is in contact therewith, as shown in FIG. 4B2'. As discussed above, the GeSi alloy in the second layer 212b is more active than the Si material present in the first layer 212a. During the oxidation process, Ge atoms can be activated by thermal energy from the oxidation process, thereby forming interface vacancies that allow oxygen atoms to be drawn in to bond with silicon atoms. Thus, oxygen atoms from the selective oxidation process pass through the liner layer 404 to react with silicon atoms from the second layer 212b, thereby forming an oxide layer 416 on the sidewall 406 of the second layer 212b. Since the liner layer 404 provides a substantially flat surface on the sidewall 405 of the multi-material layer 212, the oxide layer 416 formed in the second layer 212b below the liner layer 404 can still maintain the substantially flat surface on the sidewall 405. A flat surface to provide a straight sidewall profile for the nanowire structure as needed. In one embodiment, the liner layer 404 combined with the oxide layer 416 may have a thickness between about 3 nm and about 15 nm, such as between about 7 nm and about 8 nm.

在氧化層416、407形成在薄膜堆疊401中後,第一層212a和具有氧化層416、407形成至其底部的第二層212b的多材料層212可用作具有減小的寄生電容和最小裝置洩漏的場效應電晶體(FET)中的奈米線403。After the oxide layers 416 and 407 are formed in the thin film stack 401, the first layer 212a and the multi-material layer 212 having the second layer 212b with the oxide layers 416 and 407 formed to the bottom can be used as having reduced parasitic capacitance and minimum The device leaks the nanowire 403 in the FET.

在操作306處,執行溫和的表面清潔製程,以便選擇性地將氧化物殘餘物411(若存在)從薄膜堆疊401去除,而不損壞薄膜堆疊401的表面,如圖4C所示。氧化物殘餘物411可以根據需要由幹法蝕刻製程或濕法蝕刻製程去除。At operation 306, a gentle surface cleaning process is performed to selectively remove oxide residues 411 (if present) from the film stack 401 without damaging the surface of the film stack 401, as shown in FIG. 4C. The oxide residue 411 can be removed by a dry etching process or a wet etching process as needed.

圖5A描繪了用於水平環繞式閘極(hGAA)結構500中的具有成對的第一層212a和其中形成有氧化層407的第二層212b的多材料層212的示意圖。水平環繞式閘極(hGAA)結構500使用多材料層212作為源極/汲極錨頭508(亦分別示為源極錨頭和汲極錨頭的508a、508b)與閘極結構510之間的奈米線(例如,通道)。如由圓圈514指示的圖5B中的多材料層212的放大圖所示,形成在第二層212b的底部(例如,或端部)的氧化層407(或如先前在圖4B2’中示出的氧化層416)可有助於管理其中第二層212b與閘極結構510和/或源極/汲極錨頭508a、508b接觸的介面,以便減小寄生電容並維持最小裝置洩漏。FIG. 5A depicts a schematic diagram of a multi-material layer 212 having a paired first layer 212 a and a second layer 212 b in which an oxide layer 407 is formed for use in a horizontal surround gate (hGAA) structure 500. The horizontal wrap-around gate (hGAA) structure 500 uses a multi-material layer 212 as the source/drain anchor 508 (also shown as source anchor and drain anchor 508a, 508b respectively) and the gate structure 510 Nanowires (for example, channels). As shown by the enlarged view of the multi-material layer 212 in FIG. 5B indicated by the circle 514, the oxide layer 407 formed at the bottom (for example, or end) of the second layer 212b (or as previously shown in FIG. 4B2' The oxide layer 416) can help manage the interface where the second layer 212b contacts the gate structure 510 and/or the source/drain anchors 508a, 508b in order to reduce parasitic capacitance and maintain minimal device leakage.

因此,提供用於形成水平環繞式閘極(hGAA)結構的具有減小的寄生電容和最小裝置洩漏的奈米線結構的方法。該等方法利用選擇性氧化製程來選擇性地將氧化層形成在來自多材料層的某些類型材料上,以便形成在介面處具有減小的寄生電容和最小裝置洩漏的奈米線結構,它可稍後用於形成水平環繞式閘極(hGAA)結構。因此,可以獲得具有期望類型材料和裝置電學效能的水平環繞式閘極(hGAA)結構,尤其對於水平環繞式閘極場效應電晶體(hGAA FET)中的應用。Therefore, a method for forming a nanowire structure with reduced parasitic capacitance and minimum device leakage for forming a horizontal surround gate (hGAA) structure is provided. These methods use a selective oxidation process to selectively form an oxide layer on certain types of materials from multiple material layers in order to form a nanowire structure with reduced parasitic capacitance and minimal device leakage at the interface. It can be used later to form a horizontal surround gate (hGAA) structure. Therefore, a horizontal wrap-around gate (hGAA) structure with desired types of materials and device electrical performance can be obtained, especially for applications in horizontal wrap-around gate field effect transistors (hGAA FET).

儘管上述內容針對本發明的實施方式,但可在不背離本發明的基本範圍的情況下設計本發明的其他以及另外實施方式,並且本發明的範圍是由隨附請求項書決定。Although the above content is directed to the embodiments of the present invention, other and additional embodiments of the present invention can be designed without departing from the basic scope of the present invention, and the scope of the present invention is determined by the appended claims.

100‧‧‧處理腔室 101‧‧‧側部 102‧‧‧真空泵 106‧‧‧電源 110‧‧‧控制器 112‧‧‧中央處理單元(CPU) 114‧‧‧支撐電路 116‧‧‧記憶體 118‧‧‧信號匯流排 120‧‧‧噴淋頭 122‧‧‧底壁 124‧‧‧頂部 126‧‧‧內部容積 128‧‧‧孔隙 130‧‧‧氣體面板 132‧‧‧處理系統 138‧‧‧匹配網路 140‧‧‧RF功率源 150‧‧‧支撐基座 152‧‧‧水蒸氣產生(WVG)系統 170‧‧‧嵌入式加熱器元件 172‧‧‧溫度感測器 190‧‧‧基板 191‧‧‧表面 200‧‧‧系統 202‧‧‧前部平臺 206‧‧‧串接處理腔室 209‧‧‧裝載鎖定腔室 211‧‧‧傳送腔室 212‧‧‧多材料層 212‧‧‧多材料層 213‧‧‧處理器 214‧‧‧FOUP 244‧‧‧中央處理單元(CPU) 218‧‧‧基板盒 240‧‧‧控制器 242‧‧‧記憶體 246‧‧‧支撐電路 300‧‧‧方法 302‧‧‧操作步驟 303‧‧‧操作步驟 304‧‧‧操作步驟 306‧‧‧操作步驟 401‧‧‧薄膜堆疊 403‧‧‧奈米線 404‧‧‧內襯層 405‧‧‧側壁 406‧‧‧側壁 407‧‧‧氧化層 408‧‧‧側壁 411‧‧‧氧化物殘餘物 416‧‧‧氧化層 500‧‧‧水平環繞式閘極(hGAA)結構 502‧‧‧基板 504‧‧‧絕緣層 508‧‧‧源極/汲極錨頭 508a‧‧‧源極/汲極錨頭 508b‧‧‧源極/汲極錨頭 510‧‧‧閘極結構 514‧‧‧圓圈100‧‧‧Processing chamber 101‧‧‧Side 102‧‧‧Vacuum pump 106‧‧‧Power 110‧‧‧Controller 112‧‧‧Central Processing Unit (CPU) 114‧‧‧Support circuit 116‧‧‧Memory 118‧‧‧Signal Bus 120‧‧‧Spray head 122‧‧‧Bottom wall 124‧‧‧Top 126‧‧‧Internal volume 128‧‧‧Porosity 130‧‧‧Gas panel 132‧‧‧Processing system 138‧‧‧matching network 140‧‧‧RF power source 150‧‧‧Support base 152‧‧‧Water vapor generation (WVG) system 170‧‧‧Embedded heater element 172‧‧‧Temperature sensor 190‧‧‧Substrate 191‧‧‧surface 200‧‧‧System 202‧‧‧Front platform 206‧‧‧Tandem processing chamber 209‧‧‧Load lock chamber 211‧‧‧Transport Chamber 212‧‧‧Multi-material layer 212‧‧‧Multi-material layer 213‧‧‧Processor 214‧‧‧FOUP 244‧‧‧Central Processing Unit (CPU) 218‧‧‧Substrate Box 240‧‧‧Controller 242‧‧‧Memory 246‧‧‧Support circuit 300‧‧‧Method 302‧‧‧Operation steps 303‧‧‧Operation steps 304‧‧‧Operation steps 306‧‧‧Operation steps 401‧‧‧Film Stack 403‧‧‧Nanowire 404‧‧‧Inner lining 405‧‧‧Wall 406‧‧‧Wall 407‧‧‧Oxide layer 408‧‧‧Wall 411‧‧‧Oxide residue 416‧‧‧Oxide layer 500‧‧‧Horizontal surround gate (hGAA) structure 502‧‧‧Substrate 504‧‧‧Insulation layer 508‧‧‧Source/Drain Anchor 508a‧‧‧Source/Drain Anchor 508b‧‧‧Source/Drain Anchor 510‧‧‧Gate structure 514‧‧‧Circle

為了能夠詳細理解本發明的上述特徵的方式,可藉由參照實施方式對上文所簡要概述的本發明進行更具體的描述,一些實施方式在附圖中示出。然而,應當注意,附圖僅僅示出本發明的典型實施方式,並且因此不應視為限制本發明的範圍,因為本發明可允許其他等效實施方式。In order to be able to understand the above-mentioned features of the present invention in detail, the present invention briefly summarized above can be described in more detail by referring to the embodiments, some of which are shown in the accompanying drawings. However, it should be noted that the drawings only show typical embodiments of the present invention, and therefore should not be considered as limiting the scope of the present invention, as the present invention may allow other equivalent embodiments.

圖1描繪了可被用於在基板上執行沉積製程的電漿處理腔室;Figure 1 depicts a plasma processing chamber that can be used to perform a deposition process on a substrate;

圖2描繪了可包括將被併入其中的圖1的電漿處理腔室的處理系統;Figure 2 depicts a processing system that may include the plasma processing chamber of Figure 1 to be incorporated therein;

圖3描繪了用於製造形成在基板上的奈米線結構的方法的流程圖;Figure 3 depicts a flowchart of a method for manufacturing a nanowire structure formed on a substrate;

圖4A-4C描繪了用於在圖3的製造製程期間用期望材料形成奈米線結構的序列的一個實例的截面圖;以及4A-4C depict cross-sectional views of an example of a sequence for forming a nanowire structure with a desired material during the manufacturing process of FIG. 3; and

圖5A-5B描繪了水平環繞式閘極(hGAA)結構的實例的示意圖。Figures 5A-5B depict schematic diagrams of an example of a horizontal surround gate (hGAA) structure.

為了促進理解,已在可能的地方使用相同元件符號來指定各圖所公用的相同元件。應構想到,一個實施方式的要素和特徵可有利地併入其他實施方式,而無需進一步敘述。To facilitate understanding, the same element symbols have been used where possible to designate the same elements that are common to the various figures. It should be conceived that the elements and features of one embodiment can be advantageously incorporated into other embodiments without further description.

然而,應當注意,附圖僅僅示出本發明的示例性實施方式,並且因此不應視為限制本發明的範圍,因為本發明可允許其他等效實施方式。However, it should be noted that the drawings only show exemplary embodiments of the present invention, and therefore should not be considered as limiting the scope of the present invention, as the present invention may allow other equivalent embodiments.

國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無Domestic hosting information (please note in the order of hosting organization, date and number)

國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無Foreign hosting information (please note in the order of hosting country, institution, date and number) None

(請換頁單獨記載) 無(Please change the page to record separately) None

212‧‧‧多材料層 212‧‧‧Multi-material layer

406‧‧‧側壁 406‧‧‧Wall

407‧‧‧氧化層 407‧‧‧Oxide layer

408‧‧‧側壁 408‧‧‧Wall

500‧‧‧水平環繞式閘極(hGAA)結構 500‧‧‧Horizontal surround gate (hGAA) structure

502‧‧‧基板 502‧‧‧Substrate

504‧‧‧絕緣層 504‧‧‧Insulation layer

508‧‧‧源極/汲極錨頭 508‧‧‧Source/Drain Anchor

508a‧‧‧源極/汲極錨頭 508a‧‧‧Source/Drain Anchor

508b‧‧‧源極/汲極錨頭 508b‧‧‧Source/Drain Anchor

510‧‧‧閘極結構 510‧‧‧Gate structure

Claims (18)

一種將奈米線結構形成在基板上的方法,該方法包括以下步驟:將含氧的氣體混合物供應到處理腔室中的基板上的多材料層,其中該多材料層包括重複的第一層和第二層對,該第一層和該第二層具有分別經由該多材料層中限定的開口而暴露的第一組側壁和第二組側壁;選擇性地將氧化層形成在該第二層中的該第二組側壁上;以及使用該多材料層中限定的該等開口形成水平環繞式閘極(hGAA)結構。 A method of forming a nanowire structure on a substrate, the method comprising the steps of: supplying an oxygen-containing gas mixture to a multi-material layer on the substrate in a processing chamber, wherein the multi-material layer includes a repeated first layer And a second layer pair, the first layer and the second layer have a first set of sidewalls and a second set of sidewalls respectively exposed through openings defined in the multi-material layer; an oxide layer is selectively formed on the second On the second set of sidewalls in the layer; and using the openings defined in the multi-material layer to form a horizontal surround gate (hGAA) structure. 根據請求項1所述的方法,其中供應該含氧的氣體混合物之步驟進一步包括以下步驟:在將該含氧氣體供應到該基板之前,將內襯層形成在該第一層和該第二層的該第一組側壁和該第二組側壁上。 The method according to claim 1, wherein the step of supplying the oxygen-containing gas mixture further includes the step of: forming an inner liner layer on the first layer and the second layer before supplying the oxygen-containing gas to the substrate Layer on the first set of side walls and the second set of side walls. 根據請求項2所述的方法,其中該內襯層是氮化矽、氮氧化矽、碳氧化矽、碳氮化矽或氮碳氧化矽或具有摻雜物的矽材料。 The method according to claim 2, wherein the lining layer is silicon nitride, silicon oxynitride, silicon oxycarbide, silicon carbonitride, or silicon oxynitride, or a silicon material with dopants. 根據請求項2所述的方法,其中該內襯層由ALD製程製造。 The method according to claim 2, wherein the liner layer is manufactured by an ALD process. 根據請求項2所述的方法,其中該內襯層具 有約0.5nm與約5nm之間的厚度。 The method according to claim 2, wherein the inner liner has There is a thickness between about 0.5 nm and about 5 nm. 根據請求項2所述的方法,其中該內襯層具有基本上平行於該氧化層的基本上平面的表面。 The method according to claim 2, wherein the inner liner layer has a substantially planar surface substantially parallel to the oxide layer. 根據請求項1所述的方法,其中含氧的氣體混合物包括選自由O2、O3、H2O、NO2、N2O、蒸汽或水汽組成的群組中的至少一種含氧氣體。 The method according to claim 1, wherein the oxygen-containing gas mixture includes at least one oxygen-containing gas selected from the group consisting of O 2 , O 3 , H 2 O, NO 2 , N 2 O, steam or water vapor. 根據請求項1所述的方法,其中該多材料層中的該第一層是本徵矽層,並且該多材料層中的該第二層是SiGe層,同時該基板是矽基板。 The method according to claim 1, wherein the first layer in the multi-material layer is an intrinsic silicon layer, and the second layer in the multi-material layer is a SiGe layer, and the substrate is a silicon substrate. 根據請求項1所述的方法,其中供應該含氧的氣體混合物之步驟進一步包括以下步驟:執行去耦電漿製程,以將該氧化層形成在該第二層中的該第二組側壁上。 The method according to claim 1, wherein the step of supplying the oxygen-containing gas mixture further includes the step of: performing a decoupling plasma process to form the oxide layer on the second set of sidewalls in the second layer . 根據請求項1所述的方法,進一步包括以下步驟:執行清潔製程以將氧化物殘餘物從該基板去除。 The method according to claim 1, further comprising the step of: performing a cleaning process to remove oxide residues from the substrate. 根據請求項1所述的方法,其中該多材料層包括至少四個重複對。 The method according to claim 1, wherein the multi-material layer includes at least four repeated pairs. 根據請求項1所述的方法,其中該氧化層具有約1nm與約10nm之間的厚度。 The method according to claim 1, wherein the oxide layer has a thickness between about 1 nm and about 10 nm. 根據請求項1所述的方法,其中供應該含氧的氣體混合物之步驟進一步包括以下步驟: 維持基板溫度在約200攝氏度與約1000攝氏度之間。 The method according to claim 1, wherein the step of supplying the oxygen-containing gas mixture further includes the following steps: Maintain the substrate temperature between about 200 degrees Celsius and about 1000 degrees Celsius. 根據請求項1所述的方法,其中藉由紫外(UV)光照射製程來選擇性地形成該氧化層。 The method according to claim 1, wherein the oxide layer is selectively formed by an ultraviolet (UV) light irradiation process. 一種將奈米線結構形成在基板上的方法,該方法包括以下步驟:主要將氧化層形成在基板上設置的多材料層的一部分上,其中該多材料層包括重複的第一層和第二層對,該第一層和該第二層具有分別經由該多材料層中限定的開口而暴露的第一組側壁和第二組側壁,其中選擇性地將該氧化層形成在該第二層中的該第二組側壁上,其中該多材料層被用於在水平環繞式閘極(hGAA)結構中形成奈米線或者通道。 A method of forming a nanowire structure on a substrate, the method comprising the following steps: mainly forming an oxide layer on a part of a multi-material layer provided on the substrate, wherein the multi-material layer includes repeated first and second layers A pair of layers, the first layer and the second layer have a first set of sidewalls and a second set of sidewalls respectively exposed through openings defined in the multi-material layer, wherein the oxide layer is selectively formed on the second layer On the second set of sidewalls in, the multi-material layer is used to form nanowires or channels in a horizontal wrap-around gate (hGAA) structure. 根據請求項15所述的方法,其中該多材料層中的該第一層是本徵矽層,並且該多材料層中的該第二層是SiGe層,同時該基板是矽基板。 The method according to claim 15, wherein the first layer in the multi-material layer is an intrinsic silicon layer, and the second layer in the multi-material layer is a SiGe layer, and the substrate is a silicon substrate. 根據請求項15所述的方法,進一步包括以下步驟:在形成該氧化層之前,將內襯層形成在該第一層和該第二層的該第一組側壁和該第二組側壁上。 The method according to claim 15, further comprising the step of: before forming the oxide layer, forming an inner liner layer on the first set of sidewalls and the second set of sidewalls of the first layer and the second layer. 一種將奈米線結構形成在基板上的方法,該方法包括以下步驟: 主要將氧化層形成在基板上設置的多材料層的一部分上,其中該多材料層包括重複的矽層和SiGe層對,該矽層和該SiGe層具有分別經由該多材料層中限定的開口而暴露的第一組側壁和第二組側壁,其中該氧化層被選擇性地形成在其上的該部分位於該SiGe層中的該第二組側壁上。 A method for forming a nanowire structure on a substrate. The method includes the following steps: An oxide layer is mainly formed on a part of a multi-material layer provided on a substrate, wherein the multi-material layer includes a repeated pair of a silicon layer and a SiGe layer, and the silicon layer and the SiGe layer have openings respectively defined in the multi-material layer. The exposed first group of sidewalls and second group of sidewalls, wherein the portion on which the oxide layer is selectively formed is located on the second group of sidewalls in the SiGe layer.
TW105127658A 2015-09-03 2016-08-29 Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications TWI716441B (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562214110P 2015-09-03 2015-09-03
US201562213969P 2015-09-03 2015-09-03
US62/214,110 2015-09-03
US62/213,969 2015-09-03
US14/874,146 US9484406B1 (en) 2015-09-03 2015-10-02 Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US14/874,146 2015-10-02

Publications (2)

Publication Number Publication Date
TW201724500A TW201724500A (en) 2017-07-01
TWI716441B true TWI716441B (en) 2021-01-21

Family

ID=58290278

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105127658A TWI716441B (en) 2015-09-03 2016-08-29 Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications

Country Status (3)

Country Link
KR (1) KR102552613B1 (en)
CN (1) CN106504991B (en)
TW (1) TWI716441B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10186510B2 (en) * 2017-05-01 2019-01-22 Advanced Micro Devices, Inc. Vertical gate all around library architecture
US10269571B2 (en) * 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011066727A1 (en) * 2009-12-01 2011-06-09 中国科学院上海微系统与信息技术研究所 Mixed material inverted mode gate-all-around cmos field effect transistor
WO2013095651A1 (en) * 2011-12-23 2013-06-27 Intel Corporation Non-planar gate all-around device and method of fabrication thereof
EP2654083A1 (en) * 2012-04-16 2013-10-23 Commissariat à l'Énergie Atomique et aux Énergies Alternatives Improved method for producing a transistor structure with stacked nanowires and gate-all-around
CN104054181A (en) * 2011-12-30 2014-09-17 英特尔公司 Variable gate width for gate all-around transistors
CN104157579A (en) * 2014-09-10 2014-11-19 中国科学院上海微系统与信息技术研究所 Preparation method of multichannel semiconductor device structure with gate-all-around structure

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7947561B2 (en) * 2008-03-14 2011-05-24 Applied Materials, Inc. Methods for oxidation of a semiconductor device
KR101532751B1 (en) * 2008-09-19 2015-07-02 삼성전자주식회사 Semiconductor device and forming method of the same
FR2945891B1 (en) * 2009-05-19 2011-07-15 Commissariat Energie Atomique SEMICONDUCTOR STRUCTURE AND METHOD FOR PRODUCING SEMICONDUCTOR STRUCTURE.
US9947773B2 (en) * 2012-08-24 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor arrangement with substrate isolation
US9318606B2 (en) * 2013-01-14 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of fabricating same
US9093531B2 (en) * 2013-06-11 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9082851B2 (en) * 2013-11-22 2015-07-14 International Business Machines Corporation FinFET having suppressed leakage current
US9257450B2 (en) * 2014-02-18 2016-02-09 Stmicroelectronics, Inc. Semiconductor device including groups of stacked nanowires and related methods

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011066727A1 (en) * 2009-12-01 2011-06-09 中国科学院上海微系统与信息技术研究所 Mixed material inverted mode gate-all-around cmos field effect transistor
WO2013095651A1 (en) * 2011-12-23 2013-06-27 Intel Corporation Non-planar gate all-around device and method of fabrication thereof
CN104054181A (en) * 2011-12-30 2014-09-17 英特尔公司 Variable gate width for gate all-around transistors
EP2654083A1 (en) * 2012-04-16 2013-10-23 Commissariat à l'Énergie Atomique et aux Énergies Alternatives Improved method for producing a transistor structure with stacked nanowires and gate-all-around
CN104157579A (en) * 2014-09-10 2014-11-19 中国科学院上海微系统与信息技术研究所 Preparation method of multichannel semiconductor device structure with gate-all-around structure

Also Published As

Publication number Publication date
CN106504991B (en) 2021-08-27
KR20170031047A (en) 2017-03-20
CN106504991A (en) 2017-03-15
KR102552613B1 (en) 2023-07-05
TW201724500A (en) 2017-07-01

Similar Documents

Publication Publication Date Title
US9484406B1 (en) Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US11848369B2 (en) Horizontal gate-all-around device nanowire air gap spacer formation
KR102554853B1 (en) Method for fabricating junctions and spacers for horizontal gate all around devices
TWI774793B (en) Selective oxidation for fabricating nanowires for semiconductor applications
JP2024020242A (en) Fabrication of vertical transistors for memory applications
TW202040799A (en) Memory cell fabrication for 3d nand applications
TWI716441B (en) Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
KR20140100948A (en) Interlayer polysilicon dielectric cap and method of forming thereof
US11450759B2 (en) Gate all around I/O engineering
US9355820B2 (en) Methods for removing carbon containing films