TWI698985B - Three dimensional memory device and method for fabricating the same - Google Patents

Three dimensional memory device and method for fabricating the same Download PDF

Info

Publication number
TWI698985B
TWI698985B TW108116826A TW108116826A TWI698985B TW I698985 B TWI698985 B TW I698985B TW 108116826 A TW108116826 A TW 108116826A TW 108116826 A TW108116826 A TW 108116826A TW I698985 B TWI698985 B TW I698985B
Authority
TW
Taiwan
Prior art keywords
layer
channel
dielectric
gate
opening
Prior art date
Application number
TW108116826A
Other languages
Chinese (zh)
Other versions
TW202044556A (en
Inventor
胡志瑋
葉騰豪
江昱維
Original Assignee
旺宏電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 旺宏電子股份有限公司 filed Critical 旺宏電子股份有限公司
Priority to TW108116826A priority Critical patent/TWI698985B/en
Application granted granted Critical
Publication of TWI698985B publication Critical patent/TWI698985B/en
Publication of TW202044556A publication Critical patent/TW202044556A/en

Links

Images

Abstract

A 3D memory device includes a multi-layers stacking structure, a memory layer, a channel layer, and a switching element. The multi-layers stacking structure includes a plurality of conductive layers, a plurality of insulating layers, and an opening. The insulating layer and the conductive layer are stacked along a stacking direction in a staggered manner, and the opening passes through the conductive layer. The memory layer is disposed in the opening and at least partially overlaps with the conductive layers. The channel layer is disposed in the opening and overlaps the memory layer. The switching element includes a channel plug disposed over the multi-layers stacking structure and electrically connecting to the channel layer, a gate dielectric layer surrounding the channel plug, and a gate surrounding the gate dielectric layer.

Description

立體記憶體元件及其製作方法 Three-dimensional memory element and manufacturing method thereof

本揭露內容是有關於一種記憶體元件及其製造方法,且特別是有關於一種具有高記憶密度之立體(three dimensional,3D)記憶體元件及其製造方法。 The present disclosure relates to a memory device and its manufacturing method, and more particularly to a three dimensional (3D) memory device with high memory density and its manufacturing method.

記憶體元件係可攜式電子裝置,例如MP3播放器、數位相機、筆記型電腦、智慧型手機等...中重要的資料儲存元件。隨著各種應用程式的增加及功能的提升,對於記憶體元件的需求,也趨向較小的尺寸、較大的記憶容量。而為了因應這種需求,目前設計者轉而開發一種包含有多個記憶胞階層(multiple plane of memory cells)堆疊的立體記憶體元件,例如垂直通道式(Vertical-Channel,VC)立體NAND快閃記憶體元件。 Memory components are important data storage components in portable electronic devices such as MP3 players, digital cameras, notebook computers, smart phones, etc. With the increase of various applications and the improvement of functions, the demand for memory components also tends to be smaller in size and larger in memory capacity. In response to this demand, designers have turned to develop a three-dimensional memory device that includes multiple plane of memory cells stacked, such as vertical-channel (VC) three-dimensional NAND flash. Memory components.

典型的NAND快閃記憶體元件係以具有多層介電電荷捕捉結構(multilayer dielectric charge trapping structure)的薄膜電晶體來作為記憶胞串列的記憶胞及串列/接地選擇開關,並採用較高的汲極或源極電壓與較低的閘極電壓(或浮接),以誘發帶對帶穿隧(band-to-band tunneling,BBT)產生閘極導致汲極漏電電流(gate induced drain leakage current,GIDL)的方式來對記憶胞串列進行抹除操作。然而,帶對帶穿隧所產生的電洞經由橫向電場的加速獲得能量注入到閘極氧化層之後,常會引起電荷累積,容易使電荷捕捉式薄膜電晶體的串列/接地選擇開關,在進行後續的寫入操作時無法正常開啟,導致操作失效。 A typical NAND flash memory device uses a thin film transistor with a multilayer dielectric charge trapping structure as the memory cell of the memory cell series and the series/ground selection switch, and adopts higher The drain or source voltage and the lower gate voltage (or floating) can induce band-to-band tunneling (BBT) to generate a gate and cause drain leakage current (gate Induced drain leakage current, GIDL) to erase the memory cell string. However, after the holes generated by band-to-band tunneling are injected into the gate oxide layer through the acceleration of the transverse electric field, they often cause charge accumulation, which makes it easy to make the serial/ground selection switch of the charge trapping thin film transistor. The subsequent write operation cannot be turned on normally, causing the operation to fail.

因此,有需要提供一種先進的立體記憶體元件及其製作方法,來解決習知技術所面臨的問題。 Therefore, there is a need to provide an advanced three-dimensional memory device and a manufacturing method thereof to solve the problems faced by the conventional technology.

本說明書的一實施例揭露一種立體記憶體元件,此立體記憶體元件包括:立體記憶體元件包括多層堆疊結構(multi-layer stacks)、記憶層通道層以及開關元件。多層堆疊結構包括複數個導電層、複數個絕緣層和至少一個開口。絕緣層與導電層沿著一個堆疊方向交錯堆疊,開口穿過導電層。記憶層位於開口中,並與導電層至少部分重疊。通道層位於開口中,並與記憶層重疊。開關元件,包括:位於多層堆疊結構上方,並與通道層電性連接的通道插塞;環繞通道插塞的閘極介電層;以及環繞閘極介電層的閘極。 An embodiment of this specification discloses a three-dimensional memory device. The three-dimensional memory device includes: the three-dimensional memory device includes multi-layer stacks, a memory layer channel layer, and a switching device. The multilayer stack structure includes a plurality of conductive layers, a plurality of insulating layers, and at least one opening. The insulating layer and the conductive layer are stacked alternately along a stacking direction, and the opening passes through the conductive layer. The memory layer is located in the opening and at least partially overlaps the conductive layer. The channel layer is located in the opening and overlaps the memory layer. The switching element includes: a channel plug located above the multilayer stack structure and electrically connected to the channel layer; a gate dielectric layer surrounding the channel plug; and a gate surrounding the gate dielectric layer.

本說明書的另一實施例揭露一種立體記憶體元件的製作方法,包括下述步驟:首先,提供一個包括複數個導電層、複數個絕緣層和至少一個開口的多層堆疊結構。其中,絕緣層與導電層沿著一個堆疊方向交錯堆疊,開口穿過導電層。於開口中 形成與導電層至少部分重疊的記憶層。於開口中形成與記憶層至少部分重疊的通道層。位於多層堆疊結構上方形成開關元件,使開關元件包括:與通道層電性連接的通道插塞;環繞通道插塞,且不具有介電電荷捕捉結構的閘極介電層;以及環繞閘極介電層的閘極。 Another embodiment of this specification discloses a method for fabricating a three-dimensional memory device, which includes the following steps: first, a multilayer stack structure including a plurality of conductive layers, a plurality of insulating layers and at least one opening is provided. Wherein, the insulating layer and the conductive layer are stacked alternately along a stacking direction, and the opening passes through the conductive layer. In the mouth A memory layer at least partially overlapping the conductive layer is formed. A channel layer at least partially overlapping with the memory layer is formed in the opening. A switching element is formed above the multilayer stack structure, so that the switching element includes: a channel plug electrically connected to the channel layer; a gate dielectric layer surrounding the channel plug without a dielectric charge trapping structure; and a surrounding gate dielectric The gate of the electrical layer.

根據上述實施例,本說明書是揭露一種立體記憶體元件及其製作方法。其係採用不具有介電電荷捕捉結構之閘極介電層的開關元件,來作為立體記憶體元件中記憶胞串列的串列選擇開關/接地選擇開關。因此不需要採用帶對帶穿隧產生閘極導致汲極漏電電流的方式來對記憶胞串列進行抹除操作。可以避免使用電荷捕捉式薄膜電晶體作為串列選擇開關/接地選擇開關,因電洞注入閘極氧化層,引起電荷累積,導致串列選擇開關/接地選擇開關在寫入操作時無法正常開啟而失效的問題。 According to the above-mentioned embodiments, this specification discloses a three-dimensional memory device and a manufacturing method thereof. It uses a switch element without a gate dielectric layer of a dielectric charge trapping structure as a series selection switch/ground selection switch for the series of memory cells in the three-dimensional memory element. Therefore, there is no need to erase the memory cell string in a way that the band-to-band tunneling generates a gate and causes a drain leakage current. It can avoid the use of charge trapping thin film transistors as the tandem selector switch/grounding selector switch, because holes are injected into the gate oxide layer, causing charge accumulation, causing the tandem selector switch/grounding selector switch to fail to open normally during write operations. The problem of failure.

在本說明書的一些實施例中,此種結構可以應用於閘極圍繞式結構的立體記憶體元件、包含單閘極垂通道(single-gate vertical channel,SGVC)結構的立體記憶體元件、具有U形垂直通道(U-shaped vertical channel)結構的立體記憶體元件、具有圓柱形通道(cylindrical channel)結構之記憶胞串列的立體記憶體元件或具有半圓柱形通道(hemi-cylindrical channel)結構的立體記憶體元件。 In some embodiments of this specification, this structure can be applied to a three-dimensional memory device with a gate-surrounded structure, a three-dimensional memory device with a single-gate vertical channel (single-gate vertical channel, SGVC) structure, and a U U-shaped vertical channel (U-shaped vertical channel) structure of three-dimensional memory device, cylindrical channel (cylindrical channel) structure of memory cell series of three-dimensional memory device or semi-cylindrical channel (hemi-cylindrical channel) structure Three-dimensional memory components.

100、200、300:立體記憶體元件 100, 200, 300: Three-dimensional memory components

101:基材 101: Substrate

102:埋藏氧化層 102: buried oxide layer

102a:埋藏氧化層的底部 102a: The bottom of the buried oxide layer

103:O形開口 103: O-shaped opening

103b:O形開口的側壁 103b: Side wall of O-shaped opening

103a:O形開口的底部 103a: The bottom of the O-shaped opening

105:介電柱狀體 105: Dielectric cylinder

105a:介電柱狀體的頂面 105a: The top surface of the dielectric cylinder

105b:介電柱狀體的底部 105b: The bottom of the dielectric column

106:落著接觸墊 106: Falling on the contact pad

108:溝槽 108: groove

109:絕緣材料 109: insulating material

110:多層堆疊結構 110: Multi-layer stacked structure

110a:多層堆疊結構的頂面 110a: The top surface of the multilayer stack structure

112A:內連線結構 112A: Internal connection structure

112B:內連線結構 112B: Internal connection structure

114:記憶層 114: memory layer

120:導電層 120: conductive layer

122:底部閘極層 122: bottom gate layer

122a:底部閘極層的底部 122a: the bottom of the bottom gate layer

124:通道層 124: Channel layer

125:介電保護層 125: Dielectric protective layer

126:閘極材料層 126: gate material layer

127:介電覆蓋層 127: Dielectric cover layer

128A、128B:貫穿孔 128A, 128B: through hole

129:閘介電層 129: Gate Dielectric Layer

130:絕緣層 130: insulating layer

131:導電薄膜 131: conductive film

132:通道插塞 132: channel plug

140、145:記憶胞 140, 145: memory cell

141、141a、141b:穿隧式電晶體開關 141, 141a, 141b: tunneling transistor switch

144:閘極圍繞式記憶胞串列 144: Gate surrounding memory cell series

146:U形記憶胞串列 146: U-shaped memory cell string

147A、147B、347:金屬-氧化物-半導體電晶體開關元件 147A, 147B, 347: metal-oxide-semiconductor transistor switching elements

150:圖案化硬罩幕層 150: Patterned hard mask layer

201:源極導體層 201: source conductor layer

202:介層插塞 202: Interposer plug

241a、241b:穿隧式電晶體開關 241a, 241b: tunneling transistor switch

246A、246B:記憶胞串列 246A, 246B: Memory cell series

S1-S11:切線 S1-S11: Tangent

H1:高度落差 H1: Height difference

N+:n型摻質 N+: n-type dopant

BL:位元線 BL: bit line

CS:共同源極線 CS: Common source line

U1、U2:U形剖面輪廓 U1, U2: U-shaped profile

為了對本說明書之上述及其他方面有更佳的瞭解,下文特舉實施例,並配合所附圖式詳細說明如下:第1A圖係根據本發明的一實施例所繪示之多層堆疊結構的結構透視圖;第1B圖係沿著第1A圖的切線S1所繪示的結構剖面圖;第2A圖係繪示,對第1A圖的多層堆疊結構進行圖案化製程,以形成複數個O形開口之後的結構上視圖;第2B圖係沿著第2A圖所繪示的切線S2所繪示的結構剖面圖;第3A圖係繪示在第2A圖所示之結構上形成記憶層、通道層和複數個介電柱狀體之後的結構上視圖;第3B圖係沿著第3A圖的切線S3所繪示的結構剖面圖;第4A圖係繪示對第3A圖所繪示的結構進行回蝕製程之後的結構上視圖;第4B圖係沿著第4A圖所繪示的切線S4所繪示的結構剖面圖;第5A圖係繪示在第4A圖所示之結構上形成複數個落著接觸墊之後的結構上視圖;第5B圖係沿著第5A圖的切線S5所繪示的結構剖面圖; 第6A圖係繪示在第5A圖所示之結構上形成介電保護層、閘極材料層和介電覆蓋層之後的結構上視圖;第6B圖係沿著第6A圖的切線S6所繪示的結構剖面圖;第7A圖係繪示在第6A圖所示之結構上形成貫穿孔之後的結構上視圖;第7B圖係沿著第7A圖的切線S7所繪示的結構剖面圖;第8A圖係繪示在第7A圖所示之結構上形成閘介電層之後的結構上視圖;第8B圖係沿著第8A圖的切線S8所繪示的結構剖面圖;第9A圖係繪示在第8A圖之結構中移除一部份介電保護層之後的結構上視圖;第9B圖係沿著第9A圖的切線S9所繪示的結構剖面圖;第10A圖係繪示在第9A圖之結構中形成複數個通道插塞之後的結構上視圖;第10B圖係沿著第10A圖的切線S10所繪示的結構剖面圖;第11A圖係繪示在第10A圖所示之結構上形成複數條溝槽之後的結構透視圖; 第11B圖係沿著第11A圖的切線S11所繪示的結構剖面圖;第12A圖和第12B圖係依照本說明書的一實施所分別繪示的一種立體記憶體元件的結構透視圖和剖面圖;第13圖係根據本說明書的另一實施例所繪示的一種立體記憶體元件的結構剖面圖;以及第14圖係根據本說明書的又一實施例所繪示的一種立體記憶體元件的結構剖面圖。 In order to have a better understanding of the above and other aspects of this specification, the following specific examples are given in conjunction with the accompanying drawings and detailed descriptions are as follows: Figure 1A is a structure of a multilayer stacked structure drawn according to an embodiment of the present invention Perspective view; Figure 1B is a cross-sectional view of the structure drawn along the tangent line S1 of Figure 1A; Figure 2A is a drawing showing the patterning process of the multilayer stack structure of Figure 1A to form a plurality of O-shaped openings After the top view of the structure; Figure 2B is a cross-sectional view of the structure drawn along the tangent line S2 shown in Figure 2A; Figure 3A is a diagram showing the formation of a memory layer and a channel layer on the structure shown in Figure 2A And a top view of the structure after a plurality of dielectric pillars; Figure 3B is a cross-sectional view of the structure drawn along the tangent line S3 of Figure 3A; Figure 4A is a diagram showing a return to the structure shown in Figure 3A The top view of the structure after the etching process; Fig. 4B is a cross-sectional view of the structure drawn along the tangent line S4 shown in Fig. 4A; Fig. 5A shows the formation of a plurality of drops on the structure shown in Fig. 4A The top view of the structure after the contact pad; FIG. 5B is a cross-sectional view of the structure along the tangent line S5 of FIG. 5A; Figure 6A is a top view of the structure after forming a dielectric protective layer, a gate material layer and a dielectric cover layer on the structure shown in Figure 5A; Figure 6B is drawn along the tangent line S6 of Figure 6A Figure 7A is a top view of the structure after forming a through hole on the structure shown in Figure 6A; Figure 7B is a cross-sectional view of the structure shown along the line S7 of Figure 7A; Fig. 8A is a top view of the structure after forming a gate dielectric layer on the structure shown in Fig. 7A; Fig. 8B is a cross-sectional view of the structure taken along the line S8 of Fig. 8A; Fig. 9A is A top view of the structure after removing a part of the dielectric protection layer in the structure of FIG. 8A; FIG. 9B is a cross-sectional view of the structure along the tangent line S9 of FIG. 9A; FIG. 10A is a diagram showing A top view of the structure after forming a plurality of channel plugs in the structure of Figure 9A; Figure 10B is a cross-sectional view of the structure drawn along the line S10 of Figure 10A; Figure 11A is shown in Figure 10A A perspective view of the structure after a plurality of grooves are formed on the structure shown; Figure 11B is a cross-sectional view of the structure taken along the line S11 of Figure 11A; Figures 12A and 12B are perspective views and cross-sections of a three-dimensional memory device according to an implementation of this specification. Figure 13 is a cross-sectional view of a three-dimensional memory device according to another embodiment of this specification; and Figure 14 is a three-dimensional memory device according to another embodiment of this specification The structure section view.

本說明書是提供一種立體記憶體元件的製作方法,可解決串列/接地選擇開關因閘極導致汲極漏電電流無法正常開啟的問題。為了對本說明書之上述實施例及其他目的、特徵和優點能更明顯易懂,下文特舉一記憶體元件及其製作方法作為較佳實施例,並配合所附圖式作詳細說明。 This manual provides a method for manufacturing a three-dimensional memory device, which can solve the problem that the drain leakage current of the serial/ground selection switch cannot be turned on normally due to the gate electrode. In order to make the above-mentioned embodiments and other objectives, features, and advantages of this specification more comprehensible, a memory device and its manufacturing method are specifically cited as a preferred embodiment, and will be described in detail with the accompanying drawings.

但必須注意的是,這些特定的實施案例與方法,並非用以限定本發明。本發明仍可採用其他特徵、元件、方法及參數來加以實施。較佳實施例的提出,僅係用以例示本發明的技術特徵,並非用以限定本發明的申請專利範圍。該技術領域中具有通常知識者,將可根據以下說明書的描述,在不脫離本發明的精神範圍內,作均等的修飾與變化。在不同實施例與圖式之中,相同的元件,將以相同的元件符號加以表示。 However, it must be noted that these specific implementation cases and methods are not intended to limit the present invention. The present invention can still be implemented with other features, elements, methods and parameters. The preferred embodiments are only used to illustrate the technical features of the present invention, and not to limit the scope of the present invention. Those with ordinary knowledge in this technical field will be able to make equivalent modifications and changes based on the description of the following specification without departing from the spirit of the present invention. In the different embodiments and drawings, the same elements will be represented by the same element symbols.

製作立體記憶體元件100的方法,包括下述步驟:首先提供一個基材101,並在基材101上形成多層堆疊結構110。請參照第1A圖和第1B圖,第1A圖係根據本發明的一實施例所繪示之多層堆疊結構110的結構透視圖。第1B圖係沿著第1A圖的切線S1所繪示的結構剖面圖。多層堆疊結構110包含複數個導電層120及複數個絕緣層130交錯堆疊在基材101上。 The method of manufacturing a three-dimensional memory device 100 includes the following steps: first, a substrate 101 is provided, and a multilayer stack structure 110 is formed on the substrate 101. Please refer to FIG. 1A and FIG. 1B. FIG. 1A is a perspective view of a multilayer stack structure 110 according to an embodiment of the present invention. FIG. 1B is a cross-sectional view of the structure along the tangent line S1 of FIG. 1A. The multi-layer stack structure 110 includes a plurality of conductive layers 120 and a plurality of insulating layers 130 alternately stacked on the substrate 101.

在本發明的一些實施例中,基材101和多層堆疊結構110之間還可以包括一個底部閘極層122和一埋藏氧化(buried oxide)層102。例如在本實施例中,埋藏氧化層102係藉由熱氧化製程,形成在基材101表面;底部閘極層122係使用沉積導電材料的方式形成於埋藏氧化層102上方。而多層堆疊結構110中的導電層120和絕緣層130,則係沿著第1B圖所繪示的Z軸方向(堆疊方向),彼此交錯堆疊在底部閘極層122上方。在本發明的其他實施例中,埋藏氧化層102也可以使用沉積的方式形成於基材101上。 In some embodiments of the present invention, a bottom gate layer 122 and a buried oxide layer 102 may be further included between the substrate 101 and the multilayer stack structure 110. For example, in this embodiment, the buried oxide layer 102 is formed on the surface of the substrate 101 by a thermal oxidation process; the bottom gate layer 122 is formed on the buried oxide layer 102 by depositing conductive materials. The conductive layer 120 and the insulating layer 130 in the multi-layer stack structure 110 are stacked along the Z-axis direction (stacking direction) shown in FIG. 1B and alternately stacked above the bottom gate layer 122. In other embodiments of the present invention, the buried oxide layer 102 may also be formed on the substrate 101 by deposition.

導電層120可以由金屬材料(例如,金、銅、鋁、鎢或上述合金)、半導體材料(例如,摻雜或無摻雜的多晶或單晶矽/鍺)或其他合適的材料所構成。絕緣層130可以由介電材料,例如矽氧化物(oxide)、矽氮化物(nitride)、矽氮氧化物(oxynitride)、矽酸鹽(silicate)或其他材料,所構成。埋藏氧化層102可以包含矽氧化物。構成導電層120的材料,可以與構成底部閘極層122的材料相同或不同。構成埋藏氧化層102可以與構成絕緣層130的材料相同或不同。 The conductive layer 120 may be made of metal materials (for example, gold, copper, aluminum, tungsten or the above alloys), semiconductor materials (for example, doped or undoped polycrystalline or single crystal silicon/germanium) or other suitable materials. . The insulating layer 130 may be made of a dielectric material, such as silicon oxide, silicon nitride, oxynitride, silicate, or other materials. The buried oxide layer 102 may include silicon oxide. The material constituting the conductive layer 120 may be the same as or different from the material constituting the bottom gate layer 122. The buried oxide layer 102 may be made of the same or different material as the insulating layer 130.

接著,對多層堆疊結構110進行圖案化製程以形成複數個O形開口103,穿過這些導電層120和絕緣層130。請參照第2A圖至第2B圖,第2A圖係繪示,對第1A圖的多層堆疊結構110進行圖案化製程,以形成複數個O形開口103之後的結構上視圖;第2B圖係沿著第2A圖所繪示的切線S2所繪示的結構剖面圖。 Then, a patterning process is performed on the multilayer stack structure 110 to form a plurality of O-shaped openings 103 passing through the conductive layer 120 and the insulating layer 130. Please refer to FIGS. 2A to 2B. FIG. 2A shows a top view of the structure after performing a patterning process on the multilayer stack structure 110 of FIG. 1A to form a plurality of O-shaped openings 103; FIG. 2B is along The cross-sectional view of the structure shown by the tangent line S2 shown in FIG. 2A.

在本說明書的一些實施例中,多層堆疊結構110的圖案化製程,包括在多層堆疊結構110上形成圖案化硬罩幕層150,再以圖案化硬罩幕層150為蝕刻罩幕,藉由非等向蝕刻製程(anisotropic etching process),例如反應離子蝕刻(Reactive Ion Etching,RIE)製程,來移除一部份的多層堆疊結構110,藉以在多層堆疊結構110之中形成沿著Z軸方向延伸的複數個O形開口103。 In some embodiments of this specification, the patterning process of the multilayer stack structure 110 includes forming a patterned hard mask layer 150 on the multilayer stack structure 110, and then using the patterned hard mask layer 150 as an etching mask, by An anisotropic etching process, such as a Reactive Ion Etching (RIE) process, removes a part of the multilayer stack structure 110, thereby forming the multilayer stack structure 110 along the Z axis direction A plurality of O-shaped openings 103 extending.

在本實施例中,用來形成這些O形開口103的圖案化製程停止於埋藏氧化層102之中,使一部分的導電層120、一部分的絕緣層130、一部分的底部閘極層122和一部分的埋藏氧化層102經由O形開口103暴露於外。換言之,這些O形開口103,並不會穿過埋藏氧化層102的底部102a,而使基材101的半導體材料暴露於外。O形開口103的底部103a由基材101起算的高度,實質上高於的埋藏氧化層102底部表面102a。但值得注意的是,O形開口103的深度並不以此為限,例如在另一實施例中,用來形成O形開口103的圖案化製程可以停止在底部閘極層122之中。意即,O形開口103並未穿過底部閘極層122而將埋藏氧化層102暴露於外。 O形開口103的底部103a,可以位於(但不以此為限)由底部閘極層122的底部122a起算,往上距離約底部閘極層122的三分之一厚度的位置。 In this embodiment, the patterning process for forming these O-shaped openings 103 stops in the buried oxide layer 102, so that a part of the conductive layer 120, a part of the insulating layer 130, a part of the bottom gate layer 122 and a part of the The buried oxide layer 102 is exposed to the outside through the O-shaped opening 103. In other words, these O-shaped openings 103 do not pass through the bottom 102a of the buried oxide layer 102, and expose the semiconductor material of the substrate 101 to the outside. The height of the bottom 103a of the O-shaped opening 103 from the base 101 is substantially higher than the bottom surface 102a of the buried oxide layer 102. However, it is worth noting that the depth of the O-shaped opening 103 is not limited to this. For example, in another embodiment, the patterning process for forming the O-shaped opening 103 can be stopped in the bottom gate layer 122. That is, the O-shaped opening 103 does not pass through the bottom gate layer 122 and exposes the buried oxide layer 102 to the outside. The bottom 103a of the O-shaped opening 103 can be located (but not limited to this) starting from the bottom 122a of the bottom gate layer 122, and the distance upward is about one third of the thickness of the bottom gate layer 122.

而本說明書中所述的O形開口103,是指由多層堆疊結構110的頂面110a,沿著Z軸方向往基材101方向延伸進入多層堆疊結構110之中,進而形成一種具有平行多層堆疊結構110之頂面110a的O形剖面輪廓的一種凹陷結構(recess structure)。在本說明書的一些實施例中,O形剖面輪廓可以例如是橢圓形、圓形、卵形、圓角矩形(rounded rectangle),而在本說明書的實施例中,O形剖面輪廓為橢圓形,依據多層堆疊結構110中的導電層120和絕緣層130之材料以及蝕刻深度的較佳控制,在靠近多層堆疊結構110之頂面110a之橢圓形的尺寸大於靠近底部閘極層122底部122a之橢圓形的尺寸,此設計能有利於平衡多層堆疊結構110之上下兩端在後續操作中的控制能力。 The O-shaped opening 103 described in this specification refers to the top surface 110a of the multilayer stack structure 110 extending along the Z-axis direction toward the substrate 101 into the multilayer stack structure 110, thereby forming a parallel multilayer stack The O-shaped cross-sectional profile of the top surface 110a of the structure 110 is a recess structure. In some embodiments of the present specification, the O-shaped cross-sectional profile may be, for example, an ellipse, circle, oval, or rounded rectangle, while in the embodiments of the present specification, the O-shaped cross-sectional profile is an ellipse. According to the material of the conductive layer 120 and the insulating layer 130 and the better control of the etching depth in the multilayer stack structure 110, the size of the ellipse near the top surface 110a of the multilayer stack structure 110 is larger than the size near the bottom 122a of the bottom gate layer 122 This design can help balance the control capabilities of the upper and lower ends of the multilayer stack structure 110 in subsequent operations.

之後,在每一個O形開口103的側壁103b和底部103a上依序形成一個記憶層114和一個通道層124。請參照第3A圖和第3B圖,第3A圖係繪示在第2A圖所示之結構上形成記憶層114、通道層124和複數個介電柱狀體105之後的結構上視圖;第3B圖係沿著第3A圖的切線S3所繪示的結構剖面圖。在本說明書的一些實施例中,形成記憶層114和通道層124的步驟包括:使用沉積製程,例如低壓化學氣相沉積(Low Pressure Chemical Vapor Deposition,LPCVD)製程,在多層堆疊結構110上形成 記憶層114,並且毯覆於每一個O形開口103的側壁103b和底部103a上。接著,再使用一次沉積製程,例如低壓化學氣相沉積製程,於記憶層上114形成通道層124。 Thereafter, a memory layer 114 and a channel layer 124 are sequentially formed on the sidewall 103b and the bottom 103a of each O-shaped opening 103. Please refer to FIGS. 3A and 3B. FIG. 3A shows a top view of the structure after forming a memory layer 114, a channel layer 124, and a plurality of dielectric pillars 105 on the structure shown in FIG. 2A; FIG. 3B It is a cross-sectional view of the structure drawn along the tangent line S3 in FIG. 3A. In some embodiments of this specification, the step of forming the memory layer 114 and the channel layer 124 includes: using a deposition process, such as a Low Pressure Chemical Vapor Deposition (LPCVD) process, to form on the multilayer stack structure 110 The memory layer 114 is blanketed on the sidewall 103b and the bottom 103a of each O-shaped opening 103. Then, another deposition process, such as a low-pressure chemical vapor deposition process, is used to form a channel layer 124 on the memory layer 114.

在本說明書的一些實施例中,記憶層114至少包含一個氧化矽(silicon oxide)層、氮化矽(silicon nitride)層和氧化矽層的複合層(即,ONO結構)。但記憶層114的結構並不以此為限。在本說明書的另一些實施例中,記憶層114的複合層還可以選自於由一矽氧化物-氮化矽-矽氧化物-氮化矽-矽氧化物(oxide-nitride-oxide-nitride-oxide,ONONO)結構、一矽-矽氧化物-氮化矽-矽氧化物-矽(silicon-oxide-nitride-oxide-silicon,SONOS)結構、一能隙工程矽-矽氧化物-氮化矽-矽氧化物-矽(bandgap engineered silicon-oxide-nitride-oxide-silicon,BE-SONOS)結構、一氮化鉭-氧化鋁-氮化矽-矽氧化物-矽(tantalum nitride,aluminum oxide,silicon nitride,silicon oxide,silicon,TANOS)結構以及一金屬高介電係數能隙工程矽-矽氧化物-氮化矽-矽氧化物-矽(metal-high-k bandgap-engineered silicon-oxide-nitride-oxide-silicon,MA BE-SONOS)結構所組成之一族群。構成通道層124的材質可以包括半導體材質(例如多晶矽)、金屬矽化物(silicides)(例如,矽化鈦(TiSi)、矽化鈷(CoSi)或矽鍺(SiGe))、氧化物半導體(oxide semiconductors)(例如氧化銦鋅(InZnO)或氧化銦鎵鋅 (InGaZnO))或兩種或多種上述材質之組合物。在本實施例中,記憶層114可以是ONO複合層,通道層124可以是一個多晶矽層。 In some embodiments of this specification, the memory layer 114 includes at least one composite layer of a silicon oxide layer, a silicon nitride layer, and a silicon oxide layer (ie, an ONO structure). However, the structure of the memory layer 114 is not limited to this. In some other embodiments of this specification, the composite layer of the memory layer 114 can also be selected from a silicon oxide-silicon nitride-silicon oxide-silicon nitride-silicon oxide (oxide-nitride-oxide-nitride -oxide, ONONO) structure, a silicon-oxide-nitride-oxide-silicon (SONOS) structure, a band gap engineering silicon-silicon oxide-nitride Silicon-silicon oxide-silicon (bandgap engineered silicon-oxide-nitride-oxide-silicon, BE-SONOS) structure, tantalum nitride-aluminum oxide-silicon (tantalum nitride, aluminum oxide, silicon nitride, silicon oxide, silicon, TANOS) structure and a metal-high-k bandgap-engineered silicon-oxide-nitride (metal-high-k bandgap-engineered silicon-oxide-nitride) -Oxide-silicon, MA BE-SONOS) structure is a group. The material constituting the channel layer 124 may include semiconductor materials (for example, polysilicon), metal silicides (for example, titanium silicide (TiSi), cobalt silicide (CoSi) or silicon germanium (SiGe)), oxide semiconductors (oxide semiconductors) (E.g. indium zinc oxide (InZnO) or indium gallium zinc oxide (InGaZnO)) or a combination of two or more of the above materials. In this embodiment, the memory layer 114 may be an ONO composite layer, and the channel layer 124 may be a polysilicon layer.

然後,以位於多層堆疊結構110頂面110a的圖案化硬罩幕層150為停止層,進行平坦化製程(例如化學機械研磨(Chemical-Mechanical Polishing,CMP)),以移除位於多層堆疊結構110之頂面110a上方的一部分記憶層114和一部分通道層124。藉以在每一個導電層120與記憶層114和通道層124的剩餘部分的複數個交叉點上,分別形成複數個記憶胞140;並在底部閘極層122與記憶層114和通道層124的複數個交叉點上,分別形成至少一個穿隧式場效電晶體(tunnel field-effect transistor)開關141。其中,位於每一個O形開口103中的多個記憶胞140和穿隧式電晶體開關141,可以藉由對應的通道層124,串接成一條閘極圍繞(Gate-all-around,GAA)式記憶胞串列144。 Then, using the patterned hard mask layer 150 on the top surface 110a of the multilayer stack structure 110 as a stop layer, a planarization process (such as Chemical-Mechanical Polishing (CMP)) is performed to remove the patterned hard mask layer 150 on the multilayer stack structure 110. A part of the memory layer 114 and a part of the channel layer 124 above the top surface 110a. Thereby, a plurality of memory cells 140 are formed at the plurality of intersections of each conductive layer 120, the memory layer 114 and the remaining part of the channel layer 124; and on the bottom gate layer 122, the memory layer 114 and the channel layer 124 At each intersection, at least one tunnel field-effect transistor switch 141 is formed. Among them, a plurality of memory cells 140 and tunneling transistor switches 141 located in each O-shaped opening 103 can be connected in series to form a gate-all-around (GAA) through the corresponding channel layer 124式Memory cell series 144.

再於O形開口103中填充介電材質以形成介電柱狀體105。本說明書的一些實施例中,介電柱狀體105的形成可以包括下述步驟:首先,在多層堆疊結構110上沉積絕緣材料,例如矽氧化物,並填滿每一個O形開口103。之後,以圖案化硬罩幕層150為停止層,進行平坦化步驟,例如化學機械研磨,移除位於多層堆疊結構110之頂面110a上方的一部分絕緣材料,在每一個O形開口103之中,形成具有平行多層堆疊結構110之頂面110a之O形剖面輪廓的介電柱狀體105(如第3B圖所繪示)。 The O-shaped opening 103 is filled with a dielectric material to form a dielectric column 105. In some embodiments of this specification, the formation of the dielectric column 105 may include the following steps: first, an insulating material, such as silicon oxide, is deposited on the multilayer stack structure 110 and each O-shaped opening 103 is filled. After that, using the patterned hard mask layer 150 as a stop layer, a planarization step, such as chemical mechanical polishing, is performed to remove a part of the insulating material above the top surface 110a of the multilayer stack structure 110, in each O-shaped opening 103 , Forming a dielectric column 105 having an O-shaped cross-sectional profile of the top surface 110a of the parallel multilayer stack structure 110 (as shown in FIG. 3B).

然後,在多層堆疊結構110之頂面110a上形成複數個開關元件。進行回蝕製程,經由每一個O形開口移除位於介電柱狀體105頂部的一部份介電材質,以使介電柱狀體105的頂面105a與多層堆疊結構110之頂面110a之間具有一高度落差(距離)H1,並將一部份的通道層124暴露於外。請參照第4A圖和第4B圖,第4A圖係繪示對第3A圖所繪示的結構進行回蝕製程之後的結構上視圖;第4B圖係沿著第4A圖所繪示的切線S4所繪示的結構剖面圖。 Then, a plurality of switching elements are formed on the top surface 110a of the multilayer structure 110. Perform an etch-back process to remove a portion of the dielectric material on the top of the dielectric column 105 through each O-shaped opening, so that the top surface 105a of the dielectric column 105 and the top surface 110a of the multilayer structure 110 There is a height difference (distance) H1, and a part of the channel layer 124 is exposed to the outside. Please refer to FIGS. 4A and 4B. FIG. 4A shows the top view of the structure after the etch-back process is performed on the structure shown in FIG. 3A; FIG. 4B is along the tangent line S4 shown in FIG. 4A. A cross-sectional view of the structure shown.

接著,於每一個O形開口103中的介電柱狀體105上方形成落著接觸墊106。請參照第5A圖和第5B圖,第5A圖係繪示在第4A圖所示之結構上形成複數個落著接觸墊106之後的結構上視圖;第5B圖係沿著第5A圖的切線S5所繪示的結構剖面圖。在本說明書的一些實施例中,落著接觸墊106的形成,包括下述步驟:先採用沉積製程,例如低壓化學氣相沉積製程,在多層堆疊結構110之頂面110a上形成導電材料,使以導電材料自對準(self-align)的方式,填滿每一個O形開口103中,並與暴露於外的一部份通道層124電性接觸。之後,再以圖案化硬罩幕層150為停止層,進行平坦化製程(例如,化學機械研磨製程),以移除位於多層堆疊結構110之頂面110a上的導電材料。其中,構成落著接觸墊106的導電材料,可以是金屬材料(例如,金、銅、鋁、鎢或上述合金)、半導體材料(例如,摻雜或無摻雜的多晶或單晶矽/鍺)或其他合適的材料。在本實施例中,還可對落著接觸墊106進 行一離子植入製程,將n型摻質(以N+表示),例如磷(P)或砷(As)驅入落著接觸墊106中。 Then, a contact pad 106 is formed above the dielectric column 105 in each O-shaped opening 103. Please refer to Figures 5A and 5B. Figure 5A shows a top view of the structure after forming a plurality of contact pads 106 on the structure shown in Figure 4A; Figure 5B is along the tangent line of Figure 5A The cross-sectional view of the structure shown in S5. In some embodiments of this specification, the formation of the landing contact pad 106 includes the following steps: first, a deposition process, such as a low-pressure chemical vapor deposition process, is used to form a conductive material on the top surface 110a of the multilayer stack structure 110, so that The conductive material is self-aligned to fill each O-shaped opening 103 and electrically contact a portion of the channel layer 124 exposed to the outside. After that, using the patterned hard mask layer 150 as a stop layer, a planarization process (for example, a chemical mechanical polishing process) is performed to remove the conductive material on the top surface 110a of the multilayer stack structure 110. Wherein, the conductive material constituting the contact pad 106 may be a metal material (for example, gold, copper, aluminum, tungsten or the above alloy), a semiconductor material (for example, doped or undoped polycrystalline or single crystal silicon/ Germanium) or other suitable materials. In this embodiment, the landing contact pad 106 can also be adjusted. An ion implantation process is performed to drive n-type dopants (indicated by N+), such as phosphorus (P) or arsenic (As), into the landing contact pad 106.

在多層堆疊結構110上方依序形成一個介電保護層125、一個閘極材料層126和一個介電覆蓋層127,覆蓋於落著接觸墊106和圖案化硬罩幕層150上。請參照第6A圖和第6B圖,第6A圖係繪示在第5A圖所示之結構上形成介電保護層125、閘極材料層126和介電覆蓋層127之後的結構上視圖;第6B圖係沿著第6A圖的切線S6所繪示的結構剖面圖。在本說明書的一些實施例中,構成介電保護層125的材料可以是矽氧化物;閘極材料層126可以包括多晶矽;構成介電覆蓋層127的材料可以與構成介電保護層125的材料相同。 A dielectric protection layer 125, a gate material layer 126 and a dielectric cover layer 127 are sequentially formed on the multilayer stack structure 110, covering the landing contact pad 106 and the patterned hard mask layer 150. Please refer to FIGS. 6A and 6B. FIG. 6A shows a top view of the structure after the dielectric protection layer 125, the gate material layer 126 and the dielectric cover layer 127 are formed on the structure shown in FIG. 5A; FIG. 6B is a cross-sectional view of the structure along the line S6 of FIG. 6A. In some embodiments of this specification, the material constituting the dielectric protection layer 125 may be silicon oxide; the gate material layer 126 may include polysilicon; the material constituting the dielectric cover layer 127 may be the same as the material constituting the dielectric protection layer 125 the same.

之後,以介電保護層125作為蝕刻停止層,進行一蝕刻製程,移除一部份的介電覆蓋層127和一部份的閘極材料層126,以形成複數個貫穿孔,例如貫穿孔128A和128B,分別與對應的O形開口103部分重疊。請參照第7A圖和第7B圖,第7A圖係繪示在第6A圖所示之結構上形成貫穿孔128A和128B之後的結構上視圖;第7B圖係沿著第7A圖的切線S7所繪示的結構剖面圖。在本說明書的一些實施例中,每一個O形開口103分別與二貫穿孔128A和128B對應。例如,在本實施例中,貫穿孔128A和128B分別與O形開口103的橢圓形剖面輪廓之長軸兩端重疊。 Afterwards, using the dielectric protection layer 125 as an etching stop layer, an etching process is performed to remove a part of the dielectric covering layer 127 and a part of the gate material layer 126 to form a plurality of through holes, such as through holes 128A and 128B respectively partially overlap the corresponding O-shaped opening 103. Please refer to Figures 7A and 7B. Figure 7A shows a top view of the structure after forming through holes 128A and 128B on the structure shown in Figure 6A; Figure 7B is along the tangent line S7 of Figure 7A The structural cross-sectional view shown. In some embodiments of this specification, each O-shaped opening 103 corresponds to two through holes 128A and 128B, respectively. For example, in this embodiment, the through holes 128A and 128B overlap with the two ends of the major axis of the oval cross-sectional profile of the O-shaped opening 103, respectively.

接著,在每一個貫穿孔128A和128B的側壁上形成一個閘介電層129。請參照第8A圖和第8B圖,第8A圖係繪示在第 7A圖所示之結構上形成閘介電層129之後的結構上視圖;第8B圖係沿著第8A圖的切線S8所繪示的結構剖面圖。在本說明書的一些實施例中,閘介電層129係藉由熱氧化製程,將經由貫穿孔128A和128B暴露於外的一部分閘極材料層126氧化,以形成具有環型輪廓的閘介電層129。 Next, a gate dielectric layer 129 is formed on the sidewall of each through hole 128A and 128B. Please refer to Figure 8A and Figure 8B, Figure 8A is shown in Figure 8A The top view of the structure after the gate dielectric layer 129 is formed on the structure shown in FIG. 7A; FIG. 8B is a cross-sectional view of the structure drawn along the line S8 of FIG. 8A. In some embodiments of this specification, the gate dielectric layer 129 is oxidized by a thermal oxidation process to oxidize a portion of the gate material layer 126 exposed to the outside through the through holes 128A and 128B to form a gate dielectric with a ring profile. Layer 129.

再進行一次回蝕製程,經由貫穿孔128A和128B將一部份介電保護層125移除,以暴露出一部分的落著接觸墊106。請參照第9A圖和第9B圖,第9A圖係繪示在第8A圖之結構中移除一部份介電保護層125之後的結構上視圖;第9B圖係沿著第9A圖的切線S9所繪示的結構剖面圖。在本說明書的一些實施例中,為了保護閘介電層129,在進行回蝕製程之前,可以在貫穿孔128A和128B的側壁上形成一個導電薄膜131,例如多晶矽薄膜,以覆蓋閘介電層129,並將後續將會被回蝕製程所移除的一部份介電保護層125經由貫穿孔128A和128B暴露於外。 An etch-back process is performed again, and a part of the dielectric protection layer 125 is removed through the through holes 128A and 128B to expose a part of the falling contact pad 106. Please refer to Figures 9A and 9B. Figure 9A shows a top view of the structure after removing a part of the dielectric protection layer 125 in the structure of Figure 8A; Figure 9B is along the tangent line of Figure 9A The cross-sectional view of the structure shown in S9. In some embodiments of this specification, in order to protect the gate dielectric layer 129, before performing the etch-back process, a conductive film 131, such as a polysilicon film, may be formed on the sidewalls of the through holes 128A and 128B to cover the gate dielectric layer. 129, and expose a part of the dielectric protection layer 125 to be removed by the subsequent etch-back process through the through holes 128A and 128B.

後續以一通道材料,例如半導體材質(例如多晶矽)、金屬矽化物(例如,矽化鈦、矽化鈷或矽鍺)、氧化物半導體(例如氧化銦鋅或氧化銦鎵鋅)或兩種或多種上述材質之組合物,來填充貫穿孔128A和128B,以形成複數個通道插塞132。請參照第10A圖和第10B圖,第10A圖係繪示在第9A圖之結構中形成複數個通道插塞132之後的結構上視圖;第10B圖係沿著第10A圖的切線S10所繪示的結構剖面圖。在本說明書的一些實施例中,形成 複數個通道插塞132之後,還可以藉由離子植入製程,將n型摻質(以N+表示),例如磷或砷驅入通道插塞132的頂部。 Subsequent to a channel material, such as semiconductor material (such as polysilicon), metal silicide (such as titanium silicide, cobalt silicide or silicon germanium), oxide semiconductor (such as indium zinc oxide or indium gallium zinc oxide) or two or more of the above The combination of materials fills the through holes 128A and 128B to form a plurality of channel plugs 132. Please refer to Figures 10A and 10B, Figure 10A is a top view of the structure after forming a plurality of channel plugs 132 in the structure of Figure 9A; Figure 10B is drawn along the tangent line S10 of Figure 10A The structure section shown. In some embodiments of this specification, the formation After a plurality of channel plugs 132, n-type dopants (indicated by N+), such as phosphorus or arsenic, can be driven into the top of the channel plugs 132 by an ion implantation process.

每一個通道插塞132與對應的落著接觸墊106、介電保護層125、閘極材料層126、閘介電層129和通道插塞132可形成一個金屬-氧化物-半導體電晶體(Metal-Oxide-Semiconductor Transistor,MOS Transistor)開關元件,例如形成於貫穿孔128A(或貫穿孔128B)中的金屬-氧化物-半導體電晶體開關元件147A(金屬-氧化物-半導體電晶體開關元件147B)。其中,通道插塞132與介電覆蓋層127重疊的部分和落著接觸墊106,可分別作為金屬-氧化物-半導體電晶體開關元件147A(金屬-氧化物-半導體電晶體開關元件147B)的源極/汲極;通道插塞132與閘介電層129和介電保護層125重疊的部分可分別作為金屬-氧化物-半導體電晶體開關元件147A(金屬-氧化物-半導體電晶體開關元件147B)的通道區;環繞通道插塞132閘極材料層126,可以作為金屬-氧化物-半導體電晶體開關元件147A(金屬-氧化物-半導體電晶體開關元件147B)的閘極。 Each channel plug 132 and the corresponding landing contact pad 106, the dielectric protection layer 125, the gate material layer 126, the gate dielectric layer 129 and the channel plug 132 can form a metal-oxide-semiconductor transistor (Metal -Oxide-Semiconductor Transistor, MOS Transistor) switching element, such as a metal-oxide-semiconductor transistor switching element 147A (metal-oxide-semiconductor transistor switching element 147B) formed in the through hole 128A (or through hole 128B) . Among them, the portion where the channel plug 132 overlaps the dielectric cover layer 127 and the contact pad 106 can be used as the metal-oxide-semiconductor transistor switching element 147A (metal-oxide-semiconductor transistor switching element 147B), respectively Source/drain; the overlapped portion of the channel plug 132, the gate dielectric layer 129 and the dielectric protection layer 125 can be used as a metal-oxide-semiconductor transistor switching element 147A (metal-oxide-semiconductor transistor switching element 147B) the channel region; the gate material layer 126 surrounding the channel plug 132 can be used as the gate of the metal-oxide-semiconductor transistor switching element 147A (metal-oxide-semiconductor transistor switching element 147B).

然後,以蝕刻製程在多層堆疊結構110中形成複數條溝槽108(grooves),使每一條溝槽108對應一個O形開口103。請參照第11A圖和第11B圖,第11A圖係繪示在第10A圖所示之結構上形成複數條溝槽108之後的結構透視圖;第11B圖係沿著第11A圖的切線S11所繪示的結構剖面圖。 Then, a plurality of grooves 108 (grooves) are formed in the multilayer stack structure 110 by an etching process, so that each groove 108 corresponds to an O-shaped opening 103. Please refer to Figures 11A and 11B, Figure 11A shows a perspective view of the structure after forming a plurality of grooves 108 on the structure shown in Figure 10A; Figure 11B is taken along the line S11 of Figure 11A The structural cross-sectional view shown.

在本說明書的一些實施例中,每一條溝槽108一方面由介電覆蓋層127沿著Z軸方向向下延伸,穿過與對應的O形開口103重疊的一部分介電覆蓋層127、一部分閘極材料層126和一部分介電保護層125,並穿過位於對應的O形開口103中的落著接觸墊106和介電柱狀體105。另一方面,沿著X軸方向(垂直堆疊方向)延伸超過對應O形開口103的兩側側壁103b,並穿過位於O形開口103相對的兩側側壁103b上的一部分記憶層114、一部分的通道層124,延伸進入多層堆疊結構110以及未與O形開口103重疊的一部分閘極材料層126和介電保護層125。 In some embodiments of the present specification, each trench 108 extends downward along the Z-axis direction from the dielectric cover layer 127 on the one hand, and passes through a portion of the dielectric cover layer 127 and a portion that overlap the corresponding O-shaped opening 103. The gate material layer 126 and a part of the dielectric protection layer 125 pass through the landing contact pad 106 and the dielectric pillar 105 in the corresponding O-shaped opening 103. On the other hand, it extends along the X-axis direction (vertical stacking direction) beyond the sidewalls 103b on both sides of the corresponding O-shaped opening 103, and passes through a portion of the memory layer 114 and a portion of the sidewalls 103b on the opposite sidewalls 103b of the O-shaped opening 103. The channel layer 124 extends into the multilayer stack structure 110 and a portion of the gate material layer 126 and the dielectric protection layer 125 that are not overlapped with the O-shaped opening 103.

在本實施例中,每一條溝槽108沿著Z方向延伸的深度不會超過介電柱狀體105的底部105b,而未將位於O形開口103底部103a的一部份記憶層114和一部份通道層124斷開。每一條溝槽108沿著X軸橫向延伸的部分,超出O形開口103的側壁103b,並且穿過記憶層114和通道層124的相對兩側,而將位於O形開口103側壁103b上的一部份記憶層114和一部份通道層124斷開;同時將與O形開口103重疊的一部分閘極材料層126以及位於O形開口103中的落著接觸墊106斷開,並且分別將其分隔成兩個部分。從而使原本電性連接的金屬-氧化物-半導體電晶體開關元件147A和147B藉由對應的溝槽108彼此電性隔離。 In this embodiment, the depth of each trench 108 extending along the Z direction does not exceed the bottom 105b of the dielectric column 105, and a portion of the memory layer 114 and a portion located at the bottom 103a of the O-shaped opening 103 The channel layer 124 is disconnected. The portion of each trench 108 that extends laterally along the X-axis extends beyond the sidewall 103b of the O-shaped opening 103, and passes through opposite sides of the memory layer 114 and the channel layer 124, and will be located on a sidewall 103b of the O-shaped opening 103 A part of the memory layer 114 and a part of the channel layer 124 are disconnected; at the same time, a part of the gate material layer 126 overlapping with the O-shaped opening 103 and the landing contact pad 106 in the O-shaped opening 103 are disconnected, and they are respectively separated Divided into two parts. Thus, the metal-oxide-semiconductor transistor switching elements 147A and 147B that are originally electrically connected are electrically isolated from each other by the corresponding trench 108.

由於,位於每一個O形開口103之側壁103b上的一部份記憶層114和一部份通道層124係毯附於O形開口103之側壁103b,因此記憶層114和一部份通道層124都具有平行多層堆疊結 構110之頂面110a的一個O形剖面輪廓。當對應的溝槽108延伸超過O形開口103開時,溝槽108會將記憶層114通道層124的O形剖面輪廓斷開,形成兩個平行多層堆疊結構110之頂面110a的U形剖面輪廓U1(如第11A圖所繪示)。又由於溝槽108並未截斷位於O形開口103底部103a的一部份通道層124。因此,通道層124可以具有垂直X軸方向(垂直堆疊方向)的U形剖面輪廓U2(如第11B圖所繪示)。 Since a part of the memory layer 114 and a part of the channel layer 124 on the side wall 103b of each O-shaped opening 103 are attached to the side wall 103b of the O-shaped opening 103, the memory layer 114 and a part of the channel layer 124 Have parallel multi-layer stacked junctions An O-shaped cross-sectional profile of the top surface 110a of the structure 110. When the corresponding groove 108 extends beyond the O-shaped opening 103, the groove 108 will break the O-shaped profile of the channel layer 124 of the memory layer 114, forming a U-shaped cross section of the top surface 110a of the two parallel multilayer stack structures 110 Outline U1 (as shown in Figure 11A). Moreover, the trench 108 does not cut off a part of the channel layer 124 at the bottom 103a of the O-shaped opening 103. Therefore, the channel layer 124 may have a U-shaped cross-sectional profile U2 (as shown in FIG. 11B) perpendicular to the X-axis direction (vertical stacking direction).

在本說明書的一些實施例中,每一條溝槽108可以將應O形開口103中的閘極圍繞式記憶胞串列144切割成藉由通道層124相連的二條子記憶胞串列。其中,閘極圍繞式記憶胞串列144中的每一個記憶胞140(具有O形剖面輪廓的通道層),被切割成二個具有U形剖面輪廓之通道層的記憶胞145;記憶胞串列144中穿隧式電晶體開關141,被切割成二個穿隧式電晶體開關141a和141b。位於同一側的多個記憶胞145和穿隧式電晶體開關(例如,穿隧式電晶體開關141a),藉由位於O形開口103之同一側側壁103b上的一部分通道層124串聯,構成一條子記憶胞串列;並藉由位於O形開口103之底部103a的一部分通道層124,將此二條子記憶胞串列連接成一條U形記憶胞串列146。使每一條U形記憶胞串列146的記憶胞145數量,為閘極圍繞式記憶胞串列144之記憶胞140數量的兩倍。在本實施例中,穿隧式電晶體開關141a和141b可以作為U形記憶胞串列146的反轉輔助閘極(Inversion assist Gate,IG)。 In some embodiments of this specification, each trench 108 can cut the gate-surrounded memory cell string 144 in the O-shaped opening 103 into two sub-memory cell strings connected by the channel layer 124. Among them, each memory cell 140 (a channel layer with an O-shaped cross-sectional profile) in the gate-surrounded memory cell string 144 is cut into two memory cells 145 with a U-shaped cross-sectional profile of the channel layer; The tunneling transistor switch 141 in the column 144 is cut into two tunneling transistor switches 141a and 141b. A plurality of memory cells 145 and a tunneling transistor switch (for example, a tunneling transistor switch 141a) located on the same side are connected in series by a part of the channel layer 124 located on the same side wall 103b of the O-shaped opening 103 to form a channel A series of sub-memory cells; and through a part of the channel layer 124 located at the bottom 103a of the O-shaped opening 103, the two sub-memory cell series are connected into a series of U-shaped memory cells 146. The number of memory cells 145 in each U-shaped memory cell string 146 is twice the number of memory cells 140 in the gate-surrounded memory cell string 144. In this embodiment, the tunneling transistor switches 141a and 141b can be used as inversion assist gates (IG) of the U-shaped memory cell series 146.

後續,以絕緣材料109填充溝槽108。再經由一連串後段製程,形成複數個內連線結構,分別將每一個金屬-氧化物-半導體電晶體開關元件147A和147B分別連接至對應的位元線和對應的共同源極線,以形成如第12A圖和第12B圖所繪示之立體記憶體元件100。例如在本實施例中,位於每一條U形記憶胞串列146的一端的金屬-氧化物-半導體電晶體開關元件147A經由內連線結構112A連接至位元線BL;位於每一條U形記憶胞串列146的一端的金屬-氧化物-半導體電晶體開關元件147B經由內連線結構112A連接至共同源極線CS。其中,金屬-氧化物-半導體電晶體開關元件147A可以作為U形記憶胞串列146的串列選擇開關;金屬-氧化物-半導體電晶體開關元件147B可以作為U形記憶胞串列146的接地選擇開關。 Subsequently, the trench 108 is filled with an insulating material 109. After a series of subsequent processes, a plurality of interconnection structures are formed, and each metal-oxide-semiconductor transistor switching element 147A and 147B is respectively connected to the corresponding bit line and the corresponding common source line to form such The three-dimensional memory device 100 is shown in FIGS. 12A and 12B. For example, in this embodiment, the metal-oxide-semiconductor transistor switching element 147A located at one end of each U-shaped memory cell string 146 is connected to the bit line BL through the interconnect structure 112A; The metal-oxide-semiconductor transistor switching element 147B at one end of the cell string 146 is connected to the common source line CS via the interconnect structure 112A. Among them, the metal-oxide-semiconductor transistor switching element 147A can be used as the serial selection switch of the U-shaped memory cell series 146; the metal-oxide-semiconductor transistor switching element 147B can be used as the grounding of the U-shaped memory cell series 146 switch.

由於,立體記憶體元件100的U形記憶胞串列146係採用的金屬-氧化物-半導體電晶體開關元件147A和147B來做為串列選擇開關/接地選擇開關,因此,不需要採用帶對帶穿隧產生閘極導致汲極漏電電流的方式來對記憶胞串列進行抹除操作。可以避免使用電荷捕捉式薄膜電晶體作為串列選擇開關/接地選擇開關,因電洞注入閘極氧化層,引起電荷累積,導致串列選擇開關/接地選擇開關在寫入操作時無法正常開啟而失效的問題。 Since the U-shaped memory cell series 146 of the three-dimensional memory device 100 uses the metal-oxide-semiconductor transistor switching elements 147A and 147B as the series selection switch/ground selection switch, there is no need to use a pair of Band tunneling generates gate and drain leakage current to erase the memory cell string. It can avoid the use of charge trapping thin film transistors as the tandem selector switch/grounding selector switch, because holes are injected into the gate oxide layer, causing charge accumulation, causing the tandem selector switch/grounding selector switch to fail to open normally during write operations. The problem of failure.

然而,採用的金屬-氧化物-半導體電晶體開關元件來做為串列選擇開關/接地選擇開關的立體記憶體元件並不以此為限。例如,請參照第13圖,第13圖係根據本說明書的另一實施 例所繪示的一種立體記憶體元件200的結構剖面圖。立體記憶體元件200與第12B圖所繪示的立體記憶體元件100結構類似,差別在於,立體記憶體元件200不具有埋藏氧化層102,且立體記憶體元件200的多層堆疊結構110下方,還包括一個源極導體層201和複數個介層插塞202。其中,源極導體層201可以是位於基材101之中,與通道層接觸124的一個摻雜區;介層插塞202穿過多層堆疊結構110,而將源極導體層201連接至共同源極線CS。 However, the metal-oxide-semiconductor transistor switch element used as the three-dimensional memory element of the series selection switch/ground selection switch is not limited to this. For example, please refer to Figure 13, which is another implementation according to this specification The example shows a cross-sectional view of a three-dimensional memory device 200 structure. The three-dimensional memory device 200 has a similar structure to the three-dimensional memory device 100 depicted in FIG. 12B, except that the three-dimensional memory device 200 does not have a buried oxide layer 102, and the three-dimensional memory device 200 has a multilayer stacked structure 110 underneath it. It includes a source conductor layer 201 and a plurality of via plugs 202. Wherein, the source conductor layer 201 may be a doped region located in the substrate 101 and in contact with the channel layer 124; the via plug 202 penetrates through the multilayer stack structure 110 to connect the source conductor layer 201 to the common source Polar CS.

在本實施例中,位於同一側的多個記憶胞145和穿隧式電晶體開關241a(或穿隧式電晶體開關241b),可以藉由位於同一側的一部分通道層242串聯,而構成一條獨立獨記憶胞串列246A(或記憶胞串列246B)。其中,金屬-氧化物-半導體電晶體開關元件147A和147B可以分別作為記憶胞串列246A和246B的串列選擇開關,並且分別經由內連線結構212A和212B連接至對應的位元線BL。穿隧式電晶體開關241a和241b可以分別作為記憶胞串列246A和246B的接地選擇開關,並且分別經由源極導體層201和對應的介層插塞202和內連線結構212C連接至共同源極線CS。 In this embodiment, the multiple memory cells 145 and the tunneling transistor switch 241a (or the tunneling transistor switch 241b) located on the same side can be connected in series by a part of the channel layer 242 located on the same side to form one Independent memory cell series 246A (or memory cell series 246B). Among them, the metal-oxide-semiconductor transistor switching elements 147A and 147B can be used as series selection switches of the memory cell series 246A and 246B, respectively, and are respectively connected to the corresponding bit line BL via interconnection structures 212A and 212B. The tunneling transistor switches 241a and 241b can be used as the ground selection switches of the memory cell series 246A and 246B, respectively, and are respectively connected to a common source via the source conductor layer 201 and the corresponding via plug 202 and interconnection structure 212C. Polar CS.

請參照第14圖,第14圖係根據本說明書的又一實施例所繪示的一種立體記憶體元件300的結構剖面圖。立體記憶體元件300與第13圖所繪示的立體記憶體元件200結構類似,差別在於,立體記憶體元件300並未採用溝槽108,將閘極圍繞式記憶胞串列144中的每一個記憶胞140切割成二個具有U形通道輪廓的記 憶胞;並將記憶胞串列144中的穿隧式電晶體開關141切割成二個穿隧式電晶體開關。立體記憶體元件300的每一條閘極圍繞式記憶胞串列144,包括單一個用來作為串列選擇開關的金屬-氧化物-半導體電晶體開關元件347,形成在並且分別經由內連線結構312A連接至對應的位元線BL。立體記憶體元件300的穿隧式電晶體開關141,則用來作為記憶胞串列144的接地選擇開關,並且經由源極導體層201和對應的介層插塞202和內連線結構312B連接至共同源極線CS。 Please refer to FIG. 14, which is a cross-sectional view of a three-dimensional memory device 300 according to another embodiment of the present specification. The structure of the three-dimensional memory device 300 is similar to that of the three-dimensional memory device 200 shown in FIG. 13, except that the three-dimensional memory device 300 does not use the groove 108 to surround each of the gate-surrounded memory cell series 144 The memory cell 140 is cut into two memory cells with a U-shaped channel outline. Memory cell; and the tunneling transistor switch 141 in the memory cell series 144 is cut into two tunneling transistor switches. Each gate-surrounded memory cell series 144 of the three-dimensional memory element 300 includes a single metal-oxide-semiconductor transistor switch element 347 used as a series selection switch, which is formed in and respectively via an interconnect structure 312A is connected to the corresponding bit line BL. The tunnel transistor switch 141 of the three-dimensional memory device 300 is used as the ground selection switch of the memory cell series 144 and is connected via the source conductor layer 201 and the corresponding via plug 202 and the interconnect structure 312B To the common source line CS.

根據上述實施例,本說明書是揭露一種立體記憶體元件及其製作方法。其係採用不具有介電電荷捕捉結構之閘極介電層的開關元件,來作為立體記憶體元件中記憶胞串列的串列選擇開關/接地選擇開關。因此,不需要採用帶對帶穿隧產生閘極導致汲極漏電電流的方式來對記憶胞串列進行抹除操作。可以避免使用電荷捕捉式薄膜電晶體作為串列選擇開關/接地選擇開關,因電洞注入閘極氧化層,引起電荷累積,導致串列選擇開關/接地選擇開關在寫入操作時無法正常開啟而失效的問題。 According to the above-mentioned embodiments, this specification discloses a three-dimensional memory device and a manufacturing method thereof. It uses a switch element without a gate dielectric layer of a dielectric charge trapping structure as a series selection switch/ground selection switch for the series of memory cells in the three-dimensional memory element. Therefore, there is no need to erase the memory cell string in a manner in which the band-to-band tunneling generates a gate and causes a drain leakage current. It can avoid the use of charge trapping thin film transistors as the tandem selector switch/grounding selector switch, because holes are injected into the gate oxide layer, causing charge accumulation, causing the tandem selector switch/grounding selector switch to fail to open normally during write operations. The problem of failure.

在本說明書的一些實施例中,此種結構可以應用於閘極圍繞式記憶胞串列的立體記憶體元件、包含單閘極垂通道記憶胞串列的立體記憶體元件、具有U形垂直通道結構之記憶胞串列的立體記憶體元件、具有圓柱形通道結構之記憶胞串列的立體記憶體元件或具有半圓柱形通道結構之記憶胞串列的立體記憶體元件。 In some embodiments of this specification, this structure can be applied to three-dimensional memory devices with gate-surrounded memory cell strings, three-dimensional memory devices including single-gate vertical channel memory cell strings, and U-shaped vertical channels. A three-dimensional memory device with a series of memory cells of a structure, a three-dimensional memory device with a series of memory cells having a cylindrical channel structure, or a three-dimensional memory device with a series of memory cells having a semi-cylindrical channel structure.

雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何該技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。 Although the present invention has been disclosed in the preferred embodiment as above, it is not intended to limit the present invention. Anyone with ordinary knowledge in the technical field can make some changes and modifications without departing from the spirit and scope of the present invention. Therefore, the protection scope of the present invention shall be subject to those defined by the attached patent application scope.

100:立體記憶體元件 100: Three-dimensional memory device

101:基材 101: Substrate

102:埋藏氧化層 102: buried oxide layer

102a:埋藏氧化層的底部 102a: The bottom of the buried oxide layer

103:O形開口 103: O-shaped opening

103b:O形開口的側壁 103b: Side wall of O-shaped opening

103a:O形開口的底部 103a: The bottom of the O-shaped opening

105:介電柱狀體 105: Dielectric cylinder

105a:介電柱狀體的頂面 105a: The top surface of the dielectric cylinder

105b:介電柱狀體的底部 105b: The bottom of the dielectric column

109:絕緣材料 109: insulating material

110:多層堆疊結構 110: Multi-layer stacked structure

110a:多層堆疊結構的頂面 110a: The top surface of the multilayer stack structure

112A:內連線結 112A: internal connection

112B:內連線結構 112B: Internal connection structure

114:記憶層 114: memory layer

120:導電層 120: conductive layer

122:底部閘極層 122: bottom gate layer

122a:底部閘極層的底部 122a: the bottom of the bottom gate layer

124:通道層 124: Channel layer

125:介電保護層 125: Dielectric protective layer

126:閘極材料層 126: gate material layer

127:介電覆蓋層 127: Dielectric cover layer

129:閘介電層 129: Gate Dielectric Layer

130:絕緣層 130: insulating layer

132:通道插塞 132: channel plug

131:導電薄膜 131: conductive film

145:記憶胞 145: Memory Cell

141a、141b:穿隧式電晶體開關 141a, 141b: tunneling transistor switch

147A、147B:金屬-氧化物-半導體電晶體開關元件 147A, 147B: metal-oxide-semiconductor transistor switching element

150:圖案化硬罩幕層 150: Patterned hard mask layer

CS:共同源極線 CS: Common source line

Claims (10)

一種立體記憶體元件包括:一多層堆疊結構,包括複數個導電層、複數個絕緣層和至少一開口,該複數個絕緣層與該複數個導電層沿著一堆疊方向交錯堆疊,該至少一開口穿過該複數個導電層;一記憶層,位於該至少一開口中,並與該複數個導電層至少部分重疊;一通道層,位於該至少一開口中,並與該記憶層至少部分重疊;以及一開關元件,包括:一通道插塞,位於該多層堆疊結構上方,並與該通道層電性連接;一閘極介電層,環繞該通道插塞;以及一閘極,環繞該閘極介電層。 A three-dimensional memory device includes: a multi-layer stack structure including a plurality of conductive layers, a plurality of insulating layers and at least one opening, the plurality of insulating layers and the plurality of conductive layers are stacked alternately along a stacking direction, the at least one The opening passes through the plurality of conductive layers; a memory layer is located in the at least one opening and at least partially overlaps the plurality of conductive layers; a channel layer is located in the at least one opening and at least partially overlaps the memory layer And a switching element, including: a channel plug located above the multilayer stack structure and electrically connected to the channel layer; a gate dielectric layer surrounding the channel plug; and a gate electrode surrounding the gate Polar dielectric layer. 如申請專利範圍第1項所述之立體記憶體元件,其中該通道層具有一U形剖面輪廓,該U形剖面輪廓垂直該堆疊方向。 According to the three-dimensional memory device described in claim 1, wherein the channel layer has a U-shaped cross-sectional profile, and the U-shaped cross-sectional profile is perpendicular to the stacking direction. 如申請專利範圍第1項所述之立體記憶體元件,更包括:一落著接觸墊,位於該至少一開口之中,分別與該通道插塞和該通道層接觸,且與該閘極電性隔離。 The three-dimensional memory device described in item 1 of the scope of the patent application further includes: a drop contact pad located in the at least one opening, respectively in contact with the channel plug and the channel layer, and electrically connected to the gate Sexual isolation. 如申請專利範圍第1項所述之立體記憶體元件,更包括:一源極導體層,位於該多層堆疊結構下方,且與該通道層接觸;以及一介層插塞,穿過該多層堆疊結構,且與該源極導體層接觸。 The three-dimensional memory device described in claim 1 further includes: a source conductor layer located under the multilayer stack structure and in contact with the channel layer; and a via plug passing through the multilayer stack structure , And in contact with the source conductor layer. 如申請專利範圍第1項所述之立體記憶體元件,其中該閘極介電層不具有一介電電荷捕捉結構。 In the three-dimensional memory device described in claim 1, wherein the gate dielectric layer does not have a dielectric charge trapping structure. 一種立體記憶體元件的製作方法,包括:提供一多層堆疊結構,使該多層堆疊結構包括複數個導電層、複數個絕緣層和至少一開口,該複數個絕緣層與該複數個導電層沿著一堆疊方向交錯堆疊,該至少一開口穿過該複數個導電層;於該至少一開口中形成一記憶層,使該記憶層與該複數個導電層至少部分重疊;於該至少一開口中形成一通道層,使該通道層與該記憶層至少部分重疊;以及位於該多層堆疊結構上方形成一開關元件,使該開關元件包括:一通道插塞,與該通道層接觸; 一閘極介電層,環繞該通道插塞,且該閘極介電層不具有介電電荷捕捉結構;以及一閘極,環繞該閘極介電層。 A method for manufacturing a three-dimensional memory device includes: providing a multi-layer stack structure, the multi-layer stack structure includes a plurality of conductive layers, a plurality of insulating layers and at least one opening, the plurality of insulating layers and the plurality of conductive layers Stacked alternately in a stacking direction, the at least one opening passes through the plurality of conductive layers; a memory layer is formed in the at least one opening so that the memory layer and the plurality of conductive layers at least partially overlap; in the at least one opening Forming a channel layer so that the channel layer and the memory layer at least partially overlap; and forming a switching element above the multilayer stack structure so that the switching element includes: a channel plug in contact with the channel layer; A gate dielectric layer surrounds the channel plug, and the gate dielectric layer does not have a dielectric charge trapping structure; and a gate electrode surrounds the gate dielectric layer. 如申請專利範圍第6項所述之立體記憶體元件的製作方法,其中形成該開關元件的步驟,包括:以一介電材料填充該至少一開口,以形成一介電柱狀體;形成一落著接觸墊,位於該介電柱狀體上方,且與該通道層接觸;形成一介電保護層,覆蓋於該落著接觸墊與該多層堆疊結構;形成一閘極材料層,覆蓋於該介電保護層;形成一貫穿孔,穿過該閘極材料層;於該貫穿孔的一側壁上形成一閘介電層;經由該貫穿孔移除一部份該介電保護層,以暴露一部份該落著接觸墊;以及以一通道材料填充該貫穿孔,形成該通道插塞。 According to the method of manufacturing a three-dimensional memory device described in claim 6, wherein the step of forming the switching device includes: filling the at least one opening with a dielectric material to form a dielectric column; forming a drop A contact pad is located above the dielectric column and is in contact with the channel layer; a dielectric protection layer is formed to cover the landing contact pad and the multilayer stack structure; and a gate material layer is formed to cover the dielectric Protective layer; forming a through hole through the gate material layer; forming a gate dielectric layer on a sidewall of the through hole; removing a part of the dielectric protection layer through the through hole to expose a part The falling contact pad; and filling the through hole with a channel material to form the channel plug. 如申請專利範圍第7項所述之立體記憶體元件的製作方法,其中移除一部份該介電保護層之前,更包括於該貫穿孔的該側壁上形成一導電薄膜,以覆蓋該閘介電層。 The method for manufacturing a three-dimensional memory device as described in claim 7, wherein before removing a part of the dielectric protection layer, it further includes forming a conductive film on the sidewall of the through hole to cover the gate Dielectric layer. 如申請專利範圍第7項所述之立體記憶體元件的製作方法,更包括:形成一溝槽,沿著垂直該堆疊方向的一方向延伸,並超過該至少一開口,以穿過該記憶層和該通道層延伸進入一部份該多層堆疊結構之中;並且沿該堆疊方向穿過與該至少一開口對準的一部份該閘極材料層、一部份該介電保護層、該落著接觸墊和一部份該介電柱狀體,使該通道層具有垂直該堆疊方向的一U形剖面輪廓;以及以一絕緣材料填充該溝槽。 The method for fabricating a three-dimensional memory device as described in claim 7 further comprises: forming a groove extending along a direction perpendicular to the stacking direction and exceeding the at least one opening to pass through the memory layer And the channel layer extend into a part of the multilayer stack structure; and pass through a part of the gate material layer, a part of the dielectric protection layer, and the at least one opening aligned with the at least one opening along the stacking direction A contact pad and a part of the dielectric columnar body are dropped so that the channel layer has a U-shaped cross-sectional profile perpendicular to the stacking direction; and the trench is filled with an insulating material. 如申請專利範圍第9項所述之立體記憶體元件的製作方法,更包括形成一介層插塞,穿過該多層堆疊結構,並與該通道層接觸。 The method for fabricating a three-dimensional memory device as described in item 9 of the scope of patent application further includes forming a via plug, passing through the multilayer stack structure, and contacting the channel layer.
TW108116826A 2019-05-16 2019-05-16 Three dimensional memory device and method for fabricating the same TWI698985B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW108116826A TWI698985B (en) 2019-05-16 2019-05-16 Three dimensional memory device and method for fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW108116826A TWI698985B (en) 2019-05-16 2019-05-16 Three dimensional memory device and method for fabricating the same

Publications (2)

Publication Number Publication Date
TWI698985B true TWI698985B (en) 2020-07-11
TW202044556A TW202044556A (en) 2020-12-01

Family

ID=72602220

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108116826A TWI698985B (en) 2019-05-16 2019-05-16 Three dimensional memory device and method for fabricating the same

Country Status (1)

Country Link
TW (1) TWI698985B (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130009229A1 (en) * 2011-07-08 2013-01-10 SK Hynix Inc. Semiconductor device and method of manufacturing the same
TW201705451A (en) * 2015-07-24 2017-02-01 旺宏電子股份有限公司 Memory device and method for fabricating the same
TW201717360A (en) * 2015-11-12 2017-05-16 旺宏電子股份有限公司 Vertical channel structure
TW201836128A (en) * 2017-03-17 2018-10-01 旺宏電子股份有限公司 3d memory device with layered conductors
TW201904027A (en) * 2017-06-06 2019-01-16 旺宏電子股份有限公司 Memory device and method for fabricating the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130009229A1 (en) * 2011-07-08 2013-01-10 SK Hynix Inc. Semiconductor device and method of manufacturing the same
TW201705451A (en) * 2015-07-24 2017-02-01 旺宏電子股份有限公司 Memory device and method for fabricating the same
TW201717360A (en) * 2015-11-12 2017-05-16 旺宏電子股份有限公司 Vertical channel structure
TW201836128A (en) * 2017-03-17 2018-10-01 旺宏電子股份有限公司 3d memory device with layered conductors
TW201904027A (en) * 2017-06-06 2019-01-16 旺宏電子股份有限公司 Memory device and method for fabricating the same

Also Published As

Publication number Publication date
TW202044556A (en) 2020-12-01

Similar Documents

Publication Publication Date Title
US11211490B2 (en) FinFETs having step sided contact plugs and methods of manufacturing the same
KR100855990B1 (en) Non-volatile memory device and method of fabricating the same
CN110192269A (en) Three dimensional NAND memory part is integrated with multiple functional chips
TWI632645B (en) Three dimensional memory device and method for fabricating the same
KR20170036878A (en) Three dimensional semiconductor device
CN105742288B (en) The comb capacitor integrated with flash memory
JP6629142B2 (en) Semiconductor device and method of manufacturing the same
US10644017B2 (en) Semiconductor device and manufacturing method therefor
CN112534576A (en) Bottom select gate contact for center ladder structure in three-dimensional memory device
US20220392829A1 (en) Semiconductor devices including supporter
CN111048518A (en) Three-dimensional memory element and manufacturing method thereof
US7038267B2 (en) Non-volatile memory cell and manufacturing method thereof
KR20080048313A (en) Non-volatile memory device and method of fabricating the same
US11778823B2 (en) Three-dimensional memory device and method for manufacturing the same
TWI759015B (en) Three-dimensional memory device and method for manufacturing the same
TWI655750B (en) Memory device and method for fabricating the same
CN111354734B (en) Three-dimensional memory element and manufacturing method thereof
US20220343980A1 (en) Three-dimensional memory structure fabrication using channel replacement
TWI698985B (en) Three dimensional memory device and method for fabricating the same
TW202017113A (en) Three dimensional memory device and method for fabricating the same
TWI704680B (en) Three dimensional memory device and method for fabricating the same
TWI469361B (en) Semiconductor device and method of fabricating the same
US20200365612A1 (en) Three dimensional memory device and method for fabricating the same
CN108074932B (en) Semiconductor device, manufacturing method thereof and electronic device
US10868028B2 (en) Flash memory structure with reduced dimension of gate structure and methods of forming thereof