TWI676872B - 在處理工具中的線上測量(obm)設計與關聯 - Google Patents

在處理工具中的線上測量(obm)設計與關聯 Download PDF

Info

Publication number
TWI676872B
TWI676872B TW105135825A TW105135825A TWI676872B TW I676872 B TWI676872 B TW I676872B TW 105135825 A TW105135825 A TW 105135825A TW 105135825 A TW105135825 A TW 105135825A TW I676872 B TWI676872 B TW I676872B
Authority
TW
Taiwan
Prior art keywords
substrate
coupled
factory interface
measurement
group
Prior art date
Application number
TW105135825A
Other languages
English (en)
Other versions
TW201729018A (zh
Inventor
寇康C 保羅
Khokan C. PAUL
傑D 賓森二世
Jay D. Pinson Ii
君卡洛斯 羅莎亞凡利斯
Juan Carlos Rocha-Alvarez
哈利K 波奈康提
Hari K. Ponnekanti
盧盼卡爾 裘德胡瑞
Rupankar Choudhury
薛卡爾 亞坦尼
Shekhar ATHANI
桑迪普 庫姆派拉
Sandeep KUMPALA
哈尼許庫瑪 潘納瓦拉皮耶庫瑪藍庫提
Hanish Kumar PANAVALAPPIL KUMARANKUTTY
Original Assignee
美商應用材料股份有限公司
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司, Applied Materials, Inc. filed Critical 美商應用材料股份有限公司
Publication of TW201729018A publication Critical patent/TW201729018A/zh
Application granted granted Critical
Publication of TWI676872B publication Critical patent/TWI676872B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/04Programme control other than numerical control, i.e. in sequence controllers or logic controllers
    • G05B19/041Function-oriented details
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/401Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control arrangements for measuring, e.g. calibration and initialisation, measuring workpiece for machining purposes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67724Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations by means of a cart or a vehicule
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31459Library with metrology plan for different type of workpieces
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/40Robotics, robotics mapping to robotics vision
    • G05B2219/40066Stack and align identical layers, laminates, electronic substrate layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Human Computer Interaction (AREA)
  • Robotics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本揭示的實施方案大體而言係關於一種改良的工廠介面,該工廠介面耦接到設以量測基板的薄膜性質的線上測量殼體。在一個實施方案中,一種設備包含工廠介面以及測量殼體,該測量殼體通過裝載端口可移除地耦接到該工廠介面,該測量殼體包含線上測量組件,用於量測將被移入該測量殼體中的基板之性質。

Description

在處理工具中的線上測量(OBM)設計與關聯
本揭示的實施方案大體而言係關於處理工具中改良的工廠介面。
電漿處理(例如電漿增強化學氣相沉積(PECVD))被用於沉積材料,例如基板上的毯覆介電質薄膜。在PECVD薄膜製程中,一直有兩個方面影響總體產量:1)計劃維護之後長的腔室停機時間,因為使用獨立測量的反覆製程調整花費很長的時間(通常超過18小時);2)膜厚度隨時間漂移的傾向,從而需要定期監測和調整製程。使用外部獨立測量之下,調整製程是耗時的並且減少生產時間。為了改善這些影響,需要一種與工具整合的、可靠、準確且可持續的測量手段。
本揭示的實施方案大體而言係關於一種改良的工廠介面,該工廠介面耦接到設以量測基板的薄膜性質的線上測量殼體。在一個實施方案中,一種設備包含工廠介面以及測量殼體,該測量殼體通過裝載端口可移除地耦接到該工廠介面,該測量殼體包含線上測量組件,用於量測將被移入該測量殼體中的基板之性質。
在另一個實施方案中,該設備包括工廠介面;通過第一裝載端口耦接到該工廠介面之第一側的第一存儲艙,而且該第一存儲艙包含一個或更多個基板載體;通過第二裝載端口可移除地耦接到該工廠介面之該第一側的測量殼體,該測量殼體包含線上測量組件,用於量測將被移入該測量殼體中的基板之薄膜性質;以及耦接到該工廠介面之第二側並在真空環境下操作的裝載閘腔室,其中該工廠介面具有機器人,該機器人設以進入該測量殼體和該裝載閘腔室。
在又另一個實施方案中,提供一種處理工具。該處理工具包括:具有機器人手臂的移送腔室;具有大氣機器人的工廠界面;耦接到該工廠介面的批式固化腔室;具有第一側和第二側的裝載閘腔室,該第一側耦接到該移送腔室,該第二側耦接到該工廠介面的第一側,該裝載閘腔室設以從該大氣機器人接收一個或更多個基板;,耦接到該移送腔室的可流動CVD沉積腔室;通過第一裝載端口耦接到該工廠介面之第二側的第一存儲艙,並且該第一存儲艙包含一個或更多個基板載體;以及通過第二裝載端口耦接到該工廠介面之該第二側的測量殼體,該測量殼體包含線上測量組件,用於量測將被該大氣機器人移入該測量殼體中的基板之薄膜性質。
本揭示的實施方案大體而言係關於一種改良的工廠介面,該工廠介面具有耦接到該工廠介面之壁的線上測量殼體。該線上測量殼體具有測量組件,該測量組件設以量測基板的薄膜性質。該工廠介面具有至少一個大氣機器人,該大氣機器人設以在該線上測量殼體與耦接到該工廠介面的批式固化腔室之間、或在該線上測量殼體與耦接到該工廠介面的前開式晶圓傳送盒(FOUP)之間傳送基板。處理工具
第1圖圖示依據本揭示的實施方案可用於處理半導體基板的例示性處理工具100之俯視平面圖。處理工具100通常包括工廠介面105、批式固化腔室103、移送腔室112、大氣保持站109、及複數個雙處理腔室108a-b、108c-d及108e-f。工廠介面105在大氣壓力下操作以儲存和保持基板。工廠介面105包括至少一個大氣機器人104,例如雙葉片大氣機器人,並設以接收一個或更多個基板盒。在工廠介面105的第一側上可以設置一個或更多個裝載端口。在一個例示性實施方案中,設置了三個裝載端口。為了清楚起見,在第1圖的實施方案中只描繪出兩個裝載端口111、113。裝載端口111、113適於從前開式晶圓傳送盒(「FOUP」)102接收將被處理的基板(例如300 mm直徑的晶圓)。FOUP 102具有一個或更多個設以暫時和便攜地儲存基板的基板載體。裝載閘腔室106被耦接到工廠介面105的第二側(與第一側相對)。裝載閘腔室106被耦接到複數個雙處理腔室108a-b、108c-d及108e-f所在的移送腔室112。
基板被大氣機器人104從FOUP 102移送到裝載閘腔室106。第二機械人手臂110位於耦接到裝載閘腔室106的移送腔室112中,以將基板從裝載閘腔室106傳送到與移送腔室112耦接的處理腔室108a-f。因此,工廠介面105提供工廠介面的大氣環境與工具或處理腔室的真空環境之間的過渡。
處理腔室108a-f可以是任何類型的處理腔室,例如化學氣相沉積(CVD)腔室、原子層沉積(ALD)腔室、物理氣相沉積(PVD)腔室、離子金屬佈植(IMP)腔室、電漿蝕刻腔室、退火腔室、其他爐腔室等。在一個實施方案中,處理腔室108a-f設以在基板上沉積、退火、固化及/或蝕刻可流動介電質薄膜。在一種配置中,可以使用三對處理腔室(例如108a-b、108c-d及108e-f)在基板上沉積可流動介電質材料。若需要的話,在應用時,這些處理腔室108a-b、108c-d及108e-f中的任何處理腔室、或一個或更多個附加處理腔室可以被耦接到移送腔室112並被設置來進行其他的傳統半導體元件製造製程,例如氧化、薄膜沉積、蝕刻、加熱、除氣、灰化、離子佈植、測量等。
在一些實施方案中,批式固化腔室103設以在多個上面同時沉積有可流動介電質材料的基板上進行批式固化製程。在這樣的實施方案中,批式固化腔室103通常設以在數個基板上進行固化製程,該等基板可以同時在雙處理腔室108a-b、108c-d及108e-f中進行薄膜沉積。因此,在第1圖圖示的配置中,批式固化腔室103的尺寸被有利地製作成可在固化製程期間一次容納六個基板。因此,所有已被雙處理腔室108a-b、108c-d及108e-f處理的基板都可以同時進行固化處理,從而最大化處理工具100的基板產量。
此外,在多個處理腔室具有不同的處理製作方法開始和結束時間的情況下,為了防止基板在批式固化腔室103中停留明顯不同的時間量,處理工具100可以包括用以保持已經處理的基板直到其他後續處理的基板完成各自的沉積處理的大氣保持站109。大氣保持站用來作為允許所有基板被立即放在批式固化腔室103中的緩衝站。例如,大氣保持站109設以暫時儲存批式固化腔室103外的基板,直到批式固化腔室103中有需要數量的基板可進行處理。然後大氣機器人104將基板快速連續地載入批式固化腔室103中,使得沒有沉積薄膜的基板比任何其他有沉積薄膜的基板停留在相對高溫的批式固化腔室103中多幾秒鐘。因此,可以最小化或減少固化製程中基板與基板之間的變化。
批式固化腔室103可以包括腔室主體103B和狹縫閥103A。狹縫閥103A用以在基板被大氣機器人104定位在腔室主體103B中之後密封腔室主體103B的內部區域。
在本揭示的各種實施方案中,工廠介面105具有耦接到壁107的基板存在側、鄰接FOUP 102的線上測量殼體133。在圖示的一個實施方案中,線上測量殼體133位於一對FOUP 102之間。雖然圖示出兩個FOUP 102,但構思的是可以使用三個或更多個FOUP來對應裝載端口(例如裝載端口111、113)。在一個實例中,使用三個FOUP,其中附加的FOUP位於FOUP 102的任一側旁邊。線上測量殼體133具有設以在基板處理之前及/或之後量測薄膜性質的線上測量組件135,該薄膜性質例如薄膜厚度、薄膜成分、薄層電阻、顆粒數、及薄膜應力。在一個實施方案中,線上測量組件135設以量測基板的薄膜厚度。待量測的基板可以被大氣機器人104從裝載閘腔室106或任何FOUP 102移送通過裝載端口115(位在工廠介面的基板接收側上)到達線上測量殼體133。與其中測量組件被設置在移送腔室112、裝載閘腔室106、雙處理腔室108a-b、108c-d及108e-f或在耦接到移送腔室112的單獨測量腔室(未圖示)中的傳統系統不同,能夠量測薄膜厚度的工廠介面105在計劃維護之後明顯縮短腔室停機時間,因為可以在製程之後立即量測厚度並且可以通過反饋控制將厚度用於製程調整。此外,將線上測量組件135整合到工廠介面105上可提供在需要時對測量組件的安裝及/或維修的容易施作。以下參照第4-6圖進一步描述線上測量組件135及其結構關係的實例。可流動 CVD 腔室和沈積製程實例
第2圖為具有分隔的電漿產生區域的可流動化學氣相沉積腔室200的一個實施方案之剖視圖。處理腔室200可以是處理工具100的處理腔室108a-f中設以至少用於在基板上沉積可流動介電質材料的任何處理腔室。在一些實施方案中,處理工具100可以包括任何其他適當的化學氣相沉積腔室,而不是處理腔室200。
在薄膜沉積(例如氧化矽、氮化矽、氧氮化矽或氧碳化矽沉積)期間,可以使製程氣體通過氣體入口組件205流入第一電漿區域215。製程氣體可以在進入遠端電漿系統(RPS)201內的第一電漿區域215之前被激發。處理腔室200包括蓋212和噴頭225。將蓋212描繪成具有施加的AC電壓源,並使噴頭225接地,與第一電漿區域215中的電漿產生一致。絕緣環220被定位在蓋212和噴頭225之間,使得電容耦接電漿(CCP)能夠被形成在第一電漿區域215中。將蓋212和噴頭225圖示為其間具有絕緣環220,以允許AC電位被相對於噴頭225施加於蓋212。
蓋212可以是與處理腔室一起使用的雙源蓋。在氣體入口組件205內可以看到兩個不同的氣體供應通道。第一通道202攜帶通過遠端電漿系統(RPS)201的氣體,而第二通道204繞過RPS 201。可以將第一通道202用於製程氣體,並可將第二通道204用於處理氣體。流入第一電漿區域215的氣體可以被擋板206分散。
可以使流體(例如前驅物)通過噴頭225流入處理腔室200的第二電漿區域233中。從第一電漿區域215中的前驅物衍生的激發物種行進通過噴頭225中的孔214並與從噴頭225流入第二電漿區域233的前驅物反應。第二電漿區域233中幾乎沒有電漿存在。前驅物的激發衍生物在第二電漿區域233中結合,以在基板上形成可流動介電質材料。隨著介電質材料生長,較晚添加的材料具有比下方的材料更高的遷移率。遷移率隨著有機內含物藉由蒸發減少而降低。可以使用這種技術以可流動介電質材料填充間隙,而不會在沉積完成之後在介電質材料內留下傳統密度的有機內容物。
在第一電漿區域215中單獨激發前驅物或第一電漿區域215與遠端電漿系統(RPS)201組合來激發前驅物提供了若干益處。由於第一電漿區域215中的電漿,在第二電漿區域233內衍生自前驅物的激發物種的濃度可能會增加。這種增加可能是電漿在第一電漿區域215中的位置所導致的。第二電漿區域233的位置比遠端電漿系統(RPS)201更靠近第一電漿區域215,從而留下較少的時間讓激發物種通過與其他氣體分子、腔室壁及噴頭表面的碰撞而離開激發態。
也可以在第二電漿區域233內提高衍生自前驅物的激發物種的濃度均勻度。這可以從第一電漿區域215的形狀產生,第一電漿區域215的形狀較類似於第二電漿區域233的形狀。在遠端電漿系統(RPS)201中產生的激發物種行進較長的距離,以相對於通過靠近噴頭225中心的孔214的物種通過噴頭225的邊緣附近的孔214。較長的距離導致激發物種的激發減少,而且例如可能導致基板邊緣附近的生長速率較慢。在第一電漿區域215中激發前驅物減輕了這種變化。
除了前驅物之外,為了不同的目的,可以在不同的時間引入其他氣體。在沉積期間可以引入處理氣體以從腔室壁、基板、沉積的薄膜及/或薄膜去除不想要的物種。處理氣體可以包含至少一種來自包含H2 、H2 /N2 混合物、NH3 、NH4 OH、O3 、O2 、H2 O2 及水蒸汽的群組的氣體。處理氣體可以在電漿中被激發,隨後被用來減少或去除沉積的薄膜中殘留的有機內含物。在其他實施方案中,可以在沒有電漿之下使用處理氣體。當處理氣體包括水蒸汽時,可以使用質量流量計(MFM)和噴射閥或藉由其他適當的水蒸汽產生器來實現輸送。
在一個實施方案中,可以藉由引入介電質材料前驅物(例如含矽前驅物)和在第二電漿區域233中讓處理前驅物反應來沉積介電層。介電質材料前驅物的實例為含矽前驅物,包括矽烷、二矽烷、甲基矽烷、二甲基矽烷、三甲基矽烷、四甲基矽烷、四乙氧基矽烷(TEOS)、三乙氧基矽烷(TES)、八甲基環四矽氧烷(OMCTS)、四甲基二矽氧烷(TMDSO)、四甲基環四矽氧烷(TMCTS)、四甲基二乙氧基二矽氧烷(TMDDSO)、二甲基二甲氧基矽烷(DMDMS)或上述之組合。用於沉積氮化矽的附加前驅物包括含SixNyHz前驅物,例如矽烷胺及其衍生物,包括三矽烷胺(TSA)和二矽烷胺(DSA)、含SixNyHzOzz前驅物、含SixNyHzClzz前驅物、或上述之組合。
處理前驅物包括含氫化合物、含氧化合物、含氮化合物、或上述之組合。適當的處理前驅物的實例包括一種或更多種選自包含H2 、H2 /N2 混合物、NH3 、NH4 OH、O3 、O2 、H2 O2 、N2 、Nx Hy 化合物包括N2 H4 蒸汽、NO、N2 O、NO2 、水蒸汽、或上述之組合的群組的化合物。處理前驅物可以被電漿激發,例如在RPS單元中,以包括含N*及/或H*及/或O*的自由基或電漿,例如NH3 、NH2 *、NH*、N*、H*、O*、N*O*或上述之組合。處理前驅物可以替代地包括一種或更多種本文描述的前驅物。
處理前驅物可以在第一電漿區域215中被電漿激發而產生製程氣體電漿和自由基,包括含N*及/或H*及/或O*的自由基或電漿,例如NH3 、NH2 *、NH*、N*、H*、O*、N*O*、或上述之組合。或者,在通過遠端電漿系統之後、在引入第一電漿區域215之前,處理前驅物可以已經處於電漿狀態。
然後將激發的處理前驅物290輸送到第二電漿區域233以通過孔214與前驅物反應。一旦在處理容積中,處理前驅物可以混合並反應以沉積介電質材料。
在一個實施方案中,在處理腔室200中進行的可流動CVD製程可以沉積介電質材料成為聚矽氮烷基含矽薄膜(PSZ狀薄膜),該聚矽氮烷基含矽薄膜在溝槽、特徵、通孔、或在沉積有聚矽氮烷基含矽薄膜的基板中界定的其他孔內可以是可回流且可填充的。
除了介電質材料前驅物和處理前驅物之外,為了不同的目的,可以在不同的時間引入其他氣體。在沉積期間可以引入處理氣體以從腔室壁、基板、沉積的薄膜及/或薄膜中去除不想要的物種,例如氫、碳及氟。處理前驅物及/或處理氣體可以包含至少一種來自包含H2 、H2 /N2 混合物、NH3 、NH4 OH、O3 、O2 、H2 O2 、N2 、N2 H4 蒸汽、NO、N2 O、NO2 、水蒸汽、或上述之組合的群組的氣體。處理氣體可以在電漿中被激發,然後被用來減少或去除沉積薄膜中殘留的有機內含物。在其他實施方案中,可以在沒有電漿之下使用處理氣體。處理氣體可以通過RPS單元或繞過RPS單元被引入第一處理區域中,而且可以在第一電漿區域中被進一步激發。
矽氮化物材料包括氮化矽SixNy、含氫的矽氮化物SixNyHz、矽氧氮化物包括含氫的矽氧氮化物SixNyHzOzz、以及含鹵素的矽氮化物包括氯化矽氮化物SixNyHzClzz。然後可以將沉積的介電質材料轉化成類氧化矽材料。處理程序實例
第3圖為可在處理工具100中進行的製程300的一個實施方案之流程圖。製程開始於方塊302,其中一個或更多個基板被大氣機器人104的手臂從一對FOUP 102移送到裝載閘腔室106。
在方塊304,在基板上需要進行預校準(例如進行裸矽基板校準)的一些實施方案中,在將基板從FOUP 102移送到裝載閘腔室106之前,大氣機器人104可以可選地將基板從FOUP 102移到大氣保持站109,然後再到線上測量殼體133,使得在基板上實施任何特定的製造製程之前可以獲得薄膜性質,例如薄膜厚度。一旦獲得了所需的薄膜性質,則可以藉由大氣機器人104的手臂將基板從線上測量殼體133移送到裝載閘腔室106。
在方塊306,一旦所有的基板或所需數量的基板都完成了量測,則藉由大氣機器人104將基板從線上測量殼體133移送到大氣保持站109。
基板可以是上面形成有用以形成結構(例如淺溝槽隔離(STI)結構)的一個層或多個層的矽基板。在一個實施方案中,基板是具有用以形成不同圖案及/或特徵的多個層(例如薄膜堆疊)的矽基板。基板可以是諸如結晶矽(例如Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜或未摻雜多晶矽、摻雜或未摻雜矽晶圓和圖案化或未圖案化晶圓絕緣體上矽(SOI)、摻雜碳的矽氧化物、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、位在矽上的金屬層等材料。基板可以具有任意的各種形狀和尺寸,例如200 mm、300 mm或450 mm直徑的晶圓、或矩形或正方形面板。
在方塊308,移送腔室112的機器人手臂110可選地將一個或更多個基板從裝載閘腔室106移送到用於處理基板(例如以上參照第2圖描述的可流動CVD製程)的處理腔室108a-f。在一個實施方案中,將基板傳送到沉積處理腔室,例如第2圖描繪的可流動化學氣相沉積(CVD)腔室200。
在方塊310,一旦基板在處理腔室108a-f中進行了處理,則機器人手臂110將處理過的基板從處理腔室108a-f移送到裝載閘腔室106。
在方塊312,大氣機器人104將處理過的基板從裝載閘腔室106移送到大氣保持站109,直到其他隨後處理的基板完成各自的沉積處理,或者直到得到在批式固化腔室103中進行處理所需數量的基板。在一個實例中,將處理過的基板冷卻並保持在大氣保持站109中持續約40秒至約120秒,例如約60秒。
在方塊314,大氣機器人104將基板從大氣保持站109移到批式固化腔室103,使得沒有沉積薄膜的基板比任何其他有沉積薄膜的基板停留在相對高溫的批式固化腔室103中多幾秒鐘。
在基板藉由可流動化學氣相沉積製程沉積介電質材料的情況下,批式固化腔室103可以將基板固化及/或熱處理,以有效地從沉積的介電質材料中去除水分和其他揮發性成分,從而形成固相介電質材料。結果,經由可流動CVD製程形成的薄膜即使被形成在具有高深寬比特徵的基板上也可以被轉化為具有極少或沒有空隙的緻密、固體介電質薄膜。
在方塊316,經固化或熱處理的基板被大氣機器人104從批式固化腔室103移送到大氣保持站109,以將基板冷卻。在一個實例中,將經固化或熱處理的基板在大氣保持站109中保持約40秒至約120秒,例如約60秒。
在方塊318,經固化或熱處理的基板被大氣機器人104的手臂從大氣保持站109移送到與工廠介面105耦接的線上測量殼體133。然後在線上測量殼體133中的線上測量組件135/500量測薄膜性質,例如基板的薄膜厚度。
在方塊320,一旦所有的基板或期望數量的基板都完成了量測,則藉由大氣機器人104將基板從線上測量殼體133移送到大氣保持站109。
在方塊322,大氣機器人104將基板從大氣保持站109移到一對FOUP 102的裝載端口。可以重複方塊302至322中陳述的操作,直到FOUP 102中的所有基板、或FOUP 102中所需數量的基板都進行了處理。線上測量組件
第4A圖圖示依據本文描述的實施方案簡化版本的原位測量組件。線上測量組件135是具有類似工作原理的再造工程設計。為了簡單起見,使用原位測量模型來描述測量硬體的一般態樣。線上測量組件135通常包括光源424、光纖束426及攝譜儀428。線上測量組件135還包括對準器模組500,如以下將在第5A圖和第5B圖中討論的。
光源424和攝譜儀428被支撐框架402固定。支撐框架402被安裝托架450(第4B圖圖示)支撐,安裝托架450可被直接或間接耦接到工廠介面105及/或線上測量殼體133的壁107(第1圖圖示),以改善攝譜儀428和光源424的機械穩定性,此舉接著又改善訊號穩定性。每個光纖束426都可以包括一個或更多個光纖電纜429。與具有較小內徑的光纖電纜相比,內徑約200微米的每個光纖電纜429都可以提高訊號強度並改善對準靈敏度。使用200微米的光纖電纜之下,彩色訊號漂移是微不足道的。應當預期的是,即使圖示出6個光纖束426,但仍可以依據製程要求使用更多或更少的光纖束426。
在圖示的一個實施方案中,每個光纖束426都包括兩條內徑各約200微米的光纖電纜429,一條用於源訊號(從光源424到基板),一條用於接收訊號(從基板反射的),此舉使得單點能夠獲得最大強度,並確保注入光纖電纜的光的不靈敏性,不管光源424的鎖定方向為何。一個或更多個光纖束426中的一些光纖束426可以被光學連接到光源424,並且一個或更多個光纖束426中的一些光纖束426可以被光學連接到攝譜儀428。線上測量組件135還可以包括光纖電纜座404,光纖電纜座404可被放在第一端與第二端之間。設置每個光纖束426以將來自光源424的光以法線入射傳送到基板(未圖示)上的量點測。然後,光纖束426捕獲來自基板的光以垂直入射的反射,之後將該反射傳送到攝譜儀428。每個光纖束426都被耦接到準直器434,以使來自光源424的光準直照射於直徑例如約2 mm的量測點。在圖示的一個實施方案中,6個光纖束426(即在第4B圖中更清楚看到的光纖束426a-f)各自耦接到相應的準直器434a-f。假使使用兩個處理腔室來共享一個光源和一個攝譜儀,則此配置可能是有利的。在這種情況下,每個處理腔室都可以包括一個使用3個光纖束和3個準直器的處理區域。雖然第4A圖圖示出6個準直器,但可以預想的是,可以依據處理腔室的配置和製程要求來使用更多或更少的準直器。
光源424可以是能夠在短的持續時間分散脈衝光的閃光光源。光源424可以是白光源。在一個實施方案中,光源424可以是氙閃光燈。光源424可以包括擴散器,使得產生的光均勻地分散通過多個光纖束,例如光纖束426和參考光纖束(未圖示)。可以在光源424與攝譜儀428之間連接參考光纖束,以提供參考通道來消除閃光之間的變異或補償光源424隨時間的任何波動/漂移。攝譜儀428可以包括電荷耦合元件(CCD)陣列光檢測器。在一個實施方案中,攝譜儀428可以量測波長範圍在約200 nm和約2500 nm之間、例如在約200 nm和約800 nm之間的非偏振光。在一些實施方案中,光源424可以產生紫外(UV)光。在一些實施方案中,可以使用產生的光具有較多深紫外線(DUV)含量的光源。用於產生具有較多DUV含量的光的光源之實例是電漿驅動的光源或雷射。在一些實施方案中,可以使用波長在紅外線範圍(IR)的光。
第4B圖圖示依據本文描述的實施方案第4A圖的線上測量組件135的一部分之放大圖。如第4B圖所示,光源424和攝譜儀428藉由支撐框架402固定於安裝托架450,以便改善攝譜儀428和光源424的機械穩定性。為了牢固地保持光纖束426,可以將光纖SMA保持器406耦接到攝譜儀428,以改善訊號穩定性。在沒有光纖SMA保持器406之下,光纖束426會因為工具振動、手動觸摸及其他干擾而容易被鬆開。類似地,可以將光纖SMA保持器408耦接到光源424。分別在攝譜儀428和光源424上的光纖SMA保持器406、408有助於改善訊號穩定性。光纖電纜座404可以位於安裝托架450上,用於固定光纖束426和參考光纖束440。如第4B圖所示,有6個光纖束426a-f和一個參考光纖束426g耦接到光源424,以及6個光纖束426a-f和一個參考光纖束426g耦接到攝譜儀428。
第5A圖圖示依據本揭示的一個實施方案位在第1圖的線上測量殼體133中的線上測量組件135的例示性設置之剖視圖。第5B圖圖示依據本揭示的一個實施方案的線上測量組件135的對準器模組500之立體圖。
對準器模組500通常包括準直器534a-534e和光纖束526a-526e。將線上測量組件135的對準器模組500配置在安裝托架550上。一旦線上測量殼體133與工廠介面105的壁107接合,則安裝托架550由一個或更多個剛性支撐托架523支撐。一個或更多個剛性支撐托架523被安裝到位在工廠介面105的壁107上的參考基準板材602(參見第6A圖)上。為了通風的目的,線上測量殼體133的外殼可以有穿孔527。將線上測量組件135的對準器模組500配置在對應於機器人葉片104移動的高度,以允許移送基板進出線上測量殼體133而不會干擾準直器。可以將線上測量組件135的其他部件(例如第4A圖和第4B圖圖示的光源424、光纖束426及攝譜儀428)定位於安裝托架550下方的區域中。也可以將用於線上測量組件135的電子元件(例如AC盒)定位在安裝托架550下方。
線上測量殼體133具有門525,以允許使用和維修線上測量組件135和電子元件。線上測量組件135和對準器模組500是可移除的,並且可以利用諸如機架的任何適當機構水平滑入線上測量殼體133中。
現在參照第5B圖,對準器模組500可以具有位在安裝托架550上的支撐框架502。對準器模組500具有從支撐框架502的底部徑向延伸的對準器板材507。對準器板材507的後側被支撐塊580支撐,支撐塊580被安裝於安裝托架550。一旦線上測量殼體133與工廠介面105的壁107接合,如以上所討論,則安裝托架550被一個或更多個剛性支撐托架523支撐(參見第5A圖和第6B圖)。對準器板材507具有用於旋轉基板511的對準機構509。第5D圖圖示位在對準器板材507上的對準機構509之立體圖。在操作期間,工廠介面105中的大氣機器人104從大氣保持站中取出基板,並將基板放在線上測量殼體133內的對準機構509上。然後基板511被對準機構509旋轉,以允許使用對準器模組500沿著基板的半徑在各個點上進行厚度量測。
對準器模組500通常包括複數個光纖束526a、526b、526c、526d、及526e以及準直器534a、534b、534c、534d及534e。每個光纖束526a-526e分別被耦接到相應的準直器534a-534e。準直器534a-534e和光纖束526a-526e還與第4A圖圖示的光源424、光纖束426及攝譜儀428電連通,以便傳送量測數據。在預定位置將準直器534a、534b、534c、534d及534e安裝在配接器板材503上。在圖示的一個實施方案中,準直器534b被配置在對準器板材507的中心,使得準直器534b的感測器聚焦於基板511的中心。可以將其他四個準直器534a、534c、534d及534e配置在對應於基板的四個不同徑向區域的位置,例如R 49.33 mm、R 98.67 mm、R 147 mm、及R 148 mm,以量測在這些位置的薄膜厚度。不同的半徑是可預期的,取決於製程要求及/或基板的尺寸。可以將基板旋轉任意角度來沿著半徑量測各個點的厚度,並因此測繪基板上的薄膜厚度。在圖示的一個實施方案中,沿著配接器板材503的半徑對齊準直器534b、534c及534d。預期的是,可以構想更多或更少的準直器。在一個實施方案中,線上測量組件135中僅使用四個準直器(選自準直器534a、534b、534c、534d、及534e中的任意準直器)。
配接器板材503可以通過調平螺柱/螺母508、510、512被對準器板材507支撐。調平螺柱/螺母508、510、512可以是任何適當的機構,例如球形軸承,用於調平配接器板材。調平螺柱/螺母508、510、512設以在不同位置獨立調整配接器板材503相對於安裝托架550的垂直及/或水平調平。可以使用調平螺柱/螺母508、510、及512將配接器板材503調平以與安裝托架550平行。三點調平機構可以是有利的,因為不會有對機器人葉片的干擾,例如第1圖和第5A圖圖示的大氣機器人104。
準直器534a-534e中的每個準直器都可以延伸穿過形成於配接器板材503中的相應開口540,如第5C圖所示。開口540可以比準直器534的寬度稍寬,所以當將準直器放入開口540中時,準直器可以容許輕微的不對準。
雖然未圖示出,但是可以在線上測量殼體133的內部設置具有穿孔片的排氣管道/通道,使得來自工廠介面105的壓縮空氣平穩地進入和離開線上測量殼體133而不再循環。例如,可以將排氣管道/通道設置在靠近安裝托架550的位置及/或沿著線上測量殼體133設置。將排氣管道/通道設置成使得層流582被從工廠介面105引入線上測量殼體133。將層流582保持在基板511上方,使得沒有會影響量測及/或最終晶片的顆粒累積在基板上。藉由保持線上測量殼體133內部的層流,可以排出任何來自基板511的釋氣,從而防止準直器534a-534e(第5B圖)退化。然後通過泵584將層流582抽出線上測量殼體133。預期的是,層流582可以包括任何適當的惰性氣體,例如氬氣或氦氣。
為了組裝線上測量殼體133與工廠介面105,可以使用諸如支撐托架523的機構來相對於工廠介面105精確地對準線上測量殼體133。該機構能夠每次以相同的精度將線上測量殼體133定位在壁107上。第6A圖圖示在與線上測量殼體133接合之前工廠介面105的壁107之立體圖。壁107上設置有參考基準板材602。一個或更多個剛性支撐托架523被安裝到參考基準板材602上。
第6B圖圖示依據本揭示的一個實施方案的支撐托架523之立體圖。一個或更多個支撐托架523可以通過板材606彼此連接。板材606可以具有一個或更多個銷604(僅圖示出一個),銷604被設置來允許操作者以期望的精度將線上測量殼體133推向工廠介面105。支撐托架523還可以具有兩個或更多個對準機構605,用於在支撐托架523一旦與壁107接合時就牢固地固持住線上測量殼體133。
第6C圖圖示顯示背側的線上測量殼體133之立體圖。線上測量殼體133的背側(即面向參考基準板材602的側)可以具有一個或更多個狹槽608(僅圖示出一個),狹槽608的尺寸被製作成允許銷604通過。線上測量殼體133還可以具有一個或更多個用於接收支撐托架523的對準機構605的配合位置610,從而將線上測量組件135與工廠介面105的壁107組裝在一起。設置垂直狹槽608和配合位置610來確保單一操作者可輕易組裝。線上測量殼體133還可以包括三個或更多個腳輪612,以防止當操作者正在組裝及/或對準線上測量殼體133與工廠介面105時線上測量殼體133圍繞水平軸轉動。若需要的話,可以將一個或更多個調平腳614配置在線上測量殼體133的底部,以升高或降低線上測量殼體133。一旦操作者對準並推動線上測量殼體133,則線上測量殼體133會被支撐在支撐托架523上。
在操作中,機器人葉片(例如第1圖圖示的大氣機器人104)可以將基板從大氣保持站109移到包含線上測量組件500的線上測量殼體133。在穩定來自光源的光訊號之前可以將光源開啟約60秒。或者,光源可以始終開啟以不時量測薄膜厚度,直到厚度量測結束。機器人葉片將基板放到對準機構509上,基板在對準機構509被旋轉以在基板上找到對準標記,例如對準凹口,以允許基板被適當地定向在線上測量殼體133內並準備好進行量測。術語定向或基板的定向是指基板圍繞基板的對稱中心軸的旋轉位置。
一旦識別出對準標記並使基板穩定,則預先開啟的光源會使光均勻分佈通過光纖電纜到達光纖束426a-f和光纖束526a-e,然後到準直器534a-e,以照射基板表面進行量測。光纖電纜藉由準直在200-800 nm範圍中的寬帶光來收集來自基板表面的反射訊號。在厚度量測的操作期間,可以將基板照射約1.5秒。然後藉由對準機構509逆時針或順時針旋轉基板,以在基板上進行下一次量測。在一個實施方案中,藉由每次量測將基板旋轉60°來進行6次獨立的量測,直到完成基板的360°旋轉。對於基板的穩定性,在每次旋轉之後和進行下一次量測之前可以有預定的等待時間(基於振動訊息和工具測試)。
若需要的話,可以為所有準直器收集一段時間(例如約10秒)的背景訊號,以獲得每個準直器的平均波長相關背景訊號。在需要裸矽基板校準的一些實施方案中,可以收集裸矽基板訊號來校準所有的準直器。可以收集來自裸矽基板的反射訊號一段時間,例如約30秒,以便獲得每個準直器的平均波長相關訊號。可以將這種校準延伸到每個準直器的旋轉次數,對應於目標基板厚度的旋轉、不包括在對準器板材507中心的旋轉,以便消除由於基板旋轉的晃動所引起的任何潛在誤差。基於已知的裸矽性質,之後可以量測目標的經處理基板的反射率,並使用該反射率來計算薄膜厚度。在一些實施方案中,可以將在任一FOUP 102(第1圖)的基板移送到線上測量殼體133內的對準機構509上,以收集反射訊號和量測厚度。
反射訊號的收集和特定方向的基板的相應厚度量測可以持續一段時間,例如15個數據點的厚度約1.5秒,以使用晶圓上特定位置的所有數據點或所需數目的數據點獲得薄膜的平均厚度。然後可以將基板旋轉任何需要的角度,例如60度,以在下一個位置進行厚度量測。在目標基板旋轉和穩定的期間可以停止訊號收集和厚度量測。可以繼續目標基板的旋轉和量測,直到完成所需次數的旋轉(例如5次旋轉),並獲得相應的厚度。可以使用通過所有這些旋轉測得的厚度來產生基板上的薄膜厚度圖。
一旦完成量測,則基板被大氣機器人104從線上測量殼體133移送回大氣保持站109,然後再到一對FOUP 102的裝載端口(第1圖)。可以在線上測量殼體133中接收的下一個基板上重複此量測程序,直到所有或期望數量的基板都進行了處理。可以在伺服器中同時進行薄膜反射率的量測和分析,其中厚度訊息、薄膜形態、及/或薄膜的其他參數被實時監測。分析量測數據以確定是否存在導致厚度均勻度漂移的系統錯誤。一旦識別出錯誤,則可以設置處理工具來阻止進一步的基板處理,直到錯誤來源被確定或校正。然後使用調整過的條件讓基板繼續進行製程以調整厚度均勻度。
線上測量組件135和對準器模組500將彩色訊號漂移減小到所得的量測厚度漂移不明顯的點。沉積之後使用線上測量組件135和對準器模組500連續量測的厚度漂移幾乎可以忽略。線上測量組件135和對準器模組500是堅固耐用的,並且能夠以高精度(次埃水平)量測厚度。
雖然前述內容是針對本揭示的實施方案,但在不脫離本揭示的基本範圍下仍可設計出本揭示的其他和進一步的實施方案。
100‧‧‧處理工具
102‧‧‧前開式晶圓傳送盒(FOUP)
103‧‧‧批式固化腔室
103a‧‧‧狹縫閥
103b‧‧‧腔室主體
104‧‧‧大氣機器人
105‧‧‧工廠介面
106‧‧‧裝載閘腔室
107‧‧‧壁
108a-f‧‧‧處理腔室
109‧‧‧大氣保持站
110‧‧‧第二機械人手臂
111‧‧‧裝載端口
112‧‧‧移送腔室
113‧‧‧裝載端口
115‧‧‧裝載端口
133‧‧‧線上測量殼體
135‧‧‧線上測量組件
200‧‧‧可流動化學氣相沉積腔室/處理腔室
201‧‧‧遠端電漿系統(RPS)
202‧‧‧第一通道
204‧‧‧第二通道
205‧‧‧氣體入口組件
206‧‧‧擋板
212‧‧‧蓋
214‧‧‧孔
215‧‧‧第一電漿區域
220‧‧‧絕緣環
225‧‧‧噴頭
233‧‧‧第二電漿區域
300‧‧‧製程
302-322‧‧‧方塊
402‧‧‧支撐框架
404‧‧‧光纖電纜座
406‧‧‧光纖SMA保持器
408‧‧‧光纖SMA保持器
424‧‧‧光源
426‧‧‧光纖束
426a-f‧‧‧光纖束
426g‧‧‧參考光纖束
428‧‧‧攝譜儀
429‧‧‧光纖電纜
434‧‧‧準直器
434a-f‧‧‧準直器
450‧‧‧安裝托架
500‧‧‧對準器模組
502‧‧‧支撐框架
503‧‧‧配接器板材
507‧‧‧對準器板材
508‧‧‧調平螺柱/螺母
509‧‧‧對準機構
510‧‧‧調平螺柱/螺母
511‧‧‧基板
512‧‧‧調平螺柱/螺母
523‧‧‧支撐托架
525‧‧‧門
526a-e‧‧‧光纖束
527‧‧‧穿孔
534a-e‧‧‧準直器
540‧‧‧開口
550‧‧‧安裝托架
580‧‧‧支撐塊
582‧‧‧層流
584‧‧‧泵
602‧‧‧參考基準板材
604‧‧‧銷
605‧‧‧對準機構
606‧‧‧板材
608‧‧‧狹槽
610‧‧‧配合位置
612‧‧‧腳輪
614‧‧‧調平腳
可參照附圖所繪本揭示的說明性實施方案來理解以上簡要概述和以下更詳細討論的本揭示實施方案。然而,應注意的是,附圖僅圖示本揭示的典型實施方案,因此不應將該等附圖視為限制本揭示之範圍,因本揭示可認可其他同樣有效的實施方案。
第1圖圖示依據本揭示的實施方案可用於處理半導體基板的例示性處理工具之俯視平面圖。
第2圖為具有分隔的電漿產生區域的可流動化學氣相沉積腔室的一個實施方案之剖視圖。
第3圖圖示可在處理工具中進行的製程300的一個實施方案之流程圖。
第4A圖圖示依據本文描述的實施方案簡化版本的原位測量組件。
第4B圖圖示依據本文描述的實施方案第4A圖的線上測量組件的一部分之放大圖。
第5A圖圖示位在第1圖的線上測量殼體中的線上測量組件的例示性設置之剖視圖。
第5B圖圖示依據本揭示的一個實施方案的線上測量組件的對準器模組之立體圖。
第5C圖圖示延伸穿過形成於配接器板材中的相應開口的準直器之剖視圖。
第5D圖圖示具有對準機構的對準器板材之立體圖。
第6A圖圖示在與線上測量殼體接合之前工廠介面的壁之立體圖。
第6B圖圖示依據本揭示的一個實施方案的支撐托架之立體圖。
第6C圖圖示線上測量殼體之立體圖,此圖顯示線上測量殼體的背側。
為了便於理解,已在可能處使用相同的元件符號來指稱對圖式而言相同的元件。圖式未依比例繪製,並且為了清楚起見可被簡化。構思的是,可以將一個實施方案的元件和特徵有益地併入其他實施方案中而無需進一步詳述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無

Claims (14)

  1. 一種用於一處理工具的設備,包含:一工廠介面;一第一存儲艙,通過一第一裝載端口耦接到該工廠介面的一第一側,而且該第一存儲艙包含一個或更多個基板載體;一測量殼體,通過一第二裝載端口可移除地耦接到該工廠介面的該第一側,該測量殼體包含一線上測量組件,用於量測一將被移入該測量殼體中的基板之薄膜性質,且該線上測量組件包含一可操作以旋轉該基板的對準器板材;以及一裝載閘腔室,耦接到該工廠介面的一第二側並在真空環境下操作,其中該工廠介面具有一機器人,該機器人設以進入該測量殼體和該裝載閘腔室。
  2. 如請求項1所述之設備,其中該線上測量組件包含:一光源;一攝譜儀;一支撐框架,固定該光源和該攝譜儀;一第一組光纖束,光學式連接到該光源和該攝譜儀;以及一第一組準直器,耦接到該第一組光纖束。
  3. 一種用於一處理工具的設備,包含:一工廠介面;一第一存儲艙,通過一第一裝載端口耦接到該工廠介面的一第一側,而且該第一存儲艙包含一個或更多個基板載體;一測量殼體,通過一第二裝載端口可移除地耦接到該工廠介面的該第一側,該測量殼體包含一線上測量組件,用於量測一將被移入該測量殼體中的基板之薄膜性質;以及一裝載閘腔室,耦接到該工廠介面的一第二側,其中該第二側與該第一側相對,且該工廠介面具有一機器人,該機器人設以進入該測量殼體和該裝載閘腔室;其中該線上測量組件包含:一光源;一攝譜儀;一支撐框架,固定該光源和該攝譜儀;一第一組光纖束,光學式連接到該光源和該攝譜儀;以及一第一組準直器,耦接到該第一組光纖束;以及一對準器模組,該對準器模組包含:一對準器板材,具有一旋轉機構;一第二組光纖束,與該第一組光纖束電連通;以及一第二組準直器,與該第一組準直器電連通,其中該第二組光纖束耦接到該第二組準直器。
  4. 如請求項3所述之設備,其中該對準器模組位在一安裝托架上,並且該安裝托架由一個或更多個支撐托架支撐。
  5. 如請求項4所述之設備,其中該一個或更多個支撐托架被安裝到一參考基準板材上,該參考基準板材位於該工廠介面的壁上。
  6. 如請求項5所述之設備,其中該支撐托架通過一板材彼此連接,並且該板材具有一個或更多個引導銷。
  7. 如請求項6所述之設備,其中該測量殼體在該測量殼體的背側上具有一狹槽,以允許該一個或更多個引導銷通過。
  8. 如請求項4所述之設備,其中該線上測量組件進一步包含一配接器板材,其中該第二組準直器被安裝在該配接器板材上。
  9. 如請求項8所述之設備,其中該第二組準直器的一第一準直器位於該對準器板材的中心。
  10. 如請求項9所述之設備,其中該第二組準直器的一第二準直器、一第三準直器、一第四準直器、及一第五準直器所在的位置對應於將被移送到該測量殼體中的基板的四個不同徑向區域。
  11. 如請求項8所述之設備,其中該配接器板材通過複數個調平元件被該對準器板材支撐,該複數個調平元件設以獨立地調整該配接器板材相對於該安裝托架的水平調平。
  12. 一種處理工具,包含:一移送腔室,具有一機器人手臂;一工廠界面,具有一大氣機器人,其中該工廠介面進一步包含:一參考基準板材,位於該工廠介面的壁上在該第二側上;以及一個或更多個支撐托架,被安裝到該參考基準板材上,該一個或更多個支撐托架具有至少一引導銷;一裝載閘腔室,具有耦接到該移送腔室的第一側和耦接到該工廠介面之第一側的第二側,該裝載閘腔室設以從該大氣機器人接收一個或更多個基板;一批式固化腔室,耦接到該工廠介面;一可流動CVD沉積腔室,耦接到該移送腔室;一第一存儲艙,通過一第一裝載端口耦接到該工廠介面的一第二側,並且該第一存儲艙包含一個或更多個基板載體;以及一測量殼體,通過一第二裝載端口耦接到該工廠介面的該第二側,該測量殼體包含一線上測量組件,用於量測將被該大氣機器人移入該測量殼體中的基板之薄膜性質。
  13. 如請求項12所述之處理工具,其中該測量殼體包含一位於該測量殼體之一第一側上的門及一位於該測量殼體之一第二側上的狹槽,該第二側與該第一側相對,而且該狹槽的尺寸允許該引導銷通過。
  14. 如請求項12所述之處理工具,其中該線上測量組件包含一對準器板材,該對準器板材具有一旋轉機構,而且該旋轉機構支撐並旋轉該基板。
TW105135825A 2015-11-23 2016-11-04 在處理工具中的線上測量(obm)設計與關聯 TWI676872B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562258894P 2015-11-23 2015-11-23
US62/258,894 2015-11-23

Publications (2)

Publication Number Publication Date
TW201729018A TW201729018A (zh) 2017-08-16
TWI676872B true TWI676872B (zh) 2019-11-11

Family

ID=58721061

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105135825A TWI676872B (zh) 2015-11-23 2016-11-04 在處理工具中的線上測量(obm)設計與關聯

Country Status (4)

Country Link
US (1) US10388549B2 (zh)
CN (1) CN108292589B (zh)
TW (1) TWI676872B (zh)
WO (1) WO2017091331A1 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018031193A1 (en) * 2016-08-12 2018-02-15 Applied Materials, Inc. Critical methodology in vacuum chambers to determine gap and leveling between wafer and hardware components
US11482434B2 (en) * 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
US10790140B2 (en) * 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US11029297B2 (en) 2018-08-08 2021-06-08 Applied Materials, Inc. Method of gas composition determination, adjustment, and usage
US11177183B2 (en) * 2018-09-19 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Thickness measurement system and method
US10886155B2 (en) * 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
KR20210041654A (ko) * 2019-10-07 2021-04-16 삼성전자주식회사 반도체 기판 측정 장치, 이를 이용한 반도체 기판 처리 장치 및 반도체 소자 형성 방법
CN113594060A (zh) * 2020-05-01 2021-11-02 东京毅力科创株式会社 处理系统
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
US20230054584A1 (en) * 2021-08-18 2023-02-23 Applied Materials, Inc. Factory interface with redundancy
CN116121862B (zh) * 2022-02-15 2023-07-07 北京大学 垂直式光辅助金属有机物化学气相沉积装置及其沉积方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030062578A1 (en) * 2000-03-28 2003-04-03 James Dougan Semiconductor wafer pod
US20100173495A1 (en) * 2004-11-22 2010-07-08 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US20140078495A1 (en) * 2012-09-14 2014-03-20 Stmicroelectronics, Inc. Inline metrology for attaining full wafer map of uniformity and surface charge
TW201528416A (zh) * 2013-09-20 2015-07-16 Applied Materials Inc 用以處理基板之方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5311286A (en) * 1992-04-01 1994-05-10 Materials Technologies Corporation Apparatus and method for optically measuring a surface
US7177019B2 (en) * 1999-02-01 2007-02-13 Tokyo Electron Limited Apparatus for imaging metrology
US6579149B2 (en) * 2001-02-06 2003-06-17 International Business Machines Corporation Support and alignment device for enabling chemical mechanical polishing rinse and film measurements
US7243003B2 (en) 2002-08-31 2007-07-10 Applied Materials, Inc. Substrate carrier handler that unloads substrate carriers directly from a moving conveyor
US8698327B2 (en) * 2003-07-14 2014-04-15 Rudolph Technologies, Inc. Substrate handler
US7566900B2 (en) 2005-08-31 2009-07-28 Applied Materials, Inc. Integrated metrology tools for monitoring and controlling large area substrate processing chambers
US20070249071A1 (en) * 2006-04-21 2007-10-25 Lei Lian Neural Network Methods and Apparatuses for Monitoring Substrate Processing
US7746089B2 (en) * 2006-09-29 2010-06-29 Formfactor, Inc. Method and apparatus for indirect planarization
US7485869B2 (en) * 2007-02-27 2009-02-03 Metrosol, Inc. Prism spectrometer
CN101779116B (zh) * 2007-08-01 2013-04-24 应用材料公司 识别基板上的薄膜的方法和设备
US20100111650A1 (en) * 2008-01-31 2010-05-06 Applied Materials, Inc. Automatic substrate loading station
CN103346116B (zh) * 2008-10-07 2016-01-13 应用材料公司 用于从蚀刻基板有效地移除卤素残余物的设备
US8989890B2 (en) * 2008-11-07 2015-03-24 Applied Materials, Inc. GST film thickness monitoring
EP2251454B1 (en) * 2009-05-13 2014-07-23 SiO2 Medical Products, Inc. Vessel coating and inspection
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8546449B2 (en) * 2011-03-24 2013-10-01 Enviro Tech Chemical Services, Inc. Methods and compositions for the generation of peracetic acid on site at the point-of-use
JP6118030B2 (ja) * 2011-04-05 2017-04-19 キヤノン株式会社 測定装置、露光装置及びデバイスの製造方法
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
CN105051882B (zh) * 2013-03-15 2019-01-18 应用材料公司 基板位置对准器
NL2012432A (en) * 2013-04-30 2014-11-03 Asml Netherlands Bv Lithography cluster, method and control unit for automatic rework of exposed substrates.
KR102049445B1 (ko) * 2013-05-31 2019-11-28 삼성디스플레이 주식회사 레이저 빔 조사 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101566383B1 (ko) * 2014-04-07 2015-11-05 한국표준과학연구원 기하학적 두께와 굴절률 측정을 위한 반사형 광섬유 간섭 장치

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030062578A1 (en) * 2000-03-28 2003-04-03 James Dougan Semiconductor wafer pod
US20100173495A1 (en) * 2004-11-22 2010-07-08 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US20140078495A1 (en) * 2012-09-14 2014-03-20 Stmicroelectronics, Inc. Inline metrology for attaining full wafer map of uniformity and surface charge
TW201528416A (zh) * 2013-09-20 2015-07-16 Applied Materials Inc 用以處理基板之方法
TW201535575A (zh) * 2013-09-20 2015-09-16 Applied Materials Inc 基板載體及應用其之處理系統與傳輸基板之方法

Also Published As

Publication number Publication date
WO2017091331A1 (en) 2017-06-01
CN108292589B (zh) 2023-05-16
TW201729018A (zh) 2017-08-16
US10388549B2 (en) 2019-08-20
KR20180075702A (ko) 2018-07-04
US20170148654A1 (en) 2017-05-25
CN108292589A (zh) 2018-07-17

Similar Documents

Publication Publication Date Title
TWI676872B (zh) 在處理工具中的線上測量(obm)設計與關聯
KR102658989B1 (ko) 3차원 ic 트랜지스터들의 핀-형상 채널 영역들을 도핑하기 위한 캡핑된 ald 막들
US9997372B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US7572052B2 (en) Method for monitoring and calibrating temperature in semiconductor processing chambers
CN102543831B (zh) 用于半导体制造中的可流动沉积的系统和装置
TWI707981B (zh) 用於電漿增強化學氣相沉積期間之厚度測量的現地量測方法
US20100227046A1 (en) Film deposition apparatus, film deposition method, and computer readable storage medium
TW201832351A (zh) 3維反及製造中之階梯形包覆
US20100055347A1 (en) Activated gas injector, film deposition apparatus, and film deposition method
KR20220151000A (ko) Pecvd 장치 및 프로세스
JP2012195513A (ja) プラズマ処理装置
US10903070B2 (en) Asymmetric wafer bow compensation by chemical vapor deposition
US20110076789A1 (en) Manufacturing method of semiconductor device and substrate processing apparatus
KR102680059B1 (ko) 프로세스 툴에서의 온-보드 메트롤로지(obm) 설계 및 그 영향
US20080090310A1 (en) Substrate processing apparatus and substrate processing termination detection method
TWI828523B (zh) 蝕刻方法以及蝕刻裝置
US20230290653A1 (en) Etching method and etching apparatus
WO2022195886A1 (ja) 基板保持具、基板処理装置、半導体装置の製造方法およびプログラム
TW202314206A (zh) 用於增強光譜資料收集的終點偵測系統
KR20240089005A (ko) Pecvd 프로세스들 동안 두께 측정을 위한 인-시츄 계측 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees