TWI651583B - Photomask substrate, method for manufacturing photomask substrate, phase shift mask, method for manufacturing phase shift mask, and method for manufacturing semiconductor device - Google Patents

Photomask substrate, method for manufacturing photomask substrate, phase shift mask, method for manufacturing phase shift mask, and method for manufacturing semiconductor device Download PDF

Info

Publication number
TWI651583B
TWI651583B TW105119788A TW105119788A TWI651583B TW I651583 B TWI651583 B TW I651583B TW 105119788 A TW105119788 A TW 105119788A TW 105119788 A TW105119788 A TW 105119788A TW I651583 B TWI651583 B TW I651583B
Authority
TW
Taiwan
Prior art keywords
film
light
phase shift
mask
pattern
Prior art date
Application number
TW105119788A
Other languages
Chinese (zh)
Other versions
TW201708931A (en
Inventor
野澤順
宍戶博明
Original Assignee
日商Hoya股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商Hoya股份有限公司 filed Critical 日商Hoya股份有限公司
Publication of TW201708931A publication Critical patent/TW201708931A/en
Application granted granted Critical
Publication of TWI651583B publication Critical patent/TWI651583B/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Abstract

本發明提供一種相移光罩用之光罩基底,其具備滿足如下特性之蝕刻終止膜:對相移圖案形成時所使用之利用氟系氣體之乾式蝕刻之耐受性比透光性基板高,對化學液清洗之耐受性亦較高,對曝光之光之透過率亦較高。 The present invention provides a photomask substrate for a phase-shifting photomask, which is provided with an etching stop film that satisfies the following characteristics: the resistance to dry etching using a fluorine-based gas used in forming a phase-shifting pattern is higher than that of a light-transmitting substrate It also has higher resistance to chemical liquid cleaning and higher light transmittance to exposed light.

本發明之光罩基底之特徵在於:其係於透光性基板之主表面上具備遮光膜者,且具備於透光性基板上依序積層有蝕刻終止膜、相移膜及遮光膜之構造,相移膜包含含有矽及氧之材料,蝕刻終止膜包含含有矽、鋁及氧之材料。 The photomask base of the present invention is characterized in that it is provided with a light-shielding film on a main surface of a light-transmitting substrate, and has a structure in which an etching stop film, a phase shift film, and a light-shielding film are sequentially laminated on the light-transmitting substrate The phase shift film contains a material containing silicon and oxygen, and the etch stop film contains a material containing silicon, aluminum and oxygen.

Description

光罩基底、光罩基底之製造方法、相移光罩、相移光罩之製造方法、及半導體裝置之製造方法 Photomask substrate, method of manufacturing photomask substrate, phase shift mask, method of manufacturing phase shift mask, and method of manufacturing semiconductor device

本發明係關於一種光罩基底、使用該光罩基底所製造之相移光罩及其製造方法。又,本發明係關於一種使用上述相移光罩之半導體裝置之製造方法。 The invention relates to a photomask substrate, a phase shift photomask manufactured using the photomask substrate, and a method for manufacturing the same. The present invention also relates to a method for manufacturing a semiconductor device using the phase shift mask.

通常,於半導體裝置之製造步驟中,使用光微影法進行微細圖案之形成。該圖案之形成中通常使用數片轉印用光罩,尤其是於形成微細圖案之情形時,多使用藉由利用相位差而提高了以解析度為代表之轉印性能之相移光罩。又,於將半導體裝置之圖案進行微細化時,除以相移光罩為代表之轉印用光罩之改良、改善以外,亦必需光微影中所使用之曝光光源之波長之短波長化。因此,關於半導體裝置之製造時所使用之曝光光源,近年來自KrF準分子雷射(波長248nm)至ArF準分子雷射(波長193nm)推進短波長化。 Generally, in a manufacturing step of a semiconductor device, a fine pattern is formed using a photolithography method. A number of transfer masks are usually used in the formation of this pattern. Especially in the case of forming a fine pattern, a phase shift mask is used which improves the transfer performance represented by resolution by utilizing a phase difference. In addition, when miniaturizing the pattern of a semiconductor device, in addition to the improvement and improvement of a transfer mask typified by a phase shift mask, it is also necessary to shorten the wavelength of the exposure light source used in photolithography. . Therefore, in recent years, the exposure light source used in the manufacture of semiconductor devices has been shortened from KrF excimer laser (wavelength 248 nm) to ArF excimer laser (wavelength 193 nm).

作為相移光罩之一態樣之刻蝕雷文生(Levenson)型之相移光罩具備形成於透光性基板之包含刻蝕部及非刻蝕部之透光部、及形成有線與間隙等圖案之遮光部。具體而言,於透光性基板上之存在遮光膜之區域形成遮光部,於不存在遮光膜之透光性基板露出之區域形成透光部。刻蝕部之刻蝕深度成為能夠於透過刻蝕部之曝光之光與透過非刻蝕部之曝光之光之間賦予可獲得相移效果之特定之相位差之深度。先前,如例如專利文獻1中所揭示,刻蝕雷文生型之相移光罩係藉由使 用於透明基板上設置有包含鉻系材料之遮光膜之光罩基底之製程而製造。 An etching Levenson type phase shift mask as one aspect of a phase shift mask includes a light transmitting portion including an etched portion and a non-etched portion formed on a light-transmitting substrate, and a line and a gap are formed. The shading of the pattern. Specifically, a light-shielding portion is formed in a region where a light-shielding film is present on the light-transmitting substrate, and a light-transmitting portion is formed in a region where the light-transmitting substrate without a light-shielding film is exposed. The etching depth of the etched portion becomes a depth capable of imparting a specific phase difference that can obtain a phase shift effect between light exposed through the etched portion and light exposed through the non-etched portion. Previously, as disclosed in, for example, Patent Document 1, the phase shift mask of the etched Levinson type was made by Manufactured on a transparent substrate with a mask base provided with a light-shielding film containing a chromium-based material.

另一方面,專利文獻2中揭示有製造使用積層膜之雷文生型之相移光罩之方法。該方法中,於包含石英之透明基板上依序形成具有蝕刻終止功能之包含氧化鋁(Al2O3)之透明導電膜、及包含SiO2之透明相移膜、以Cr作為主成分之遮光膜,於遮光膜形成主透光部與輔助透光部之圖案,其後於相移膜形成輔助透光部之圖案。 On the other hand, Patent Document 2 discloses a method for manufacturing a Levinson-type phase shift mask using a laminated film. In this method, a transparent conductive film including alumina (Al 2 O 3 ), a transparent phase shift film including SiO 2 , and a light-shielding film containing Cr as a main component are sequentially formed on a transparent substrate including quartz on a transparent substrate including quartz. Film, forming a pattern of the main light transmitting portion and an auxiliary light transmitting portion on the light shielding film, and then forming a pattern of the auxiliary light transmitting portion on the phase shift film.

相移光罩等轉印用光罩之缺陷直接關係到使用該轉印用光罩所製造之半導體裝置之缺陷或良率之降低。因此,於轉印用光罩發現缺陷之情形時,進行光罩缺陷修正。作為該光罩缺陷修正技術,於專利文獻3中揭示有藉由一面對遮光膜之黑缺陷部分供給二氟化氙(XeF2)氣體一面對該部分照射電子束而將黑缺陷部進行蝕刻並去除之缺陷修正技術(以下,將此種照射電子束等荷電粒子進行之缺陷修正簡稱為「EB缺陷修正」)。該EB缺陷修正原本用於EUV微影(Extreme Ultraviolet Lithography,遠紫外微影)用之反射型光罩之吸收體膜中之黑缺陷修正,但近年來亦用於相移光罩之缺陷修正。 Defects in a transfer mask, such as a phase shift mask, are directly related to defects or reduction in yield of a semiconductor device manufactured using the transfer mask. Therefore, when a defect is found in the transfer mask, the mask defect is corrected. As this mask defect correction technology, Patent Document 3 discloses that a black defect portion is made by supplying xenon difluoride (XeF 2 ) gas to a black defect portion facing a light-shielding film and irradiating an electron beam to the portion. Defect correction technology for etching and removal (hereinafter, such defect correction by irradiating charged particles such as an electron beam is referred to as "EB defect correction"). The EB defect correction was originally used to correct black defects in the absorber film of reflective masks used in EUV lithography (Extreme Ultraviolet Lithography), but in recent years it has also been used to correct defects in phase shift masks.

[先前技術文獻] [Prior technical literature] [專利文獻] [Patent Literature]

[專利文獻1]日本專利特開平9-160218號公報 [Patent Document 1] Japanese Patent Laid-Open No. 9-160218

[專利文獻2]日本專利特開2006-084507號公報 [Patent Document 2] Japanese Patent Laid-Open No. 2006-084507

[專利文獻3]日本專利特表2004-537758號公報 [Patent Document 3] Japanese Patent Publication No. 2004-537758

先前之刻蝕雷文生型之相移光罩係構成為於基板形成刻蝕部及非刻蝕部,於透過刻蝕部之曝光之光與透過非刻蝕部之曝光之光之間產生相移效果。透過刻蝕部之曝光之光與透過非刻蝕部之曝光之光之 間之相位差係因曝光之光透過基板之距離之差而產生者。於刻蝕雷文生型之相移光罩中,理想的是於相移光罩之面內之各處所產生之相移效果之間無差異。因此,於刻蝕雷文生型之相移光罩之情形時,理想的是相移光罩之面內之各刻蝕部之深度相等。相移光罩之各刻蝕部係藉由對基板進行乾式蝕刻而同時形成。由於相移光罩中之各刻蝕部之圖案之底面形狀或深度受微槽(microtrench)現象或微負載(microloading)現象等之影響,故而不容易利用乾式蝕刻將各刻蝕部之底面形狀或深度控制為相同。近年來,隨著光罩圖案之微細化,刻蝕部之圖案寬度變得微細,變得難以控制各刻蝕部之深度。另一方面,隨著微細化,就光學上之理由而言,要求更高之相位控制,即對各刻蝕部之深度亦要求更高之控制性。 The previous etched Levinson-type phase-shifting mask is configured to form an etched portion and a non-etched portion on the substrate, and generate a phase between the light exposed through the etched portion and the light transmitted through the non-etched portion Shift effect. Light exposed through the etched portion and light exposed through the non-etched portion The phase difference is caused by the difference in the distance between the exposed light and the substrate. In an etched Levinson-type phase shift mask, it is desirable that there is no difference between the phase shift effects produced everywhere in the face of the phase shift mask. Therefore, in the case of etching a Levinson-type phase shift mask, it is desirable that the depth of each etching portion in the plane of the phase shift mask is equal. The etching portions of the phase shift mask are formed simultaneously by dry etching the substrate. Since the shape or depth of the bottom surface of each etched portion in the phase shift mask is affected by the microtrench phenomenon or the microloading phenomenon, it is not easy to use dry etching to shape the bottom surface of each etched portion. Or the depth control is the same. In recent years, with the miniaturization of the mask pattern, the pattern width of the etched portion becomes fine, and it becomes difficult to control the depth of each etched portion. On the other hand, with miniaturization, for optical reasons, a higher phase control is required, that is, a higher controllability is required for the depth of each etched portion.

再者,所謂微槽現象,係指於圖案邊緣部之附近產生較深之刻蝕而形成微細之溝槽之現象。又,所謂微負載現象,係指於微細圖案之情形時所蝕刻之深度根據圖案之開口部之寬度而不同之現象。 In addition, the so-called micro-groove phenomenon refers to a phenomenon in which a deep etch occurs near the edge of the pattern to form a fine groove. The micro-load phenomenon refers to a phenomenon in which the depth of the etching in the case of a fine pattern varies depending on the width of the opening portion of the pattern.

專利文獻2中所揭示之相移光罩係構成為於透過於包含SiO2之透明相移膜形成有圖案之輔助透光部之曝光之光與透過於該相移膜未形成有圖案之主透光部之曝光之光之間產生相移效果。即,專利文獻2中所揭示之相移光罩係代替先前之刻蝕雷文生型之相移光罩之刻蝕部而以SiO2之相移膜作為相移圖案,產生與形成刻蝕部之情形同樣之較高之相移效果。進而,專利文獻2之相移光罩於透明基板與包含SiO2之相移膜之間設置有包含Al2O3之透明導電膜(蝕刻終止膜)。關於包含Al2O3之蝕刻終止膜,於包含SiO2之相移膜形成圖案時,對藉由氟系氣體進行之乾式蝕刻具有較高之耐受性。因此,可抑制於包含SiO2之相移膜形成圖案時刻蝕基板,可提高相位控制性而縮小相移光罩之面內之相移效果之差異。 The phase shift mask disclosed in Patent Document 2 is composed of light that is exposed to light transmitted through an auxiliary light-transmitting portion having a pattern formed on a transparent phase shift film containing SiO 2 and a main that passes through the phase shift film without being patterned. A phase shift effect occurs between the exposed light of the light transmitting portion. That is, the phase shift mask disclosed in Patent Document 2 replaces the previously etched portions of the Levinson-type phase shift mask and uses a phase shift film of SiO 2 as a phase shift pattern to generate and form the etched portions. The situation is the same with a higher phase shift effect. Further, in the phase shift mask of Patent Document 2, a transparent conductive film (etch stop film) containing Al 2 O 3 is provided between the transparent substrate and the phase shift film containing SiO 2 . Regarding the etching stopper film containing Al 2 O 3 , when a phase shift film containing SiO 2 is patterned, it has a high resistance to dry etching by a fluorine-based gas. Therefore, it is possible to prevent the substrate from being etched at the time of forming the pattern of the phase shift film containing SiO 2 , improve the phase controllability, and reduce the difference in the phase shift effect in the plane of the phase shift mask.

但是,包含Al2O3之蝕刻終止膜有對化學液清洗之耐受性較低之 傾向。於由光罩基底製造相移光罩之製程之中途,對光罩基底進行數次使用化學液之清洗。又,對完成後之相移光罩亦定期進行利用化學液之清洗。於該等清洗中,多使用氨水過氧化氫混合物或TMAH(氫氧化四甲基銨)水溶液作為清洗液,但包含Al2O3之蝕刻終止膜對該等清洗液之耐受性較低。 However, the etching stopper film containing Al 2 O 3 tends to have low resistance to chemical liquid cleaning. During the process of manufacturing the phase shift mask from the mask substrate, the mask substrate was cleaned several times with chemical liquid. In addition, the phase shift mask after the completion is also cleaned regularly with a chemical liquid. In these cleanings, an ammonia hydrogen peroxide mixture or a TMAH (tetramethylammonium hydroxide) aqueous solution is often used as a cleaning liquid, but an etching stopper film containing Al 2 O 3 has low resistance to these cleaning liquids.

例如,有時對於包含玻璃之透光性基板上具備包含Al2O3之蝕刻終止膜及形成有相移圖案之相移膜之相移光罩,進行利用氨水過氧化氫混合物之清洗。此時,於相移光罩中之蝕刻終止膜之表面露出之透光部,該蝕刻終止膜自表面逐漸溶解,當進行溶解時,基板之主表面於該透光部露出。並且,當進一步進行清洗時,存在相移膜之圖案部分之正下方之蝕刻終止膜亦自相移膜之側壁側向內部側逐漸溶解。由於該蝕刻終止膜溶解之現象自相移膜之圖案之兩側壁側分別進行,故而未溶解而殘存之蝕刻終止膜之寬度相較於相移膜之圖案寬度變小。若成為此種狀態,則變得容易產生相移膜之圖案脫落之現象。 For example, on a light-transmitting substrate containing glass, a phase-shifting mask including an Al 2 O 3 -containing etching stop film and a phase-shifting film formed with a phase-shifting film may be used to clean a phase-shifting mask using an ammonia-hydrogen peroxide mixture. At this time, the light-transmitting portion exposed on the surface of the etch stop film in the phase shift mask is gradually dissolved from the surface. When the dissolution is performed, the main surface of the substrate is exposed on the light-transmitting portion. In addition, when further cleaning is performed, the etching stopper film immediately below the pattern portion of the phase shift film also gradually dissolves from the side wall side to the inner side of the phase shift film. The dissolution of the etch stop film occurs separately from the two sidewall sides of the pattern of the phase shift film, so the width of the remaining etch stop film that remains undissolved becomes smaller than the pattern width of the phase shift film. In such a state, the phenomenon that the pattern of the phase shift film falls off easily occurs.

又,將相移光罩設置於曝光裝置而對轉印對象物(半導體晶圓上之抗蝕劑膜等)進行曝光轉印時,曝光之光自相移光罩之透光性基板之與設置有相移圖案之主表面為相反側之主表面側入射。入射至透光性基板後之曝光之光於刻蝕部經由蝕刻終止膜而出射至大氣中,於非刻蝕部經由蝕刻終止膜及相移膜而出射至大氣中。相移光罩之相移膜之光學特性或厚度係以透過刻蝕部及非刻蝕部之各曝光之光均經由蝕刻終止膜為前提而設計。但是,若藉由對相移光罩進行上述清洗而使非刻蝕部之蝕刻終止膜發生膜減少或消失,則有透過刻蝕部與非刻蝕部之各者之曝光之光之間之相位差無法與設計相同,變得不易獲得預定之相移效果之虞。 In addition, when a phase shift mask is set on an exposure device to perform an exposure transfer on a transfer object (a resist film on a semiconductor wafer, etc.), the exposed light is transmitted from the sum of the light-transmitting substrates of the phase shift mask. The main surface provided with the phase shift pattern is incident on the main surface side of the opposite side. The exposed light incident on the light-transmitting substrate is emitted into the atmosphere through the etching stopper film at the etched portion, and is emitted into the atmosphere through the etching stopper film and the phase shift film at the non-etched portion. The optical characteristics or thickness of the phase-shifting film of the phase-shifting mask is designed on the premise that the light passing through each exposure of the etched portion and the non-etched portion passes through the etching stopper film. However, if the etching stopper film of the non-etched portion is reduced or disappeared by performing the above-mentioned cleaning on the phase shift mask, there is a difference between the light that is exposed through each of the etched portion and the non-etched portion. The phase difference cannot be the same as the design, and it becomes difficult to obtain a predetermined phase shift effect.

進而,包含Al2O3之蝕刻終止膜存在對曝光之光之透過率低於相移光罩之透光性基板之材料所使用之合成石英玻璃之問題。於將ArF 準分子雷射(波長193nm)應用於曝光之光之相移光罩之情形時,更顯著地表現出該傾向。包含Al2O3之蝕刻終止膜於相移光罩完成之階段中殘留於透光部之刻蝕部及非刻蝕部之兩者。相移光罩之透光部中之曝光之光之透過率降低會導致每單位時間之曝光之光對轉印對象物之累計照射量降低。因此,必須延長曝光時間而導致半導體裝置之製造中之曝光轉印步驟之產出量之降低。 Furthermore, the etching stopper film containing Al 2 O 3 has a problem that the transmittance of the exposed light is lower than that of the synthetic quartz glass used for the material of the light-transmitting substrate of the phase shift mask. This tendency is even more pronounced when an ArF excimer laser (wavelength 193 nm) is applied to a phase shift mask of the exposed light. The etch stop film containing Al 2 O 3 remains in both the etched portion and the non-etched portion of the light transmitting portion during the phase-shifting mask completion stage. Decreasing the transmittance of the exposed light in the light-transmitting portion of the phase shift mask will cause the cumulative exposure of the exposed light to the transfer object per unit time to decrease. Therefore, it is necessary to lengthen the exposure time to cause a reduction in the output of the exposure transfer step in the manufacture of the semiconductor device.

本發明係為了解決上述先前之課題而完成者。即,其目的在於提供一種具備如下蝕刻終止膜之相移光罩用之光罩基底,該蝕刻終止膜於將於透光性基板上具備如相移膜或遮光膜之圖案形成用薄膜之光罩基底設為使透光性基板與圖案形成用薄膜之間介置蝕刻終止膜之構成之情形時,對將圖案形成用薄膜進行圖案化時所使用之利用氟系氣體之乾式蝕刻之耐受性較高,對化學液清洗之耐受性較高,進而對曝光之光之透過率較高。又,其目的在於提供一種使用該光罩基底所製造之相移光罩。進而,其目的在於提供一種製造此種相移光罩之方法。並且,本發明之目的在於提供一種使用此種相移光罩之半導體裝置之製造方法。 The present invention has been made in order to solve the aforementioned conventional problems. That is, the object is to provide a photomask base for a phase-shifting photomask provided with an etching stopper film having a pattern-forming film such as a phase-shifting film or a light-shielding film on a light-transmitting substrate. When the cover substrate is configured to have an etching stopper interposed between the light-transmitting substrate and the pattern-forming film, resistance to dry etching using a fluorine-based gas used for patterning the pattern-forming film is used. It has higher resistance, better resistance to chemical liquid cleaning, and higher transmittance to exposed light. Another object is to provide a phase shift mask manufactured using the mask substrate. Furthermore, the object is to provide a method for manufacturing such a phase shift mask. An object of the present invention is to provide a method for manufacturing a semiconductor device using such a phase shift mask.

為了達成上述課題,本發明具有以下構成。 In order to achieve the above-mentioned problems, the present invention has the following configuration.

(構成1) (Composition 1)

一種光罩基底,其特徵在於:其係於透光性基板之主表面上具備遮光膜者,且具備於上述透光性基板上依序積層有蝕刻終止膜、相移膜及上述遮光膜之構造,上述相移膜包含含有矽及氧之材料,上述蝕刻終止膜包含含有矽、鋁及氧之材料。 A photomask base, characterized in that it is provided on a main surface of a light-transmitting substrate with a light-shielding film, and is provided with an etching stop film, a phase shift film, and the light-shielding film sequentially laminated on the light-transmitting substrate Structure, the phase shift film includes a material containing silicon and oxygen, and the etch stop film includes a material containing silicon, aluminum, and oxygen.

(構成2) (Composition 2)

如構成1記載之光罩基底,其特徵在於:上述蝕刻終止膜之氧含量為60原子%以上。 According to the photomask substrate described in the configuration 1, the oxygen content of the etching stopper film is 60 atomic% or more.

(構成3) (Composition 3)

如構成1或2記載之光罩基底,其特徵在於:上述蝕刻終止膜之上述矽之含量相對於上述矽及上述鋁之合計含量的基於原子%之比率為4/5以下。 If the photomask base according to 1 or 2 is formed, the ratio of the content of the silicon in the etching stopper film to the total content of the silicon and the aluminum based on atomic% is 4/5 or less.

(構成4) (Composition 4)

如構成1至3中任一項記載之光罩基底,其特徵在於:上述蝕刻終止膜包含矽、鋁及氧。 According to the photomask substrate according to any one of 1 to 3, the etching stopper film includes silicon, aluminum, and oxygen.

(構成5) (Composition 5)

如構成1至4中任一項記載之光罩基底,其特徵在於:上述蝕刻終止膜係與上述透光性基板之主表面相接而形成。 According to the photomask substrate according to any one of the constitutions 1 to 4, the etching stopper film is formed in contact with the main surface of the transparent substrate.

(構成6) (Composition 6)

如構成1至5中任一項記載之光罩基底,其特徵在於:上述蝕刻終止膜之厚度為3nm以上。 In the photomask substrate according to any one of 1 to 5, the thickness of the etching stopper film is 3 nm or more.

(構成7) (Composition 7)

如構成1至6中任一項記載之光罩基底,其特徵在於:上述相移膜具備依序積層有包含含有矽及氧之材料之下層、及包含含有矽、鋁及氧之材料之上層之構造。 If the photomask substrate according to any one of 1 to 6 is constituted, the phase shift film has a lower layer containing a material containing silicon and oxygen and an upper layer containing a material containing silicon, aluminum, and oxygen. Of the structure.

(構成8) (Composition 8)

如構成1至7中任一項記載之光罩基底,其特徵在於:上述相移膜具有使透過上述相移膜之曝光之光與於空氣中通過與上述相移膜之厚度相同距離之曝光之光之間產生150度以上且200度以下之相位差之功能。 If the photomask base according to any one of 1 to 7 is constituted, the phase shift film has an exposure light that passes through the phase shift film and the light passes through the same distance in the air as the thickness of the phase shift film. This function generates a phase difference between 150 degrees and 200 degrees.

(構成9) (Composition 9)

如構成1至8中任一項記載之光罩基底,其特徵在於:上述相移膜具有使曝光之光以95%以上之透過率透過之功能。 If the photomask base according to any one of 1 to 8 is constituted, the phase shift film has a function of transmitting exposed light at a transmittance of 95% or more.

(構成10) (Composition 10)

如構成1至9中任一項記載之光罩基底,其特徵在於:上述遮光膜包含含有鉻之材料。 In the photomask substrate according to any one of 1 to 9, the light-shielding film includes a material containing chromium.

(構成11) (Composition 11)

如構成1至9中任一項記載之光罩基底,其中上述遮光膜包含含有選自矽及鉭中之至少一種以上之元素之材料。 The photomask substrate according to any one of 1 to 9, wherein the light-shielding film includes a material containing at least one element selected from silicon and tantalum.

(構成12) (Composition 12)

如構成10記載之光罩基底,其特徵在於:於上述遮光膜上具備包含含有選自矽及鉭中之至少一種以上之元素之材料之硬遮罩膜。 The mask base according to the constitution 10 is characterized in that a hard mask film containing a material containing at least one element selected from silicon and tantalum is provided on the light shielding film.

(構成13) (Composition 13)

如構成11記載之光罩基底,其特徵在於:於上述遮光膜上具備包含含有鉻之材料之硬遮罩膜。 The mask base according to the constitution 11 is characterized in that a hard mask film including a material containing chromium is provided on the light shielding film.

(構成14) (Composition 14)

一種相移光罩,其特徵在於:於如構成1至11中任一項記載之光罩基底之上述相移膜具有相移圖案,於上述遮光膜具有遮光圖案。 A phase-shifting photomask, wherein the phase-shifting film on the photomask substrate described in any one of 1 to 11 has a phase-shifting pattern and the light-shielding film has a light-shielding pattern.

(構成15) (Composition 15)

一種相移光罩之製造方法,其特徵在於:其係使用如構成1至6中任一項記載之光罩基底者,且具備如下步驟:藉由乾式蝕刻於上述遮光膜形成相移圖案之步驟;以上述具有相移圖案之遮光膜作為光罩,藉由使用氟系氣體之乾式蝕刻於上述相移膜形成相移圖案之步驟;及藉由乾式蝕刻於上述遮光膜形成包含遮光帶之遮光圖案之步驟。 A method for manufacturing a phase-shifting photomask, which is characterized in that it uses a photomask base as described in any one of 1 to 6 and has the following steps: forming a phase-shifting pattern on the light-shielding film by dry etching Step; using the above-mentioned light-shielding film having a phase-shift pattern as a photomask, and forming a phase-shift pattern on the above-mentioned phase-shifting film by dry etching using a fluorine-based gas; and forming a light-shielding band on the above-mentioned light-shielding film by dry etching Step of shading pattern.

(構成16) (Composition 16)

一種半導體裝置之製造方法,其特徵在於:具備使用如構成14記載之相移光罩,將相移光罩上之圖案曝光轉印至半導體基板上之抗蝕劑膜。 A method for manufacturing a semiconductor device, comprising: using a phase shift mask according to the constitution 14; a resist film for exposing and transferring a pattern on the phase shift mask onto a semiconductor substrate.

(構成17) (Composition 17)

一種半導體裝置之製造方法,其特徵在於:具備使用藉由如構成15記載之相移光罩之製造方法所製造之相移光罩,將相移光罩上之圖案曝光轉印至半導體基板上之抗蝕劑膜之步驟。 A method for manufacturing a semiconductor device, comprising: using a phase shift mask manufactured by the manufacturing method of the phase shift mask described in the constitution 15; and exposing and transferring a pattern on the phase shift mask onto a semiconductor substrate. Of the resist film.

本發明之光罩基底之特徵在於:其係於透光性基板之主表面上具備遮光膜之相移光罩用之光罩基底,且於該透光性基板與遮光膜之間依序積層設置蝕刻終止膜及相移膜,該相移膜含有矽及氧,該蝕刻終止膜含有矽、鋁及氧。藉由設為此種構造之光罩基底,蝕刻終止膜能夠同時滿足如下3種特性:具有對在相移膜形成圖案時進行之利用氟系氣體之乾式蝕刻之耐受性於實際應用上充分高之蝕刻終止功能,對化學液清洗之耐受性亦較高,對曝光之光之透過率亦較高。 The photomask substrate of the present invention is characterized in that it is a photomask substrate for a phase-shift photomask provided with a light-shielding film on a main surface of a light-transmitting substrate, and is sequentially laminated between the light-transmitting substrate and the light-shielding film. An etch stop film and a phase shift film are provided. The phase shift film contains silicon and oxygen, and the etch stop film contains silicon, aluminum, and oxygen. With the photomask base having such a structure, the etching stopper film can simultaneously satisfy the following three characteristics: it has resistance to dry etching using a fluorine-based gas when the phase shift film is patterned, and is practically sufficient High etch stop function, high resistance to chemical cleaning, and high transmittance to exposed light.

1‧‧‧透光性基板 1‧‧‧Transparent substrate

2‧‧‧蝕刻終止膜 2‧‧‧ Etching stop film

2c‧‧‧蝕刻終止圖案 2c‧‧‧etch stop pattern

3‧‧‧相移膜 3‧‧‧ phase shift film

3c、3e‧‧‧相移圖案 3c, 3e‧‧‧phase shift pattern

4‧‧‧相移膜 4‧‧‧ phase shift film

4c‧‧‧相移圖案 4c‧‧‧Phase shift pattern

5‧‧‧遮光膜 5‧‧‧ light-shielding film

5a、5f‧‧‧遮光圖案 5a, 5f ‧ ‧ ‧ shading pattern

6、9‧‧‧硬遮罩膜 6, 9‧‧‧ hard mask film

6a、6d、6f、9e、9f‧‧‧硬遮罩圖案 6a, 6d, 6f, 9e, 9f ‧‧‧ hard mask patterns

7a‧‧‧抗蝕劑圖案 7a‧‧‧resist pattern

8b‧‧‧抗蝕劑圖案 8b‧‧‧resist pattern

17f‧‧‧抗蝕劑圖案 17f‧‧‧resist pattern

18e‧‧‧抗蝕劑圖案 18e‧‧‧resist pattern

31‧‧‧下層 31‧‧‧ lower level

31c‧‧‧下層圖案 31c‧‧‧lower pattern

32‧‧‧上層(蝕刻終止膜) 32‧‧‧upper layer (etch stop film)

32c‧‧‧上層圖案(蝕刻終止圖案) 32c‧‧‧Upper pattern (etch stop pattern)

101、103、105、106‧‧‧光罩基底 101, 103, 105, 106‧‧‧ Mask base

201、203、205、206‧‧‧相移光罩 201, 203, 205, 206‧‧‧ phase shift mask

700‧‧‧相移膜之表面 700‧‧‧ Surface of phase shift film

701‧‧‧相移圖案之開口部之表面 701‧‧‧ Surface of opening of phase shift pattern

702‧‧‧刻蝕部 702‧‧‧Etching Department

900‧‧‧圖案形成區域 900‧‧‧ pattern formation area

901‧‧‧遮光帶形成區域 901‧‧‧ Shading zone formation area

圖1係表示本發明之第1及第2實施形態中之光罩基底之構成的剖視圖。 Fig. 1 is a sectional view showing the structure of a mask base in the first and second embodiments of the present invention.

圖2係表示本發明之第1及第2實施形態中之相移光罩之構成的剖視圖。 Fig. 2 is a sectional view showing the structure of a phase shift mask in the first and second embodiments of the present invention.

圖3(a)~(g)係表示本發明之第1及第2實施形態中之相移光罩之製造步驟的剖視示意圖。 3 (a) to (g) are schematic cross-sectional views showing manufacturing steps of a phase shift mask in the first and second embodiments of the present invention.

圖4係表示本發明之第3及第4實施形態中之光罩基底之構成的剖視圖。 Fig. 4 is a sectional view showing the structure of a mask base in the third and fourth embodiments of the present invention.

圖5係表示本發明之第3及第4實施形態中之相移光罩之構成的剖視圖。 Fig. 5 is a sectional view showing the configuration of a phase shift mask in the third and fourth embodiments of the present invention.

圖6(a)~(h)係表示本發明之第3及第4實施形態中之相移光罩之製造步驟的剖視示意圖。 6 (a) to (h) are schematic cross-sectional views showing the manufacturing steps of the phase shift mask in the third and fourth embodiments of the present invention.

圖7係表示本發明之第5實施形態中之光罩基底之構成的剖視圖。 Fig. 7 is a cross-sectional view showing the configuration of a mask base in a fifth embodiment of the present invention.

圖8係表示本發明之第5實施形態中之相移光罩之構成的剖視圖。 Fig. 8 is a sectional view showing the structure of a phase shift mask in a fifth embodiment of the present invention.

圖9(a)~(g)係表示本發明之第5實施形態中之相移光罩之製造步驟的剖視示意圖。 9 (a) to (g) are schematic cross-sectional views showing manufacturing steps of a phase shift mask in a fifth embodiment of the present invention.

圖10係表示另一實施形態中之光罩基底之構成的剖視圖。 Fig. 10 is a cross-sectional view showing the configuration of a mask base in another embodiment.

圖11係表示另一實施形態中之相移光罩之構成的剖視圖。 FIG. 11 is a cross-sectional view showing the configuration of a phase shift mask in another embodiment.

圖12(a)~(h)係表示另一實施形態中之相移光罩之製造步驟的剖視示意圖。 12 (a)-(h) are schematic cross-sectional views showing manufacturing steps of a phase shift mask in another embodiment.

首先,敍述完成本發明之原委。本發明者等人為了解決包含Al2O3之蝕刻終止膜所具有之技術性課題而進行努力研究。作為蝕刻終止膜之材料之Al2O3對利用氟系氣體之乾式蝕刻之耐受性較高,對ArF準分子雷射(波長:約193nm)之曝光之光之透過率如下述比較例1所示並不太高,對相移光罩之清洗所使用之清洗液之耐受性亦較低。另一方面,作為透光性基板之主材料之SiO2係對ArF準分子雷射之曝光之光之透過率較高,對相移光罩之清洗所使用之清洗液之耐受性亦較高之材料,但係容易因利用氟系氣體之乾式蝕刻而被蝕刻之材料。因此,本發明者等人進行努力研究,結果發現藉由以混合Al2O3與SiO2而成之材料形成蝕刻終止膜,而能夠滿足對利用氟系氣體之乾式蝕刻之耐受性、對ArF準分子雷射(波長:約193nm)之曝光之光之較高之透過率、對相移光罩之清洗所使用之清洗液之耐受性之3個條件之全部之可能性。 First, the reasons for completing the present invention will be described. The present inventors have made intensive studies in order to solve a technical problem that an etching stopper film containing Al 2 O 3 has. Al 2 O 3 as the material of the etching stopper film has high resistance to dry etching using a fluorine-based gas, and the transmittance of light exposed to ArF excimer laser (wavelength: about 193 nm) is shown in Comparative Example 1 below. The illustration is not too high, and the resistance to the cleaning fluid used for cleaning the phase shift mask is also low. On the other hand, SiO 2 as the main material of the light-transmitting substrate has a higher transmittance to light exposed by ArF excimer lasers, and is more resistant to the cleaning liquid used for cleaning the phase-shift mask. A high material, but a material that is easily etched by dry etching using a fluorine-based gas. Therefore, the inventors of the present inventors conducted diligent research, and as a result, they found that by forming an etching stopper film with a material composed of a mixture of Al 2 O 3 and SiO 2 , the resistance to dry etching using a fluorine-based gas can be satisfied. ArF excimer laser (wavelength: about 193nm) exposure to light has a higher transmittance, the possibility of all three conditions of the tolerance to the cleaning liquid used for cleaning the phase shift mask.

使用包含混合Al2O3與SiO2而成之材料之蝕刻終止膜進行驗證,結果判明,對利用氟系氣體之乾式蝕刻於實際應用上具有充分之耐受性,該膜作為蝕刻終止膜而充分發揮功能。又,關於對ArF準分子雷射之曝光之光之透過率,判明若與僅包含Al2O3之蝕刻終止膜相比, 則透過率非常高,可耐受實際應用。進而,判明對清洗液(氨水過氧化氫混合物、TMAH等)之耐受性與僅包含Al2O3之蝕刻終止膜相比亦非常高,於實際應用上無問題。又,對包含混合Al2O3與SiO2而成之材料之蝕刻終止膜進行EB缺陷修正中進行之一面供給二氟化氙(XeF2)氣體一面對該部分照射電子束之處理,結果亦判明,與使用僅包含SiO2之材料之情形相比,耐受性充分高。其意味著有能夠進行對於先前之刻蝕雷文生型相移光罩而言困難之對刻蝕部之EB缺陷修正之可能性。 The verification was performed using an etching stop film containing a material composed of a mixture of Al 2 O 3 and SiO 2. As a result, it was found that dry etching using a fluorine-based gas has sufficient resistance in practical applications. This film is used as an etching stop film. Make the most of it. In addition, regarding the light transmittance of the light exposed to the ArF excimer laser, it was found that the transmittance is very high as compared with an etching stopper film containing only Al 2 O 3 and can withstand practical applications. Furthermore, it was found that the resistance to the cleaning solution (ammonia water hydrogen peroxide mixture, TMAH, etc.) is also very high compared to the etching stopper film containing only Al 2 O 3 , and there is no problem in practical application. In addition, during the EB defect correction of an etch stop film containing a material composed of a mixture of Al 2 O 3 and SiO 2 , a process of supplying xenon difluoride (XeF 2 ) gas to one side and irradiating an electron beam to the part was performed. As a result, It was also found that the resistance was sufficiently high compared to the case where a material containing only SiO 2 was used. This means that it is possible to perform the EB defect correction of the etched portion, which was difficult for the previously etched Levinson-type phase shift mask.

以上努力研究之結果得出如下結論:為了解決包含Al2O3之蝕刻終止膜所具有之技術性課題,必須由含有矽、鋁及氧之材料形成蝕刻終止膜。即,本發明之光罩基底之特徵在於:其係於透光性基板之主表面上具備相移膜及遮光膜之相移光罩用之光罩基底,且相移膜含有矽及氧,於透光性基板與相移膜之間具有蝕刻終止膜,該蝕刻終止膜含有矽、鋁及氧。其次,對本發明之各實施形態進行說明。 The results of the above efforts have drawn the following conclusions: In order to solve the technical problems of an etch stop film containing Al 2 O 3 , it is necessary to form an etch stop film from a material containing silicon, aluminum, and oxygen. That is, the photomask base of the present invention is characterized in that it is a photomask base for a phase shift photomask having a phase shift film and a light-shielding film on a main surface of a light-transmitting substrate, and the phase shift film contains silicon and oxygen, An etch stop film is provided between the translucent substrate and the phase shift film, and the etch stop film contains silicon, aluminum, and oxygen. Next, each embodiment of the present invention will be described.

<第1實施形態> <First Embodiment>

[光罩基底及其製造] [Mask Substrate and Manufacturing]

以下,一面參照圖式一面對各實施形態進行說明。再者,有時針對各實施形態對相同構成要素使用同一符號而將說明簡化或省略。 Hereinafter, each embodiment will be described with reference to the drawings. In addition, the same symbols are used for the same constituent elements in each embodiment, and the description may be simplified or omitted.

本發明之第1實施形態之光罩基底係用以製造雷文生型相移光罩之光罩基底。雷文生型相移光罩具備於分別透過夾持吸收曝光之光之遮光圖案之2個透光部的2個曝光之光之間產生特定之相位差(通常為180度左右之相位差)之構造。藉由具備此種構造,利用於透過2個透光部之2個曝光之光之間產生之干涉而使繞射光抵消,圖案之解析度大幅提高(將其稱為相移效果)。又,於雷文生型相移光罩之情形時,未自遮光圖案透過曝光之光者於2個透光部間之相移效果提高,因此與二元光罩之情形同樣地,通常由具有較高之遮光性能之遮光膜形成 遮光圖案。 The mask base of the first embodiment of the present invention is a mask base for manufacturing a Levinson-type phase shift mask. The Levinson-type phase shift mask is provided with a specific phase difference (usually a phase difference of about 180 degrees) between the two exposed lights of the two light-transmitting portions of the light-shielding pattern that respectively absorbs the exposed light by clamping. structure. By having such a structure, the diffraction light is cancelled by the interference generated between the light transmitted through the two light-transmitting portions and the two exposure portions, and the resolution of the pattern is greatly improved (this is called a phase shift effect). Also, in the case of a Levinson-type phase shift mask, the phase shift effect between the two light-transmitting parts is improved by the person who has not transmitted the light from the light-shielding pattern through the exposure. Therefore, as in the case of the binary mask, the Light-shielding film formation with higher light-shielding performance Shading pattern.

於圖1中表示該第1實施形態之光罩基底之構成。該第1實施形態之光罩基底101於透光性基板1之主表面上具備蝕刻終止膜2、相移膜3、及遮光膜5。 FIG. 1 shows the configuration of the mask base of the first embodiment. The photomask base 101 of the first embodiment includes an etching stopper film 2, a phase shift film 3, and a light-shielding film 5 on the main surface of the translucent substrate 1.

透光性基板1只要為對曝光之光具有較高之透過率且具有充分之剛性者,則並無特別限制。於本發明中,可使用合成石英玻璃基板、其他各種玻璃基板(例如,鈉鈣玻璃、鋁矽酸鹽玻璃等)。該等基板中,尤其是合成石英玻璃基板於ArF準分子雷射光(波長193nm)或較其短波長之區域中透過率較高,因此作為高精細之轉印圖案形成所使用之本發明之光罩基底之基板較佳。其中,該等玻璃基板均為容易因利用氟系氣體之乾式蝕刻而被蝕刻之材料。因此,於透光性基板1上設置蝕刻終止膜2之意義較大。 The translucent substrate 1 is not particularly limited as long as it has a high transmittance to the exposed light and has sufficient rigidity. In the present invention, synthetic quartz glass substrates and other various glass substrates (for example, soda lime glass, aluminosilicate glass, etc.) can be used. Among these substrates, especially the synthetic quartz glass substrate has a higher transmittance in the ArF excimer laser light (wavelength 193nm) or a shorter wavelength region, so the light of the present invention used as a high-definition transfer pattern formation The substrate of the cover base is preferred. Among them, these glass substrates are materials that are easily etched by dry etching using a fluorine-based gas. Therefore, it is significant to provide the etching stopper film 2 on the translucent substrate 1.

蝕刻終止膜2係由含有矽、鋁及氧之材料所形成。該蝕刻終止膜2係於相移光罩201完成之階段中於轉印圖案形成區域之整面未去除而殘留者(參照圖2)。即,呈現於作為無相移圖案3c之區域之透光部亦殘存有蝕刻終止膜2之形態。因此,蝕刻終止膜2較佳為於與透光性基板1之間未介置其他膜而是與透光性基板1相接而形成。 The etching stopper film 2 is formed of a material containing silicon, aluminum, and oxygen. This etching stopper film 2 is a residue that remains on the entire surface of the transfer pattern formation area during the completion of the phase shift mask 201 (see FIG. 2). That is, the appearance of the etching stopper film 2 also remains in the light-transmitting portion in the region that is the non-phase shift pattern 3c. Therefore, the etching stopper film 2 is preferably formed by being in contact with the translucent substrate 1 without interposing another film therebetween.

蝕刻終止膜2對曝光之光之透過率越高越佳,但對於蝕刻終止膜2亦同時要求於與透光性基板1之間對氟系氣體之充分之蝕刻選擇性,因此難以將對曝光之光之透過率設為與透光性基板1相同之透過率。即,將對曝光之光之透光性基板1(合成石英玻璃)之透過率設為100%時之蝕刻終止膜2之透過率成為未達100%。將對曝光之光之透光性基板1之透過率設為100%時之蝕刻終止膜2之透過率較佳為95%以上,更佳為96%以上,進而較佳為97%以上。 The higher the transmittance of the etching stopper film 2 to the exposed light, the better it is. However, the etching stopper film 2 also requires sufficient etching selectivity to the fluorine-based gas between the etch stopper film 2 and the translucent substrate 1. The light transmittance is set to the same transmittance as the light-transmitting substrate 1. That is, when the transmittance of the light-transmitting substrate 1 (synthetic quartz glass) to the exposed light is 100%, the transmittance of the etching stopper film 2 is less than 100%. When the transmittance of the light-transmitting substrate 1 to the exposed light is 100%, the transmittance of the etching stopper film 2 is preferably 95% or more, more preferably 96% or more, and still more preferably 97% or more.

蝕刻終止膜2較佳為氧含量為60原子%以上。其原因在於,為了將對曝光之光之透過率設為上述數值以上,要求於蝕刻終止膜2中含 有大量氧。又,有相較於與氧未鍵結之矽而與氧鍵結之狀態之矽對化學液清洗(尤其是氨水過氧化氫混合物或TMAH等鹼清洗)之耐受性變高之傾向,因此較佳為提高存在於蝕刻終止膜2中之全部矽中之與氧成為鍵結狀態者之比率。另一方面,蝕刻終止膜2較佳為氧含量為66原子%以下。 The etching stopper film 2 preferably has an oxygen content of 60 atomic% or more. The reason for this is that in order to set the transmittance of the exposed light to the above-mentioned value or more, it is required to include in the etching stopper film 2. There is a lot of oxygen. In addition, compared with silicon that is not bonded to oxygen and silicon that is bonded to oxygen, the resistance to chemical liquid cleaning (especially, ammonia water hydrogen peroxide mixture or alkali cleaning such as TMAH) tends to be higher. It is preferable to increase the ratio of all of the silicon present in the etching stopper film 2 to those in which oxygen is in a bonded state. On the other hand, the etching stopper film 2 preferably has an oxygen content of 66 atomic% or less.

蝕刻終止膜2較佳為矽(Si)之含量[原子%]相對於矽(Si)及鋁(Al)之合計含量[原子%]之比率(以下,稱為「Si/[Si+Al]比率」)為4/5以下。藉由將蝕刻終止膜2之Si/[Si+Al]比率設為4/5以下,可使針對利用氟系氣體之乾式蝕刻之蝕刻終止膜2之蝕刻速率成為透光性基板1之蝕刻速率之1/3以下。即,於透光性基板1與蝕刻終止膜2之間獲得3倍以上之蝕刻選擇比。又,蝕刻終止膜2中之Si/[Si+Al]比率更佳為3/4以下,進而較佳為2/3以下。於Si/[Si+Al]比率為2/3以下之情形時,可使針對利用氟系氣體之乾式蝕刻之蝕刻終止膜2之蝕刻速率成為透光性基板1之蝕刻速率之1/5以下。即,於透光性基板1與蝕刻終止膜2之間獲得5倍以上之蝕刻選擇比。 The etching stopper film 2 is preferably a ratio of the content of silicon (Si) [atomic%] to the total content of silicon (Si) and aluminum (Al) [atomic%] (hereinafter referred to as "Si / [Si + Al] Ratio ") is 4/5 or less. By setting the Si / [Si + Al] ratio of the etching stopper film 2 to 4/5 or less, the etching rate of the etching stopper film 2 for dry etching using a fluorine-based gas can be made into the etching rate of the light-transmitting substrate 1. Less than 1/3. That is, an etching selectivity ratio of 3 times or more is obtained between the translucent substrate 1 and the etching stopper film 2. The Si / [Si + Al] ratio in the etching stopper film 2 is more preferably 3/4 or less, and even more preferably 2/3 or less. When the Si / [Si + Al] ratio is 2/3 or less, the etching rate of the etching stopper film 2 for dry etching using a fluorine-based gas can be made 1/5 or less of the etching rate of the light-transmitting substrate 1. . That is, an etching selection ratio of 5 times or more is obtained between the translucent substrate 1 and the etching stopper film 2.

蝕刻終止膜2較佳為矽(Si)及鋁(Al)之Si/[Si+Al]比率為1/5以上。藉由將蝕刻終止膜2之Si/[Si+Al]比率設為1/5以上,可使對曝光之光之透光性基板1(合成石英玻璃)之透過率設為100%時之蝕刻終止膜2之透過率成為95%以上。又,同時亦可提高對化學液清洗之耐受性。又,蝕刻終止膜2中之Si/[Si+Al]比率更佳為1/3以上。於Si/[Si+Al]比率為1/3以上之情形時,可使將對曝光之光之透光性基板(合成石英玻璃)1之透過率設為100%時之蝕刻終止膜2之透過率成為97%以上。 The etching stopper film 2 preferably has a Si / [Si + Al] ratio of silicon (Si) and aluminum (Al) of 1/5 or more. By setting the Si / [Si + Al] ratio of the etching stopper film 2 to 1/5 or more, the etching can be performed when the transmittance of the light-transmitting substrate 1 (synthetic quartz glass) to the exposed light is set to 100%. The transmittance of the stopper film 2 is 95% or more. At the same time, it can also improve the resistance to chemical liquid cleaning. The Si / [Si + Al] ratio in the etching stopper film 2 is more preferably 1/3 or more. When the Si / [Si + Al] ratio is 1/3 or more, the transmittance of the light-transmitting substrate (synthetic quartz glass) 1 to the exposed light can be set to 100% of the etching stopper film 2 The transmittance is over 97%.

蝕刻終止膜2較佳為將鋁以外之金屬之含量設為2原子%以下,更佳為設為1原子%以下,進而較佳為進行利用X射線光電子光譜法之組成分析時為檢測下限值以下。其原因在於,若蝕刻終止膜2含有鋁以外之金屬,則會成為對曝光之光之透過率降低之主要原因。又,蝕刻 終止膜2之矽、鋁及氧以外之元素之合計含量較佳為5原子%以下,更佳為3原子%以下。 The etching stopper film 2 preferably has a content of a metal other than aluminum at 2 atomic% or less, more preferably 1 atomic% or less, and more preferably a lower detection limit when performing composition analysis by X-ray photoelectron spectroscopy. Value below. The reason for this is that if the etching stopper film 2 contains a metal other than aluminum, it may cause a decrease in the transmittance to the exposed light. Again, etching The total content of elements other than silicon, aluminum, and oxygen in the stopper film 2 is preferably 5 atomic% or less, and more preferably 3 atomic% or less.

蝕刻終止膜2可由包含矽、鋁及氧之材料所形成。所謂包含矽、鋁及氧之材料,係指除該等構成元素以外,僅含有利用濺鍍法進行成膜時不可避免地含有於蝕刻終止膜2中之元素(氦(He)、氖(Ne)、氬(Ar)、氪(Kr)及氙(Xe)等稀有氣體、氫(H)、碳(C)等)之材料。藉由使蝕刻終止膜2中與矽或鋁鍵結之其他元素之存在極小,可大幅提高蝕刻終止膜2中之矽及氧之鍵結與鋁及氧之鍵結之比率。因此,不可避地含有於蝕刻終止膜2中之上述元素(稀有氣體、氫、碳等)之合計含量亦較佳為3原子%以下。藉此,能夠進一步提高利用氟系氣體之乾式蝕刻之蝕刻耐受性,進一步提高對化學液清洗之耐受性,進一步提高對曝光之光之透過率。蝕刻終止膜2較佳為設為非晶構造。更具體而言,蝕刻終止膜2較佳為包含矽及氧之鍵結與鋁及氧之鍵結之狀態之非晶構造。藉此,可使蝕刻終止膜2之表面粗糙度良好,並且可提高對曝光之光之透過率。 The etch stop film 2 may be formed of a material including silicon, aluminum, and oxygen. A material containing silicon, aluminum, and oxygen means, in addition to these constituent elements, only elements (helium (He), neon (Ne) that are inevitably contained in the etching stopper film 2 when forming a film by a sputtering method). ), Rare gases such as argon (Ar), krypton (Kr) and xenon (Xe), hydrogen (H), carbon (C), etc.). By making the presence of other elements bonded to silicon or aluminum in the etching stopper film 2 extremely small, the ratio of the bonding of silicon and oxygen to the bonding of aluminum and oxygen in the etching stopper film 2 can be greatly increased. Therefore, the total content of the above elements (rare gas, hydrogen, carbon, etc.) inevitably contained in the etching stopper film 2 is also preferably 3 atomic% or less. Thereby, the etching resistance of dry etching using a fluorine-based gas can be further improved, the resistance to chemical liquid cleaning can be further improved, and the transmittance of light to exposure can be further improved. The etching stopper film 2 preferably has an amorphous structure. More specifically, the etching stopper film 2 is preferably an amorphous structure including a state of a bond between silicon and oxygen and a bond between aluminum and oxygen. Thereby, the surface roughness of the etching stopper film 2 can be made good, and the transmittance of the exposed light can be improved.

蝕刻終止膜2較佳為厚度為3nm以上。藉由以含有矽、鋁及氧之材料形成蝕刻終止膜2,即便針對氟系氣體之蝕刻速率大幅減小,亦並非完全不會被蝕刻。又,於對蝕刻終止膜2進行化學液清洗之情形時,亦並非完全不會發生膜減少。若考慮由光罩基底製造相移光罩之過程中進行之利用氟系氣體之乾式蝕刻所引起之影響、由化學液清洗所引起之影響,則期望蝕刻終止膜2之厚度為3nm以上。蝕刻終止膜2之厚度較佳為4nm以上,更佳為5nm以上。 The thickness of the etching stopper film 2 is preferably 3 nm or more. By forming the etching stopper film 2 with a material containing silicon, aluminum, and oxygen, even if the etching rate for a fluorine-based gas is greatly reduced, it is not completely etched. In addition, in the case of performing chemical liquid cleaning on the etching stopper film 2, the film does not decrease at all. Considering the effects of dry etching using a fluorine-based gas and the effects of chemical liquid cleaning during the manufacture of a phase-shifting photomask from a photomask substrate, it is desirable that the thickness of the etching stopper film 2 be 3 nm or more. The thickness of the etching stopper film 2 is preferably 4 nm or more, and more preferably 5 nm or more.

蝕刻終止膜2係應用對曝光之光之透過率較高之材料,隨著厚度變厚而透過率降低。又,蝕刻終止膜2之折射率高於形成透光性基板1之材料,且蝕刻終止膜2之厚度越厚,設計實際形成於相移膜3之光罩圖案(賦予有光罩圖案偏壓修正或OPC(Optical Proximity Correction, 光學近接校正)或SRAF(Sub-Resolution Assist Feature,亞分辨率輔助圖形)等之圖案)時所造成之影響變大。若考慮該等方面,則蝕刻終止膜2較理想為20nm以下,較佳為15nm以下,更佳為10nm以下。 The etching stopper film 2 is made of a material having a high transmittance to the exposed light, and the transmittance decreases as the thickness becomes thicker. In addition, the refractive index of the etch stop film 2 is higher than that of the material forming the translucent substrate 1, and the thicker the thickness of the etch stop film 2 is, the mask pattern actually formed on the phase shift film 3 is designed (the mask pattern bias is given) Correction or OPC (Optical Proximity Correction, Optical proximity correction) or SRAF (Sub-Resolution Assist Feature) patterns. Taking these aspects into consideration, the etching stopper film 2 is preferably 20 nm or less, preferably 15 nm or less, and more preferably 10 nm or less.

蝕刻終止膜2對ArF準分子雷射之曝光之光之折射率n(以下,簡稱為折射率n)較佳為1.73以下,更佳為1.72以下。其原因在於,縮小設計實際形成於相移膜3之光罩圖案時所造成之影響。蝕刻終止膜2係由含有鋁之材料所形成,因此無法成為與透光性基板1相同之折射率n。蝕刻終止膜2係以折射率n為1.57以上而形成。另一方面,蝕刻終止膜2較佳為對ArF準分子雷射之曝光之光之消光係數k(以下,簡稱為消光係數k)為0.04以下。其原因在於,提高蝕刻終止膜2對曝光之光之透過率。蝕刻終止膜2係由消光係數k為0.000以上之材料所形成。 The refractive index n (hereinafter, simply referred to as the refractive index n) of the light exposed by the etching stopper film 2 to the ArF excimer laser is preferably 1.73 or less, and more preferably 1.72 or less. The reason for this is to reduce the influence caused when the design is actually formed on the mask pattern of the phase shift film 3. Since the etching stopper film 2 is formed of a material containing aluminum, it cannot have the same refractive index n as that of the light-transmitting substrate 1. The etching stopper film 2 is formed with a refractive index n of 1.57 or more. On the other hand, the etching stopper film 2 preferably has an extinction coefficient k (hereinafter, abbreviated as an extinction coefficient k) of light exposed to the ArF excimer laser, which is 0.04 or less. The reason for this is to increase the transmittance of the etching stopper film 2 to the exposed light. The etching stopper film 2 is formed of a material having an extinction coefficient k of 0.000 or more.

蝕刻終止膜2較佳為於厚度方向上組成之均勻性較高(即,將厚度方向上之各構成元素之含量之差控制在5原子%以內之變動幅度)。另一方面,亦可將蝕刻終止膜2設為於厚度方向上組成呈梯度之膜構造。該情形時,較佳為設為使蝕刻終止膜2之透光性基板1側之Si/[Si+Al]比率高於相移膜3側之Si/[Si+Al]比率之組成梯度。其原因在於,優先期望蝕刻終止膜2之相移膜3側對利用氟系氣體之乾式蝕刻之耐受性較高,且化學液耐受性較高,另一方面,期望透光性基板1側對曝光之光之透過率較高。 It is preferable that the etching stopper film 2 has high composition uniformity in the thickness direction (that is, the difference in the content of each constituent element in the thickness direction is controlled within a variation range of 5 atomic%). On the other hand, the etching stopper film 2 may have a film structure having a gradient composition in the thickness direction. In this case, the composition gradient of the Si / [Si + Al] ratio on the translucent substrate 1 side of the etching stopper film 2 is preferably higher than the Si / [Si + Al] ratio on the phase shift film 3 side. The reason for this is that it is preferred that the phase shift film 3 side of the etching stopper film 2 has high resistance to dry etching using a fluorine-based gas and high chemical liquid resistance. On the other hand, the light-transmitting substrate 1 is desired. The side has a high transmittance of the exposed light.

亦可於透光性基板1與蝕刻終止膜2之間介置其他膜。該情形時,要求其他膜應用相較於蝕刻終止膜2,對曝光之光之透過率較高,折射率n較小之材料。於由光罩基底製造相移光罩時,於該相移光罩中之無相移膜3之圖案之區域之透光部,存在該其他膜與蝕刻終止膜2之積層構造。其原因在於,要求透光部對曝光之光之較高之透過率,且必須提高該積層構造整體對曝光之光之透過率。其他膜之材料例如可列舉包含矽及氧之材料、或者使該等中含有選自鉿(Hf)、鋯 (Zr)、鈦(Ti)、釩(V)及硼(B)中之1種以上之元素之材料等。亦可由含有矽與鋁及氧且Si/[Si+Al]比率高於蝕刻終止膜2之材料形成上述以外之膜。於該情形時,其他膜對曝光之光之透過率變高,折射率n變小(更接近透光性基板1之材料)。 Another film may be interposed between the translucent substrate 1 and the etching stopper film 2. In this case, other films are required to be applied to a material having a higher transmittance to the exposed light and a smaller refractive index n than the etching stopper film 2. When a phase shift mask is manufactured from a mask substrate, a layered structure of the other film and the etching stopper film 2 exists in the light-transmitting portion of the phase shift mask in a region without a pattern of the phase shift film 3. The reason is that a high transmittance of the light-transmitting portion to the exposed light is required, and the entire transmittance of the laminated structure to the exposed light must be increased. Examples of other film materials include materials containing silicon and oxygen, or materials selected from the group consisting of hafnium (Hf) and zirconium. (Zr), titanium (Ti), vanadium (V), and boron (B). Films other than the above may be formed of a material containing silicon, aluminum, and oxygen and having a higher Si / [Si + Al] ratio than the etching stopper film 2. In this case, the transmittance of the other films to the exposed light becomes high, and the refractive index n becomes small (closer to the material of the light-transmitting substrate 1).

相移膜3係包含含有矽及氧且對曝光之光為透明之材料,並且具有特定之相位差者。具體而言,將夾持於由遮光膜5形成之圖案(遮光部)之不存在遮光膜5之2個透光部中之僅一透光部之相移膜3進行圖案化,形成存在相移膜3之透光部與不存在相移膜3之透光部,相對於透過不存在相移膜3之透光部之曝光之光(ArF準分子雷射曝光之光),透過存在相移膜3之透光部之曝光之光之相位成為實質上反轉之關係(特定之相位差)。藉此,藉由繞射現象而使相互迴繞至對象區域之光相互抵消,使交界部之光強度大致成為零,從而使交界部之對比度、即解析度提高。於雷文生型相移光罩之情形時,於該交界部存在遮光部,但藉由自該遮光部之兩側透過來之光彼此之干涉而獲得對比度更高之光學圖像。 The phase shift film 3 is a material containing silicon and oxygen, which is transparent to the exposed light, and has a specific phase difference. Specifically, the phase shift film 3 having only one light-transmitting portion among the two light-transmitting portions where the light-shielding film 5 does not exist is sandwiched between the patterns (light-shielding portions) formed by the light-shielding film 5 to form an existing phase. The light-transmitting portion of the shift film 3 and the light-transmitting portion without the phase-shift film 3 are transmitted through the existing phase with respect to the exposure light (light exposed by the ArF excimer laser) passing through the light-transmissive portion without the phase-shift film 3 The phase of the exposed light of the light-transmitting portion of the transfer film 3 becomes a substantially reversed relationship (specific phase difference). Thereby, the light that revolves to the target area mutually cancels each other by the diffraction phenomenon, so that the light intensity at the boundary portion becomes substantially zero, thereby improving the contrast at the boundary portion, that is, the resolution. In the case of a Levinson-type phase shift mask, there is a light-shielding portion at the junction, but an optical image with higher contrast is obtained by interference of light transmitted from both sides of the light-shielding portion with each other.

相移膜3較佳為具有使曝光之光以95%以上之透過率透過之功能(透過率)、及使透過相移膜之上述曝光之光與於空氣中通過與上述相移膜之厚度相同距離之上述曝光之光之間產生150度以上且200度以下之相位差之功能。又,該相移膜3之相位差更佳為150度以上且180度以下。就曝光效率提高之觀點而言,相移膜3之曝光之光透過率更佳為96%以上,進而較佳為97%以上。 The phase shift film 3 preferably has a function (transmittance) of transmitting the exposed light at a transmittance of 95% or more, and the thickness of the above-exposed light passing through the phase shift film and passing through the air with the thickness of the phase shift film. The function of generating a phase difference of 150 degrees or more and 200 degrees or less between the above-exposed light at the same distance. The phase difference of the phase shift film 3 is more preferably 150 degrees or more and 180 degrees or less. From the viewpoint of improving the exposure efficiency, the light transmittance of the phase shift film 3 is more preferably 96% or more, and still more preferably 97% or more.

近年來,於將相移光罩設置於曝光裝置之光罩台而對轉印對象物(半導體晶圓上之抗蝕劑膜等)進行曝光轉印時,基於相移圖案之圖案線寬(尤其是線與間隙圖案之圖案間距)之曝光轉印之最佳焦點(best focus)之差異較大成為問題。為了縮小基於相移圖案之圖案線寬之最佳焦點之變動幅度,可將相移膜3之特定之相位差設為170度以下。 In recent years, when a phase shift mask is set on a mask stage of an exposure device and an exposure transfer is performed on a transfer object (resist film on a semiconductor wafer, etc.), the pattern line width based on the phase shift pattern ( In particular, the difference in the best focus of the exposure transfer of the pattern between the lines and the gap patterns becomes a problem. In order to reduce the fluctuation range of the optimal focus based on the pattern line width of the phase shift pattern, a specific phase difference of the phase shift film 3 may be set to 170 degrees or less.

相移膜3之厚度較佳為180nm以下,更佳為177nm以下,更佳為175nm以下。另一方面,相移膜3之厚度較佳為143nm以上,更佳為153nm以上。 The thickness of the phase shift film 3 is preferably 180 nm or less, more preferably 177 nm or less, and even more preferably 175 nm or less. On the other hand, the thickness of the phase shift film 3 is preferably 143 nm or more, and more preferably 153 nm or more.

關於相移膜3,為了滿足上述光學特性與膜之厚度之各條件,相移膜對曝光之光(ArF準分子雷射光)之折射率n較佳為1.52以上,更佳為1.54以上。又,相移膜3之折射率n較佳為1.68以下,更佳為1.63以下。相移膜3對ArF準分子雷射曝光之光之消光係數k較佳為0.02以下,更佳為接近0。 Regarding the phase shift film 3, in order to satisfy the above-mentioned conditions of the optical characteristics and the thickness of the film, the refractive index n of the phase shift film with respect to the exposed light (ArF excimer laser light) is preferably 1.52 or more, more preferably 1.54 or more. The refractive index n of the phase shift film 3 is preferably 1.68 or less, and more preferably 1.63 or less. The extinction coefficient k of the phase shift film 3 to the light exposed by the ArF excimer laser is preferably 0.02 or less, and more preferably close to zero.

再者,包含相移膜3之薄膜之折射率n與消光係數k並非僅由該薄膜之組成決定。該薄膜之膜密度或結晶狀態等亦為影響折射率n或消光係數k之要素。因此,調整利用反應性濺鍍成膜薄膜時之各條件,使該薄膜以成為特定之折射率n及消光係數k之方式進行成膜。於利用反應性濺鍍成膜相移膜3之情形時,為了成為上述折射率n及消光係數k之範圍,有效的是調整稀有氣體與反應性氣體(氧氣)之混合氣體之比率,但並不僅限於此。涉及利用反應性濺鍍進行成膜時之成膜室內之壓力、施加於濺鍍靶之電力、靶與透光性基板1之間之距離等位置關係等多方面。又,該等成膜條件係成膜裝置所固有者,以所形成之相移膜3成為特定之折射率n及消光係數k之方式進行適當調整。 In addition, the refractive index n and the extinction coefficient k of a thin film including the phase shift film 3 are not determined only by the composition of the thin film. The film density and crystal state of the thin film are also factors that affect the refractive index n or the extinction coefficient k. Therefore, each condition when a thin film is formed by reactive sputtering is adjusted so that the thin film is formed into a film having a specific refractive index n and an extinction coefficient k. In the case of forming the phase shift film 3 by reactive sputtering, in order to become the range of the refractive index n and the extinction coefficient k, it is effective to adjust the ratio of the mixed gas of the rare gas and the reactive gas (oxygen), but Not only that. This involves various aspects such as the pressure in the film-forming chamber when forming a film by reactive sputtering, the power applied to the sputtering target, and the distance between the target and the transparent substrate 1. These film forming conditions are those inherent in the film forming apparatus, and are appropriately adjusted so that the phase shift film 3 formed has a specific refractive index n and an extinction coefficient k.

於先前之雷文生型相移光罩之情形時,將透光性基板刻蝕至特定之深度而形成刻蝕部,根據該刻蝕部之深度調節透過非刻蝕部與刻蝕部之各曝光之光之間之相位差(相移量)。該透光性基板由合成石英形成,將ArF準分子雷射應用於曝光之光,於將該相位差設為例如180度之情形時,所需之刻蝕部之深度成為173nm左右。近年來之二元光罩之遮光膜或半色調型相移光罩(half-tone phase shifting mask)之半色調相移膜之厚度多數情況下未達100nm。與利用乾式蝕刻於該等薄膜形成圖案之情形相比,於利用乾式蝕刻於透光性基板形成刻蝕部之情 形時,蝕刻深度非常深。 In the case of the previous Levinson phase shift mask, the translucent substrate was etched to a specific depth to form an etched portion, and each of the non-etched portion and the etched portion was adjusted according to the depth of the etched portion. Phase difference (amount of phase shift) between the exposed light. This translucent substrate is formed of synthetic quartz, and an ArF excimer laser is applied to the light to be exposed. When the phase difference is set to, for example, 180 degrees, the required depth of the etched portion is about 173 nm. In recent years, the thickness of a light-shielding film of a binary mask or a half-tone phase shifting mask of a half-tone phase shifting mask has not reached 100 nm in most cases. Compared with the case where patterns are formed on the thin films by dry etching, the case where an etched portion is formed on a light-transmitting substrate by dry etching is used. When it is shaped, the etching depth is very deep.

有如下傾向:利用乾式蝕刻形成之刻蝕部之深度越深,因各刻蝕部間之線寬或形狀之不同等所產生的形成各刻蝕部時之乾式蝕刻中之蝕刻速率差容易變大。轉印用光罩中之轉印圖案之微細化顯著,刻蝕部之線寬亦變得非常細。刻蝕部之線寬越細,蝕刻氣體越不易進入至刻蝕部,因此面內之各刻蝕部間之蝕刻速率差容易變得更大。 There is a tendency that as the depth of the etched portion formed by dry etching becomes deeper, the difference in etching rate in dry etching when forming each etched portion due to the difference in line width or shape between the etched portions is liable to change. Big. Refinement of the transfer pattern in the transfer mask is remarkable, and the line width of the etched portion becomes very thin. The thinner the line width of the etched part, the harder it is for the etching gas to enter the etched part, so the difference in etching rate between the etched parts in the plane tends to become larger.

於先前進行之於透光性基板形成刻蝕部之乾式蝕刻中,與利用蝕刻將設置於透光性基板上之相移膜進行圖案化之情形不同,無檢測蝕刻終點之方法。通常之刻蝕雷文生型之相移光罩中之刻蝕部之形成係利用自基板之表面刻蝕之乾式蝕刻之蝕刻時間進行調整。因此,若面內之各刻蝕部間之蝕刻速率差較大,則存在如下問題:所製作出之相移光罩中之各刻蝕部之刻蝕深度之差異變大,有面內之相移效果產生差異之虞。 In the previous dry etching for forming an etched portion on a light-transmitting substrate, unlike the case of patterning a phase shift film provided on the light-transmitting substrate by etching, there is no method for detecting the end point of the etching. The formation of an etched portion in a conventional etched Levinson-type phase shift mask is adjusted by using the etching time of dry etching etched from the surface of the substrate. Therefore, if the etching rate difference between the etching portions in the plane is large, there is a problem that the difference in the etching depth of the etching portions in the phase shift mask produced becomes larger, and there are in-plane differences. There may be a difference in phase shift effect.

另一方面,為了進一步提高刻蝕部之圖案之側壁之垂直性,而使於透光性基板形成刻蝕部之乾式蝕刻時所施加之偏壓電壓高於先前(以下,稱為「高偏壓蝕刻」)。但是,因進行該高偏壓蝕刻而導致產生刻蝕部之側壁附近之底面局部因蝕刻進而被刻蝕之現象、所謂之微槽,該情況成為問題。可認為產生該微槽之原因在於,因藉由對透光性基板施加偏壓電壓而產生之充電,經離子化之蝕刻氣體被牽引至電阻值低於透光性基板之刻蝕部附近之遮光膜之圖案側壁側。 On the other hand, in order to further improve the verticality of the sidewall of the pattern of the etched portion, the bias voltage applied during the dry etching of the transparent substrate to form the etched portion is higher than before (hereinafter referred to as "high bias" Etched "). However, this high-bias etching causes a problem that the bottom surface near the side wall of the etched portion is partially etched due to etching, so-called microgrooves, which is a problem. It is thought that the reason for the generation of the microgrooves is that due to the charging generated by applying a bias voltage to the translucent substrate, the ionized etching gas is drawn to the vicinity of the etched portion having a resistance value lower than that of the translucent substrate. The pattern side of the light-shielding film.

另一方面,考慮如下情形:並非於透光性基板1形成刻蝕部,而是於透光性基板1上依序積層由Al2O3形成之蝕刻終止膜及由透過率較高之材料形成之相移膜3,對相移膜3進行乾式蝕刻而形成代替刻蝕部之相移圖案。即,於相移膜3形成相移圖案3c(參照圖2),包含該相移圖案3c之側壁與蝕刻終止膜之底面之構造體具有與刻蝕部相同之光學功能。該情形時,若進行於相移膜3形成相移圖案3c之乾式蝕刻時面 內之蝕刻速率差較大,則會產生如下情況:乾式蝕刻之進行於面內之某部位先到達至相移膜3之下端。但是,於在該狀態下於面內之其他所有部位繼續乾式蝕刻直至到達相移膜3之下端之情形時,即便於上述相移膜3被全部去除之區域中將蝕刻終止膜暴露於蝕刻氣體,被蝕刻之量亦微小,透光性基板1不會被乾式蝕刻。因此,最終製作出之包含相移圖案3c與蝕刻終止膜之構造體之高度方向(厚度方向)之均勻性較高。因此,所製作出之相移光罩可減小面內之相移效果之差。 On the other hand, consider a case where an etching portion is not formed on the light-transmitting substrate 1, but an etching stopper film made of Al 2 O 3 and a material having a high transmittance are sequentially laminated on the light-transmitting substrate 1. The phase shift film 3 is formed, and the phase shift film 3 is dry-etched to form a phase shift pattern instead of the etched portion. That is, a phase shift pattern 3c (see FIG. 2) is formed on the phase shift film 3. The structure including the side wall of the phase shift pattern 3c and the bottom surface of the etching stopper film has the same optical function as the etched portion. In this case, if the difference in the in-plane etching rate during the dry etching in which the phase shift pattern 3c is formed in the phase shift film 3 is large, the following situation will occur: the dry etching is performed at a certain position in the plane to reach the phase shift first Lower end of film 3. However, in the case where dry etching is continued on all other parts in the plane in this state until the lower end of the phase shift film 3 is reached, the etching stopper film is exposed to the etching gas even in the region where the phase shift film 3 is completely removed. The amount to be etched is also small, and the light-transmitting substrate 1 will not be dry-etched. Therefore, the uniformity in the height direction (thickness direction) of the structure including the phase shift pattern 3c and the etching stopper film finally produced is high. Therefore, the manufactured phase shift mask can reduce the difference of the phase shift effect in the plane.

又,藉由設置蝕刻終止膜,亦可抑制高偏壓蝕刻中容易產生之微槽。但是,存在如下問題:其後必須進行化學液清洗,對化學液清洗之耐受性較低之蝕刻終止膜溶解,相移圖案脫落。 In addition, by providing an etching stopper film, it is also possible to suppress microgrooves that are easily generated during high-bias etching. However, there are problems in that the chemical liquid cleaning must be performed afterwards, the etching stopper film which has low resistance to the chemical liquid cleaning is dissolved, and the phase shift pattern comes off.

為了解決該蝕刻終止膜之清洗液耐受性之問題,第1實施形態之蝕刻終止膜2係設為含有矽、鋁及氧之材料。藉此,即便對相移膜3進行過蝕刻,蝕刻終止膜2亦不會消失,亦可抑制高偏壓蝕刻中容易產生之微槽,進而對其後進行之化學液清洗之耐受性亦充分高,亦可抑制相移圖案脫落之現象。 In order to solve the problem of resistance to the cleaning solution of the etching stopper film, the etching stopper film 2 of the first embodiment is made of a material containing silicon, aluminum, and oxygen. Thereby, even if the phase shift film 3 is over-etched, the etching stop film 2 will not disappear, and the micro-grooves that are easily generated in high-bias etching can be suppressed, and the resistance to the subsequent chemical liquid cleaning is also reduced. When it is sufficiently high, the phenomenon that the phase shift pattern falls off can also be suppressed.

相移膜3可由單層構成,又,亦可由複數層之積層構成,包含含有矽及氧之材料。藉由使矽中含有氧,可確保對曝光之光之較高之透明度,可獲得作為相移膜較佳之光學特性。 The phase shift film 3 may be composed of a single layer, or may be composed of a plurality of layers, including a material containing silicon and oxygen. By containing oxygen in the silicon, it is possible to ensure high transparency to the light exposed, and it is possible to obtain better optical characteristics as a phase shift film.

相移膜3如上所述包含含有矽及氧之材料,但為了提高對曝光之光之透過率或耐光性,又,提高利用乾式蝕刻之加工性,較佳為將矽及氧以外之元素之含量設為5原子%以下,更佳為設為3原子%以下。進而較佳為包含矽及氧之材料、例如較佳為SiO2。於利用濺鍍法成膜相移膜3之情形時,該膜中不可避免地含有用作緩衝氣體之氦(He)、氖(Ne)、氬(Ar)、氪(Kr)及氙(Xe)等稀有氣體、或存在於真空中之氫(H)、碳(C)等,於該情形時,藉由將成膜條件進行最佳化或於成膜後進行退火,較佳為將相移膜3中所含之矽及氧以外之該等元素之合計 含量設為5原子%以下,更佳為設為3原子%以下。 The phase shift film 3 contains a material containing silicon and oxygen as described above. However, in order to improve the transmittance or light resistance to the exposed light, and to improve the processability by dry etching, it is preferable to use materials other than silicon and oxygen. The content is 5 atomic% or less, and more preferably 3 atomic% or less. Further, a material containing silicon and oxygen is preferable, and for example, SiO 2 is preferable. When the phase shift film 3 is formed by the sputtering method, the film inevitably contains helium (He), neon (Ne), argon (Ar), krypton (Kr), and xenon (Xe) ) And other rare gases, or hydrogen (H), carbon (C), etc. that exist in a vacuum. In this case, by optimizing the film formation conditions or annealing after film formation, it is preferable to phase The total content of these elements other than silicon and oxygen contained in the transfer film 3 is 5 atomic% or less, and more preferably 3 atomic% or less.

氧化矽系材料之相移膜3係藉由濺鍍而形成,可應用DC(direct current,直流)濺鍍、RF(radio frequency,射頻)濺鍍及離子束濺鍍等任一種濺鍍。於使用導電性較低之靶(矽靶、SiO2靶等)之情形時,較佳為應用RF濺鍍或離子束濺鍍,若考慮成膜速率,則較佳為應用RF濺鍍。 The phase shift film 3 of the silicon oxide-based material is formed by sputtering, and DC (direct current) sputtering, RF (radio frequency) sputtering, and ion beam sputtering can be applied. When a target having a low conductivity (a silicon target, a SiO 2 target, etc.) is used, RF sputtering or ion beam sputtering is preferably applied, and if film formation rate is considered, RF sputtering is preferably applied.

EB缺陷修正之蝕刻終點檢測於對黑缺陷照射電子束時係藉由檢測自受到照射之部分釋出之歐傑電子、二次電子、特性X射線、背向散射電子之至少任一者而進行。例如,於檢測自受到電子束之照射之部分釋出之歐傑電子之情形時,藉由歐傑電子光譜法(AES)而主要觀察材料組成之變化。又,於檢測二次電子之情形時,自SEM圖像主要觀察表面形狀之變化。進而,於檢測特性X射線之情形時,藉由能量分散型X射線光譜法(EDX)或波長分散X射線光譜法(WDX)而主要觀察材料組成之變化。於檢測背向散射電子之情形時,藉由電子束背向散射繞射法(EBSD)而主要觀察材料之組成或結晶狀態之變化。 The EB defect correction etching end point detection is performed by irradiating a black defect with an electron beam by detecting at least any one of Oje Electronics, secondary electrons, characteristic X-rays, and backscattered electrons released from the irradiated part. . For example, in the case of detecting Ogilvy electrons released from a part irradiated with an electron beam, a change in material composition is mainly observed by Ogilvy Electron Spectroscopy (AES). In the case of detecting secondary electrons, the change in surface shape is mainly observed from the SEM image. Furthermore, when detecting characteristic X-rays, changes in material composition are mainly observed by energy dispersive X-ray spectroscopy (EDX) or wavelength dispersive X-ray spectroscopy (WDX). When detecting the situation of backscattered electrons, the electron beam backscatter diffraction (EBSD) method is mainly used to observe the change in the composition or crystalline state of the material.

於與包含玻璃材料之透光性基板1之主表面相接而設置有包含含有矽及氧之材料之相移膜3之構成之光罩基底中,由於透光性基板1之主構成元素為矽及氧,故而透光性基板1與相移膜3之差基本上僅為矽與氧之略微之構成比率之差及分子鍵結狀態之差。因此,為EB缺陷修正之蝕刻終點之檢測困難之組合。相對於此,於與蝕刻終止膜2之表面相接而設置有相移膜3之構成之情形時,相移膜3之大部分成分為矽與氧,相對於此,蝕刻終止膜2中除含有矽與氧以外亦含有鋁。因此,EB缺陷修正之蝕刻終點檢測中,只要以鋁之檢測作為標準即可,終點檢測變得相對較容易。 In a photomask base that is in contact with the main surface of a light-transmitting substrate 1 containing a glass material and is provided with a phase shift film 3 containing a material containing silicon and oxygen, the main constituent elements of the light-transmitting substrate 1 are Silicon and oxygen, so the difference between the translucent substrate 1 and the phase shift film 3 is basically only the difference between the slight composition ratio of silicon and oxygen and the difference in molecular bonding state. Therefore, it is a difficult combination to detect the end point of etching for EB defect correction. On the other hand, when the structure of the phase shift film 3 is provided in contact with the surface of the etching stop film 2, most components of the phase shift film 3 are silicon and oxygen. In contrast, the etching stop film 2 is removed. Contains aluminum in addition to silicon and oxygen. Therefore, in the etching endpoint detection of EB defect correction, as long as the aluminum detection is used as the standard, the endpoint detection becomes relatively easy.

遮光膜5可應用單層構造及2層以上之積層構造之任一種。又,單層構造之遮光膜及2層以上之積層構造之遮光膜之各層可為於膜或 層之厚度方向上大致相同組成之構成,亦可為於層之厚度方向上組成呈梯度之構成。 The light-shielding film 5 may have any of a single-layer structure and a multilayer structure of two or more layers. In addition, each layer of the light-shielding film having a single-layer structure and the light-shielding film having a multilayer structure of two or more layers may be a film or The composition having substantially the same composition in the thickness direction of the layer may be a composition having a gradient composition in the thickness direction of the layer.

圖1中記載之光罩基底101成為於相移膜3上不經由其他膜而積層有遮光膜5之構成。該構成之情形時之遮光膜5中,必須應用對在相移膜3形成圖案時所使用之蝕刻氣體具有充分之蝕刻選擇性之材料。 The mask base 101 described in FIG. 1 has a configuration in which a light-shielding film 5 is laminated on the phase shift film 3 without passing through other films. In the case of this configuration, a material having a sufficient etching selectivity to the etching gas used when the phase shift film 3 is patterned must be applied to the light-shielding film 5.

作為滿足該條件之材料,於本實施形態1中,將含有鉻之材料作為遮光膜5。作為形成遮光膜5之含有鉻之材料,除鉻金屬以外,亦可列舉鉻(Cr)中含有選自氧(O)、氮(N)、碳(C)、硼(B)及氟(F)中之1種以上之元素之材料。通常,鉻系材料係利用氯系氣體與氧氣之混合氣體進行蝕刻,但鉻金屬對該蝕刻氣體之蝕刻速率並不太高。若考慮提高對氯系氣體與氧氣之混合氣體之蝕刻氣體之蝕刻速率之方面而言,作為形成遮光膜5之材料,較佳為鉻中含有選自氧、氮、碳、硼及氟中之1種以上之元素之材料。又,亦可使形成遮光膜之含有鉻之材料中含有鉬(Mo)、銦(In)及錫(Sn)中之1種以上之元素。藉由含有鉬、銦及錫中之1種以上之元素,可進一步加快對氯系氣體與氧氣之混合氣體之蝕刻速率。 As a material satisfying this condition, in the first embodiment, a material containing chromium is used as the light shielding film 5. As the chromium-containing material for forming the light-shielding film 5, in addition to chromium metal, chromium (Cr) may be selected from the group consisting of oxygen (O), nitrogen (N), carbon (C), boron (B), and fluorine (F). ) Material of 1 or more elements. Generally, chromium-based materials are etched using a mixed gas of a chlorine-based gas and oxygen, but the etching rate of the chromium metal to the etching gas is not too high. Considering that the etching rate of an etching gas for a mixed gas of a chlorine-based gas and an oxygen gas is considered, as a material for forming the light-shielding film 5, it is preferable that chromium contains a material selected from the group consisting of oxygen, nitrogen, carbon, boron, and fluorine. Material of more than one element. In addition, the chromium-containing material forming the light-shielding film may contain one or more elements of molybdenum (Mo), indium (In), and tin (Sn). By containing one or more elements of molybdenum, indium, and tin, the etching rate of a mixed gas of chlorine-based gas and oxygen can be further accelerated.

包含含有鉻之材料之遮光膜5係藉由濺鍍而形成,可應用DC濺鍍、RF濺鍍及離子束濺鍍等任一種濺鍍。其中,若考慮成膜速率,則較佳為應用RF濺鍍。 The light-shielding film 5 containing a material containing chromium is formed by sputtering, and any sputtering such as DC sputtering, RF sputtering, and ion beam sputtering can be applied. Among them, if the film formation rate is considered, it is preferable to apply RF sputtering.

對於遮光膜5,要求將曝光之光以較高之遮光率遮光之功能。其原因在於,為了提高透過相移圖案3c之間隙部(相當於先前之刻蝕雷文生型相移光罩之刻蝕部)之曝光之光與透過於上部無遮光圖案5a(參照圖2)之圖案部(相當於先前之刻蝕雷文生型相移光罩之透光部)之曝光之光之間產生之相移效果,較佳為曝光之光不自遮光圖案5a透過。就該等方面而言,與二元光罩同樣地,對於遮光膜5要求確保大於2.0之光學濃度(OD),較佳為具有2.8以上之OD,更佳為具有3.0以上之 OD。此處,如圖2所示,所謂遮光帶形成區域901,係指形成於形成有成為曝光轉印之對象之圖案(電路圖案)之圖案形成區域900之外側之遮光區域,係以於曝光轉印至晶圓時防止因鄰接曝光所引起之不良影響(曝光之光之覆蓋)之目的而形成。 As for the light-shielding film 5, a function of shielding the exposed light with a high light-shielding rate is required. The reason is that in order to increase the exposure light transmitted through the gap portion of the phase shift pattern 3c (equivalent to the etching portion of the previously etched Levinson-type phase shift mask) and the upper non-shielding pattern 5a (see FIG. 2) The phase shift effect between the exposed portions of the pattern portion (equivalent to the previously etched light-transmitting portion of the Levinson-type phase shift mask) is preferably such that the exposed light does not pass through the light-shielding pattern 5a. In these respects, as with the binary mask, it is required to ensure an optical density (OD) of greater than 2.0 for the light-shielding film 5, preferably having an OD of 2.8 or more, and more preferably having an OD of 3.0 or more. OD. Here, as shown in FIG. 2, the light-shielding belt forming region 901 refers to a light-shielding region formed on the outside of the pattern-forming region 900 in which a pattern (circuit pattern) to be subjected to exposure transfer is formed. It is formed for the purpose of preventing adverse effects (coverage of light from exposure) caused by adjacent exposure when printing on a wafer.

於本實施形態1中,可於遮光膜5上積層硬遮罩膜6(參照圖3)。硬遮罩膜6係由對將遮光膜5進行蝕刻時所使用之蝕刻氣體具有蝕刻選擇性之材料所形成。藉此,如下所述,相較於將抗蝕劑膜直接用作遮光膜5之光罩之情形,可使抗蝕劑膜之厚度大幅變薄。 In the first embodiment, a hard mask film 6 can be laminated on the light shielding film 5 (see FIG. 3). The hard mask film 6 is formed of a material having an etching selectivity for an etching gas used when the light shielding film 5 is etched. Thereby, as described below, the thickness of the resist film can be significantly reduced compared to a case where the resist film is directly used as a mask of the light shielding film 5.

如上所述,遮光膜5必須確保特定之光學濃度而具有充分之遮光功能,因此其厚度之減少存在極限。另一方面,硬遮罩膜6只要具有僅於其正下方之遮光膜5形成圖案之乾式蝕刻結束之前能夠作為蝕刻光罩發揮功能之膜厚則充分,基本不受光學方面之限制。因此,可使硬遮罩膜6之厚度與遮光膜5之厚度相比大幅變薄。並且,有機系材料之抗蝕劑膜只要具有僅於該硬遮罩膜6形成圖案之乾式蝕刻完成之前作為蝕刻光罩發揮功能之膜厚則充分,因此相較於將抗蝕劑膜直接用作遮光膜5之光罩之情形,可使抗蝕劑膜之膜厚大幅變薄。如此可將抗蝕劑膜進行薄膜化,因此可提高抗蝕劑解析度,並且可防止所形成之圖案之倒塌。 As described above, the light-shielding film 5 must have a specific optical density to have a sufficient light-shielding function. Therefore, there is a limit to the reduction in thickness. On the other hand, the hard mask film 6 is sufficient as long as it has a film thickness capable of functioning as an etching mask just before the dry-etching of the light-shielding film 5 directly below it is patterned, and is basically not limited by optics. Therefore, the thickness of the hard mask film 6 can be made significantly thinner than the thickness of the light shielding film 5. In addition, as long as the resist film of the organic material has a film thickness that functions as an etching mask only before the dry etching for patterning the hard mask film 6 is completed, it is sufficient as compared with using the resist film directly. When used as a mask for the light-shielding film 5, the film thickness of the resist film can be greatly reduced. In this way, the resist film can be formed into a thin film, so that the resist resolution can be improved, and the collapse of the formed pattern can be prevented.

如此,較佳為由上述材料形成積層於遮光膜5上之硬遮罩膜6,但本發明並不限定於該實施形態,於光罩基底101中,亦可不形成硬遮罩膜6,而是於遮光膜5上直接形成抗蝕劑圖案,以該抗蝕劑圖案作為光罩而直接進行遮光膜5之蝕刻。 In this way, it is preferable to form the hard mask film 6 laminated on the light shielding film 5 from the above materials, but the present invention is not limited to this embodiment. In the mask base 101, the hard mask film 6 may not be formed, and A resist pattern is directly formed on the light-shielding film 5, and the light-shielding film 5 is directly etched using the resist pattern as a photomask.

關於該硬遮罩膜6,於遮光膜5由含有鉻之材料形成之情形時,較佳為由含有矽(Si)之材料形成。此處,該情形時之硬遮罩膜6由於有與有機系材料之抗蝕劑膜之密接性較低之傾向,故而較佳為對硬遮罩膜6之表面實施HMDS(Hexamethyldisilazane,六甲基二矽氮烷)處理, 而使表面之密接性提高。再者,該情形時之硬遮罩膜6更佳為由SiO2、SiN、SiON等形成。 The hard mask film 6 is preferably formed of a material containing silicon (Si) when the light shielding film 5 is formed of a material containing chromium. Here, since the hard mask film 6 in this case tends to have low adhesiveness with the resist film of the organic material, it is preferable to implement HMDS (Hexamethyldisilazane, Rokko) on the surface of the hard mask film 6. Disilazane) treatment to improve surface adhesion. The hard mask film 6 in this case is more preferably formed of SiO 2 , SiN, SiON, or the like.

又,作為遮光膜5由含有鉻之材料形成之情形時之硬遮罩膜6之材料,亦可應用含有鉭(Ta)之材料。作為該情形時之含有鉭之材料,除鉭金屬以外,亦可列舉鉭中含有選自氮、氧、硼及碳中之1種以上之元素之材料等。例如可列舉:Ta、TaN、TaO、TaON、TaBN、TaBO、TaBON、TaCN、TaCO、TaCON、TaBCN、TaBOCN等。再者,該情形時之硬遮罩膜6較佳為以不含矽之形式形成。矽之容許含量較佳為5原子%以下,更佳為3原子%以下,進而較佳為實質上不含。 In addition, as the material of the hard mask film 6 when the light shielding film 5 is formed of a material containing chromium, a material containing tantalum (Ta) may be used. As the material containing tantalum in this case, in addition to tantalum metal, materials containing one or more elements selected from nitrogen, oxygen, boron, and carbon in tantalum can also be cited. Examples include Ta, TaN, TaO, TaON, TaBN, TaBO, TaBON, TaCN, TaCO, TaCON, TaBCN, TaBOCN, and the like. The hard mask film 6 in this case is preferably formed in a form not containing silicon. The allowable content of silicon is preferably 5 atomic% or less, more preferably 3 atomic% or less, and further preferably substantially free of silicon.

於光罩基底101中,較佳為與硬遮罩膜6之表面相接以100nm以下之膜厚形成有機系材料之抗蝕劑膜。於對應於DRAM hp32nm代之微細圖案之情形時,有時於應形成於硬遮罩膜6之轉印用圖案(相移圖案)設置線寬為40nm之SRAF(Sub-Resolution Assist Feature)。即便於此種情形時,由於抗蝕劑圖案之剖面縱橫比變低為1:2.5,故而亦可抑制於抗蝕劑膜之顯影時、沖洗時等抗蝕劑圖案倒塌或脫離。再者,若抗蝕劑膜之膜厚為80nm以下,則可進一步抑制抗蝕劑圖案之倒塌或脫離,故而更佳。 In the photomask base 101, a resist film of an organic material is preferably formed in contact with the surface of the hard mask film 6 with a film thickness of 100 nm or less. In the case of a fine pattern corresponding to the DRAM hp32nm generation, a SRAF (Sub-Resolution Assist Feature) having a line width of 40nm may be set in a transfer pattern (phase shift pattern) that should be formed on the hard mask film 6. That is, when this situation is facilitated, since the cross-sectional aspect ratio of the resist pattern is reduced to 1: 2.5, it is also possible to suppress the resist pattern from collapsing or detaching during development of the resist film and during washing. Furthermore, if the thickness of the resist film is 80 nm or less, the collapse or detachment of the resist pattern can be further suppressed, so it is more preferable.

蝕刻終止膜2、相移膜3、遮光膜5、硬遮罩膜6係藉由濺鍍而形成,可應用DC濺鍍、RF濺鍍及離子束濺鍍等任一種濺鍍。於使用導電性較低之靶之情形時,較佳為應用RF濺鍍或離子束濺鍍,但若考慮成膜速率,則更佳為應用RF濺鍍。 The etching stopper film 2, the phase shift film 3, the light-shielding film 5, and the hard mask film 6 are formed by sputtering, and any sputtering such as DC sputtering, RF sputtering, and ion beam sputtering can be applied. When a target having a low conductivity is used, RF sputtering or ion beam sputtering is preferably applied. However, if the film formation rate is considered, RF sputtering is more preferably applied.

關於蝕刻終止膜2之成膜方法,較佳為於成膜室內配置矽及氧之混合靶與鋁及氧之混合靶之2個靶,於透光性基板1上形成蝕刻終止膜2。具體而言,於該成膜室內之基板台配置透光性基板1,於氬氣等稀有氣體環境下(或者,與氧氣或含有氧之氣體之混合氣體環境),對2 個靶之各者施加特定之電壓(該情形時,較佳為RF電源)。藉此,經電漿化之稀有氣體粒子碰撞於2個靶而分別引起濺鍍現象,於透光性基板1之表面形成含有矽、鋁及氧之蝕刻終止膜2。再者,更佳為該情形時之2個靶應用SiO2靶與Al2O3靶。 Regarding the film formation method of the etching stopper film 2, it is preferable to arrange two targets of a mixed target of silicon and oxygen and a mixed target of aluminum and oxygen in the film forming chamber to form the etching stopper film 2 on the translucent substrate 1. Specifically, a light-transmitting substrate 1 is arranged on a substrate stage in the film forming chamber, and each of the two targets is exposed to a rare gas environment such as argon (or a mixed gas environment with oxygen or a gas containing oxygen). A specific voltage is applied (in this case, an RF power source is preferred). As a result, the plasma-caused rare gas particles collide with two targets and cause sputtering, respectively, and an etching stopper film 2 containing silicon, aluminum, and oxygen is formed on the surface of the light-transmitting substrate 1. Furthermore, it is more preferable to use a SiO 2 target and an Al 2 O 3 target as the two targets in this case.

此外,可僅由矽、鋁及氧之混合靶(較佳為SiO2與Al2O3之混合靶,以下相同)形成蝕刻終止膜2,亦可使矽、鋁及氧之混合靶與矽靶、或者鋁及氧之混合靶與鋁靶之2個靶同時放電而形成蝕刻終止膜2。 In addition, the etching stopper film 2 can be formed only by a mixed target of silicon, aluminum, and oxygen (preferably a mixed target of SiO 2 and Al 2 O 3 , the same applies hereinafter), and a mixed target of silicon, aluminum, and oxygen can also be formed with silicon. The target, or a mixed target of aluminum and oxygen, and two targets of the aluminum target are simultaneously discharged to form an etching stopper film 2.

如上所述,該實施形態1之光罩基底101於透光性基板1與相移膜3之間具備含有矽、鋁及氧之蝕刻終止膜2。並且,該蝕刻終止膜2同時滿足如下3種特性:對在相移膜3形成相移圖案時進行之利用氟系氣體之乾式蝕刻之耐受性比透光性基板1高,對化學液清洗之耐受性亦較高,對曝光之光之透過率亦較高。藉此,可大幅抑制藉由利用氟系氣體之乾式蝕刻於相移膜3形成相移圖案3c時蝕刻終止膜2被蝕刻。並且,包含其所形成之相移圖案3c與蝕刻終止膜2之底面之各構造體於面內之高度方向(厚度方向)之均勻性大幅提高。因此,最終所製作出之相移光罩201於面內之相移效果之均勻性較高。又,於利用EB缺陷修正對相移光罩之製造中途所發現之相移圖案之缺陷進行修正時,容易檢測蝕刻終點,因此可高精度地修正缺陷。 As described above, the mask base 101 of the first embodiment includes the etching stopper film 2 containing silicon, aluminum, and oxygen between the light-transmitting substrate 1 and the phase shift film 3. In addition, the etching stopper film 2 simultaneously satisfies the following three characteristics: the resistance to dry etching using a fluorine-based gas when the phase shift pattern is formed in the phase shift film 3 is higher than that of the light-transmitting substrate 1, and it is chemically cleaned The tolerance is also higher, and the transmittance to the exposed light is also higher. Thereby, the etching stopper film 2 can be significantly suppressed from being formed when the phase shift pattern 3c is formed in the phase shift film 3 by dry etching using a fluorine-based gas. In addition, the uniformity in the height direction (thickness direction) of each structure including the phase shift pattern 3 c formed thereon and the bottom surface of the etching stopper film 2 is greatly improved. Therefore, the uniformity of the phase shift effect of the phase shift mask 201 finally produced in the plane is high. In addition, when using the EB defect correction to correct defects in the phase shift pattern found in the middle of the manufacture of the phase shift mask, it is easy to detect the end point of the etching, so that the defects can be corrected with high accuracy.

[相移光罩及其製造] [Phase shift mask and its manufacturing]

該第1實施形態之相移光罩201(參照圖2)之特徵在於:光罩基底101之蝕刻終止膜2於透光性基板1之主表面上之整面殘留,於相移膜3形成有相移圖案3c,於遮光膜5形成有遮光圖案5a。於光罩基底101設置有硬遮罩膜6之構成之情形時,在該相移光罩201之製作中途將硬遮罩膜6去除(參照圖3)。 The phase shift mask 201 (see FIG. 2) of the first embodiment is characterized in that the etching stopper film 2 of the mask base 101 remains on the entire surface of the main surface of the transparent substrate 1 and is formed on the phase shift film 3. There is a phase shift pattern 3c, and a light-shielding pattern 5a is formed on the light-shielding film 5. When the mask base 101 is provided with the hard mask film 6, the hard mask film 6 is removed in the middle of manufacturing the phase shift mask 201 (see FIG. 3).

即,該第1實施形態之相移光罩201之特徵在於:具備於透光性 基板1上依序積層有蝕刻終止膜2、相移圖案3c、及遮光圖案5a之構造,相移圖案3c包含含有矽及氧之材料,蝕刻終止膜2包含含有矽、鋁及氧之材料。 That is, the phase shift mask 201 of the first embodiment is characterized in that The substrate 1 is sequentially laminated with a structure including an etch stop film 2, a phase shift pattern 3c, and a light-shielding pattern 5a. The phase shift pattern 3c includes a material containing silicon and oxygen, and the etch stop film 2 includes a material containing silicon, aluminum, and oxygen.

該第1實施形態之相移光罩201之製造方法之特徵在於:其係使用上述光罩基底101者,且具備如下步驟:藉由使用氯系氣體之乾式蝕刻於遮光膜5形成包含遮光帶之遮光圖案5a之步驟;及以具有遮光圖案5a之遮光膜5與具有抗蝕劑圖案8b之抗蝕劑膜作為光罩,藉由使用氟系氣體之乾式蝕刻於相移膜3形成相移圖案3c之步驟(參照圖3)。 The manufacturing method of the phase shift mask 201 of the first embodiment is characterized in that it uses the above-mentioned mask substrate 101 and includes the following steps: forming a light-shielding band including a light-shielding film by dry etching using a chlorine-based gas on the light-shielding film 5 A step of forming a light-shielding pattern 5a; and using the light-shielding film 5 with the light-shielding pattern 5a and the resist film with a resist pattern 8b as a photomask, forming a phase shift by dry etching using a fluorine-based gas on the phase-shift film 3 Step of pattern 3c (see FIG. 3).

以下,依據作為要部剖視構造圖之圖3所示之製造步驟,對該第1實施形態之相移光罩201之製造方法進行說明。再者,此處對使用於遮光膜5上積層有硬遮罩膜6之光罩基底101製造相移光罩201之方法進行說明。又,於實施形態1中,對遮光膜5應用含有鉻之材料,硬遮罩膜6應用含有矽之材料之情形進行說明。 Hereinafter, a method for manufacturing the phase shift mask 201 according to the first embodiment will be described based on the manufacturing steps shown in FIG. 3 as a cross-sectional structural view of the essential parts. Here, a method of manufacturing a phase shift mask 201 using a mask substrate 101 in which a hard mask film 6 is laminated on a light shielding film 5 will be described. In the first embodiment, a case where a material containing chromium is applied to the light-shielding film 5 and a material containing silicon is applied to the hard mask film 6 will be described.

首先,與光罩基底101中之硬遮罩膜6相接且藉由旋轉塗佈法形成抗蝕劑膜。其次,針對抗蝕劑膜,利用電子束繪圖應形成於遮光膜5之遮光圖案,進而進行顯影處理等特定之處理,藉此形成第1抗蝕劑圖案7a(參照圖3(a))。繼而,以第1抗蝕劑圖案7a作為光罩,進行使用CF4等氟系氣體之第1乾式蝕刻,於硬遮罩膜6形成第1硬遮罩圖案6a(參照圖3(b))。 First, it is in contact with the hard mask film 6 in the mask substrate 101 and a resist film is formed by a spin coating method. Next, for the resist film, a light-shielding pattern that should be formed on the light-shielding film 5 by electron beam drawing is further subjected to a specific process such as a development process to form a first resist pattern 7a (see FIG. 3 (a)). Next, using the first resist pattern 7a as a photomask, first dry etching using a fluorine-based gas such as CF 4 is performed to form a first hard mask pattern 6a on the hard mask film 6 (see FIG. 3 (b)). .

其次,去除抗蝕劑圖案7a後,以硬遮罩圖案6a作為光罩,進行使用氯系氣體與氧氣之混合氣體之第2乾式蝕刻,於遮光膜5形成第1遮光圖案5a(參照圖3(c))。藉由該第2乾式蝕刻,硬遮罩圖案6a之膜厚變得薄於該乾式蝕刻前之膜厚。 Next, after the resist pattern 7a is removed, the hard mask pattern 6a is used as a photomask, and a second dry etching using a mixed gas of a chlorine-based gas and oxygen is performed to form a first light-shielding pattern 5a on the light-shielding film 5 (see FIG. 3). (c)). By this second dry etching, the film thickness of the hard mask pattern 6a becomes thinner than the film thickness before the dry etching.

繼而,藉由旋轉塗佈法形成抗蝕劑膜,其後利用電子束對抗蝕劑膜進行繪圖,進而進行顯影處理等特定之處理,藉此形成第2抗蝕劑圖案8b(參照圖3(d))。 Then, a resist film is formed by a spin coating method, and then the resist film is patterned by an electron beam, and then a specific process such as a development process is performed to form a second resist pattern 8b (see FIG. 3 ( d)).

其後,進行使用CF4等氟系氣體之第3乾式蝕刻,於相移膜3形成相移圖案3c(參照圖3(e))。於針對該相移膜3之第3乾式蝕刻中,第2抗蝕劑圖案8b與遮光圖案5a成為蝕刻光罩用之圖案,決定相移圖案3c之邊緣部之位置的是遮光圖案5a之邊緣部,因此第2抗蝕劑圖案8b之繪圖轉印位置精度(對準精度)可設為相對並不嚴格者。再者,藉由該第3乾式蝕刻,硬遮罩圖案6a成為以第2抗蝕劑圖案8b作為光罩經乾式蝕刻之硬遮罩圖案6d。 Thereafter, a third dry etching using a fluorine-based gas such as CF 4 is performed to form a phase shift pattern 3 c on the phase shift film 3 (see FIG. 3 (e)). In the third dry etching for the phase shift film 3, the second resist pattern 8b and the light-shielding pattern 5a become patterns for etching a mask, and it is the edge of the light-shielding pattern 5a that determines the position of the edge portion of the phase-shift pattern 3c. Therefore, the drawing transfer position accuracy (alignment accuracy) of the second resist pattern 8b can be made relatively insignificant. In addition, by the third dry etching, the hard mask pattern 6a becomes a hard mask pattern 6d which is dry-etched using the second resist pattern 8b as a photomask.

於該相移膜3之利用氟系氣體之第3乾式蝕刻時,為了提高相移圖案3c之圖案側壁之垂直性,又,為了提高相移圖案3c之面內之CD(Critical Dimension,臨界尺寸)均勻性,而進行追加之蝕刻(過蝕刻)。於該過蝕刻後,蝕刻終止膜2之表面為輕微蝕刻之程度,於相移圖案3c之開口部之表面701未露出透光性基板1之表面。 In the third dry etching using the fluorine-based gas of the phase shift film 3, in order to improve the verticality of the pattern sidewall of the phase shift pattern 3c, and to improve the CD (Critical Dimension, critical dimension) in the plane of the phase shift pattern 3c ) Uniformity, and additional etching (over-etching) is performed. After the over-etching, the surface of the etching stopper film 2 is slightly etched, and the surface 701 of the opening portion of the phase shift pattern 3c is not exposed.

其後,使用灰化或剝離液等將第2抗蝕劑圖案8b去除(參照圖3(f)),繼而將殘留於遮光圖案5a上之硬遮罩圖案6d去除(參照圖3(g))。硬遮罩圖案6d之去除可利用氟系氣體之乾式蝕刻進行。再者,由於即便於未去除硬遮罩圖案6d而殘留之狀態下對曝光轉印之影響亦較少,故而亦可預先殘留硬遮罩圖案6d,但就於光罩圖案缺陷檢查時可能成為擬似缺陷之產生源而言,較佳為預先去除。 Thereafter, the second resist pattern 8b is removed using an ashing or peeling solution (see FIG. 3 (f)), and then the hard mask pattern 6d remaining on the light-shielding pattern 5a is removed (see FIG. 3 (g)). ). The hard mask pattern 6d can be removed by dry etching using a fluorine-based gas. Furthermore, since the influence on the exposure transfer is small even in the state where the hard mask pattern 6d is not removed, the hard mask pattern 6d can be left in advance, but it may become a problem during the mask pattern defect inspection. The pseudo-defect generation source is preferably removed in advance.

其後,進行清洗步驟,且視需要進行光罩缺陷檢查。進而,根據缺陷檢查之結果視需要進行缺陷修正而製造相移光罩201。此處之清洗步驟中使用氨水過氧化氫混合物,但蝕刻終止膜2之表面幾乎不溶解,於相移圖案3c之開口部(其表面701)未露出透光性基板1之表面。 Thereafter, a cleaning step is performed, and a mask defect inspection is performed if necessary. Furthermore, a defect correction is performed as needed according to the result of a defect inspection, and the phase shift mask 201 is manufactured. Here, the aqueous hydrogen peroxide mixture is used in the cleaning step, but the surface of the etching stopper film 2 is hardly dissolved, and the surface of the translucent substrate 1 is not exposed at the opening portion (the surface 701) of the phase shift pattern 3c.

再者,作為遮光膜5之乾式蝕刻中所使用之氯系氣體,只要含有氯(Cl),則並無特別限制。例如可列舉:Cl2、SiCl2、CHCl3、CH2Cl2、BCl3等。又,由於光罩基底101於透光性基板1上具備蝕刻終 止膜2,故而硬遮罩膜6及相移膜3之乾式蝕刻中所使用之氟系氣體只要含有氟(F),則並無特別限制。例如可列舉:CHF3、CF4、C2F6、C4F8、SF6等。 The chlorine-based gas used in the dry etching of the light-shielding film 5 is not particularly limited as long as it contains chlorine (Cl). Examples include Cl 2 , SiCl 2 , CHCl 3 , CH 2 Cl 2 , BCl 3 and the like. In addition, since the photomask base 101 is provided with an etching stopper film 2 on the light-transmitting substrate 1, the fluorine-based gas used in the dry etching of the hard mask film 6 and the phase shift film 3 is not limited as long as it contains fluorine (F). No special restrictions. Examples include: CHF 3 , CF 4 , C 2 F 6 , C 4 F 8 , SF 6 and the like.

該實施形態1之相移光罩201係使用上述光罩基底101而製作者。因此,該實施形態1之相移光罩201之相移圖案3c之側壁之垂直性較高,相移圖案3c之面內之CD均勻性亦較高。包含相移圖案3c與蝕刻終止膜2之底面之各構造體於面內之高度方向(厚度方向)之均勻性亦非常高。因此,該相移光罩201於面內之相移效果之均勻性較高。又,於相移光罩201之製造中途,在相移圖案3c發現缺陷,對該缺陷利用EB缺陷修正進行修正時,蝕刻終止功能較高,並且由於容易檢測蝕刻終點,故而可高精度地修正缺陷。 The phase shift mask 201 according to the first embodiment is produced by using the mask base 101 described above. Therefore, the verticality of the side wall of the phase shift pattern 3c of the phase shift mask 201 in this embodiment 1 is high, and the uniformity of the CD in the plane of the phase shift pattern 3c is also high. The uniformity in the height direction (thickness direction) of each structure including the phase shift pattern 3c and the bottom surface of the etching stopper film 2 is also very high. Therefore, the uniformity of the phase shift effect of the phase shift mask 201 in the plane is high. In addition, during the manufacture of the phase shift mask 201, a defect was found in the phase shift pattern 3c, and when the defect was corrected by EB defect correction, the etching termination function was high, and the etching end point was easy to detect, so it could be corrected with high accuracy. defect.

[半導體裝置之製造] [Manufacture of semiconductor device]

實施形態1之半導體裝置之製造方法之特徵在於:使用利用實施形態1之相移光罩201或實施形態1之光罩基底101所製造之相移光罩201,將轉印用圖案曝光轉印至半導體基板上之抗蝕劑膜。實施形態1之相移光罩201之相移圖案3c之側壁之垂直性較高,相移圖案3c之面內之CD均勻性亦較高,於面內之相移效果之均勻性亦較高。因此,若使用實施形態1之相移光罩201對半導體裝置上之抗蝕劑膜進行曝光轉印,則可於半導體裝置上之抗蝕劑膜以充分滿足設計規格之精度形成圖案。 The method for manufacturing a semiconductor device according to the first embodiment is characterized in that the pattern for transfer is exposed and transferred using the phase shift mask 201 manufactured by using the phase shift mask 201 of the first embodiment or the mask base 101 of the first embodiment. To a resist film on a semiconductor substrate. The verticality of the side wall of the phase shift pattern 3c of the phase shift mask 201 in Embodiment 1 is higher, and the uniformity of the CD in the plane of the phase shift pattern 3c is also higher, and the uniformity of the phase shift effect in the plane is also higher. . Therefore, if the resist film on the semiconductor device is subjected to exposure transfer using the phase shift mask 201 of the first embodiment, the resist film on the semiconductor device can be patterned with sufficient accuracy to meet the design specifications.

又,即便於使用於其製造中途利用EB缺陷修正對存在於相移圖案3c之缺陷進行修正之相移光罩對半導體裝置上之抗蝕劑膜進行曝光轉印之情形時,亦可高精度地修正缺陷,可防止於該相移光罩之存在缺陷之相移圖案3c之部分所對應之半導體裝置上之抗蝕劑膜產生轉印不良。因此,於以該抗蝕劑圖案作為光罩對被加工膜進行乾式蝕刻而形成電路圖案之情形時,能夠以無起因於精度不足或轉印不良之配線 短路或斷線之高精度形成良率較高之電路圖案。 In addition, even in a case where the phase shift mask for correcting the defects existing in the phase shift pattern 3c is used in the middle of the manufacturing process, the resist film on the semiconductor device can be exposed and transferred with high accuracy. Correcting the defects can prevent the transfer failure of the resist film on the semiconductor device corresponding to the defective phase shift pattern 3c of the phase shift mask. Therefore, in a case where a circuit pattern is formed by dry-etching a film to be processed by using the resist pattern as a photomask, there can be no wiring caused by insufficient accuracy or poor transfer. The high accuracy of short circuit or disconnection forms a circuit pattern with high yield.

再者,上文對將第1實施形態之光罩基底用於製造雷文生型之相移光罩之態樣進行了說明。但是,該第1實施形態之光罩基底亦可同樣地應用於製造CPL(Chromeless Phase Lithography,無鉻相位微影)光罩之用途。CPL光罩係轉印圖案形成區域內除大圖案之區域以外基本上不設置遮光膜,由透光性基板之刻蝕部與非刻蝕部構成轉印圖案之類型之相移光罩。通常,CPL光罩於透光性基板上形成有非刻蝕部與刻蝕部之重複圖案。又,以於透過非刻蝕部之曝光之光與透過刻蝕部之曝光之光之間產生干涉效果(相移效果)之方式調整刻蝕部之深度。 In addition, the above description has been made of the case where the mask base of the first embodiment is used to manufacture a Levinson-type phase shift mask. However, the mask base of the first embodiment can be similarly applied to the use of manufacturing a CPL (Chromeless Phase Lithography) mask. The CPL photomask is a type of phase-shifting photomask that basically does not include a light-shielding film except for a large pattern area in a transfer pattern formation area. The etched part and the non-etched part of the transparent substrate constitute a transfer pattern. Generally, a CPL mask is formed on a transparent substrate with a repeating pattern of non-etched portions and etched portions. In addition, the depth of the etched portion is adjusted in such a manner that an interference effect (phase shift effect) is generated between the light transmitted through the non-etched portion and the light transmitted through the etched portion.

於CPL光罩中,透過非刻蝕部之曝光之光被自夾持該非刻蝕部之兩側之2個刻蝕部透過之各曝光之光之繞射光干涉,該區域之曝光之光之光量成為大致零,該區域成為透過CPL光罩之光學圖像之暗部區域。第1實施形態之光罩基底可由包含相移膜3之圖案與蝕刻終止膜2之構造體代替該CPL光罩之刻蝕部,因此可容易地製造CPL光罩。再者,關於將該第1實施形態之光罩基底應用於CPL光罩之製造之情形之效果,與應用於上述雷文生型相移光罩之情形相同。 In the CPL mask, the light exposed through the non-etched portion is interfered by the diffracted light of each exposed light transmitted by the two etched portions holding the two sides of the non-etched portion. The amount of light becomes approximately zero, and this area becomes a dark area of the optical image transmitted through the CPL mask. The mask base of the first embodiment can replace the etched portion of the CPL mask with a structure including a pattern of the phase shift film 3 and an etching stopper film 2, so that the CPL mask can be easily manufactured. The effect of applying the mask base of the first embodiment to the manufacture of a CPL mask is the same as the case of applying the above-mentioned Levinson phase shift mask.

<第2實施形態> <Second Embodiment>

[光罩基底及其製造] [Mask Substrate and Manufacturing]

本發明之第2實施形態之光罩基底係自實施形態1中所使用者變更遮光膜與硬遮罩膜之材料所得之相移光罩用之光罩基底。於第2實施形態之光罩基底中,將遮光膜5設為含有選自矽及鉭中之至少一種以上之元素之膜,將硬遮罩膜6設為含有鉻之膜。關於此外之第2實施形態之光罩基底之構成,與第1實施形態之光罩基底相同。該第2實施形態之光罩基底可獲得與第1實施形態之光罩基底之情形相同之效果。又,該第2實施形態之光罩基底亦可同樣地應用於CPL光罩之製 造。 The mask base of the second embodiment of the present invention is a mask base for a phase shift mask obtained by changing the materials of the light shielding film and the hard mask film by the user in the first embodiment. In the mask base of the second embodiment, the light-shielding film 5 is a film containing at least one element selected from silicon and tantalum, and the hard mask film 6 is a film containing chromium. The configuration of the mask base of the second embodiment is the same as that of the mask base of the first embodiment. The mask base of the second embodiment can obtain the same effects as those of the mask base of the first embodiment. The mask base of the second embodiment can be similarly applied to the production of a CPL mask. Made.

對於遮光膜5,要求遮光性、加工性、膜之平滑性、量產性、及低缺陷性。 The light-shielding film 5 is required to have light-shielding properties, processability, film smoothness, mass productivity, and low defectivity.

作為具有此種特性之材料,可列舉含有矽之材料或含有過渡金屬及矽之材料。含有過渡金屬及矽之材料與不含有過渡金屬且含有矽之材料相比,遮光性能較高,能夠使遮光膜5之厚度變薄。作為遮光膜5中所含有之過渡金屬,可列舉:鉬(Mo)、鉭(Ta)、鎢(W)、鈦(Ti)、鉻(Cr)、鉿(Hf)、鎳(Ni)、釩(V)、鋯(Zr)、釕(Ru)、銠(Rh)、鈮(Nb)、鈀(Pd)等任一種以上之金屬或該等金屬之合金。又,於由含有矽之材料形成遮光膜5之情形時,亦可含有過渡金屬以外之金屬(錫(Sn)、銦(In)、鎵(Ga)等)。 Examples of materials having such characteristics include materials containing silicon or materials containing transition metals and silicon. A material containing a transition metal and silicon has a higher light shielding performance than a material containing no transition metal and silicon, and can reduce the thickness of the light shielding film 5. Examples of the transition metal contained in the light-shielding film 5 include molybdenum (Mo), tantalum (Ta), tungsten (W), titanium (Ti), chromium (Cr), hafnium (Hf), nickel (Ni), and vanadium. (V), zirconium (Zr), ruthenium (Ru), rhodium (Rh), niobium (Nb), palladium (Pd), or any one or more of these metals or alloys of these metals. When the light-shielding film 5 is formed of a material containing silicon, metals other than transition metals (tin (Sn), indium (In), gallium (Ga), etc.) may be contained.

遮光膜5可由包含矽及氮之材料或包含矽及氮之材料中含有選自半金屬元素、非金屬元素及稀有氣體中之1種以上之元素之材料形成。該情形時之遮光膜5中亦可含有任一種半金屬元素。該半金屬元素中,若含有選自硼、鍺、銻及碲中之一種以上之元素,則可期待於利用濺鍍法成膜遮光膜5時提高用作靶之矽之導電性,故而較佳。 The light-shielding film 5 may be formed of a material containing silicon and nitrogen or a material containing silicon and nitrogen containing one or more elements selected from the group consisting of a semi-metallic element, a non-metallic element, and a rare gas. The light-shielding film 5 in this case may contain any kind of semi-metal element. If the semi-metal element contains one or more elements selected from the group consisting of boron, germanium, antimony, and tellurium, it is expected that the conductivity of silicon used as a target will be improved when the light-shielding film 5 is formed by sputtering. good.

關於遮光膜5,於為包含下層與上層之積層構造之情形時,可由包含矽之材料或於矽中含有選自碳、硼、鍺、銻及碲中之1種以上之元素之材料形成下層,可由包含矽及氮之材料或於包含矽及氮之材料中含有選自半金屬元素、非金屬元素及稀有氣體中之1種以上之元素之材料形成上層。 Regarding the light-shielding film 5, in the case of a laminated structure including a lower layer and an upper layer, the lower layer may be formed of a material containing silicon or a material containing at least one element selected from carbon, boron, germanium, antimony, and tellurium in silicon. The upper layer may be formed of a material containing silicon and nitrogen or a material containing silicon and nitrogen containing at least one element selected from semi-metallic elements, non-metallic elements and rare gases.

遮光膜5亦可由含有鉭之材料形成。該情形時,遮光膜5之矽之含量較佳為5原子%以下,更佳為3原子%以下,進而較佳為實質上不含。該等含有鉭之材料係能夠藉由利用氟系氣體之乾式蝕刻將轉印圖案進行圖案化之材料。作為該情形時之含有鉭之材料,除鉭金屬以外,亦可列舉於鉭中含有選自氮、氧、硼及碳中之1種以上之元素之 材料等。例如可列舉:Ta、TaN、TaO、TaON、TaBN、TaBO、TaBON、TaCN、TaCO、TaCON、TaBCN、TaBOCN等。 The light-shielding film 5 may be formed of a material containing tantalum. In this case, the content of silicon in the light-shielding film 5 is preferably 5 atomic% or less, more preferably 3 atomic% or less, and further preferably substantially free of silicon. These tantalum-containing materials are materials capable of patterning a transfer pattern by dry etching using a fluorine-based gas. As the material containing tantalum in this case, in addition to tantalum metal, there may be listed tantalum containing one or more elements selected from nitrogen, oxygen, boron, and carbon. Materials, etc. Examples include Ta, TaN, TaO, TaON, TaBN, TaBO, TaBON, TaCN, TaCO, TaCON, TaBCN, TaBOCN, and the like.

只要為不會使光學濃度大幅降低之範圍,則形成遮光膜5之材料中亦可含有選自氧(O)、氮(N)、碳(C)、硼(B)、氫(H)中之1種以上之元素。尤其是含有氮之氮化鉭膜(TaN膜)有提高遮光膜之平滑性,改善遮光圖案之粗糙度之傾向。又,由於Ta金屬於大氣中容易氧化,故而若於光罩圖案製作後包含Ta金屬單質之圖案側壁露出,則有線寬隨著時間經過而發生變化之問題。若於Ta金屬中添加氮,則變得不易氧化,因此於遮光膜5使用鉭(Ta)之情形時,較佳為含有氮。又,為了進一步提高氮化鉭膜之平滑性,亦可於氮化鉭膜中添加硼、碳等。由於該等元素會使Ta金屬所具有之遮光性能或蝕刻性能降低,故而添加量較佳為20原子%以下。具體而言,若添加硼、碳,則遮光性能降低。若添加碳,則蝕刻速度降低。 The material for forming the light-shielding film 5 may also be selected from the group consisting of oxygen (O), nitrogen (N), carbon (C), boron (B), and hydrogen (H) as long as it does not significantly reduce the optical density. More than one element. In particular, a tantalum nitride film (TaN film) containing nitrogen tends to improve the smoothness of the light-shielding film and improve the roughness of the light-shielding pattern. In addition, since Ta metal is easily oxidized in the atmosphere, if a pattern side wall including a Ta metal element is exposed after the photomask pattern is produced, the line width changes with the passage of time. When nitrogen is added to the Ta metal, it becomes difficult to be oxidized. Therefore, when tantalum (Ta) is used for the light-shielding film 5, it is preferable to contain nitrogen. In order to further improve the smoothness of the tantalum nitride film, boron, carbon, or the like may be added to the tantalum nitride film. Since these elements reduce the light-shielding performance or etching performance of the Ta metal, the addition amount is preferably 20 atomic% or less. Specifically, when boron and carbon are added, the light-shielding performance decreases. When carbon is added, the etching rate decreases.

遮光膜5可應用單層構造及2層以上之積層構造之任一種。為了使遮光膜5之與透光性基板1為相反側之表面對曝光之光之反射率減少,亦可使該與透光性基板1為相反側之表層(下層與上層之2層構造之情形時為上層)中含有大量氧或氮。 The light-shielding film 5 may have any of a single-layer structure and a multilayer structure of two or more layers. In order to reduce the reflectance of the surface of the light-shielding film 5 on the opposite side to the light-transmitting substrate 1 to the exposed light, the surface layer on the opposite side to the light-transmitting substrate 1 (a two-layer structure of the lower layer and the upper layer) can also be made. In the case, the upper layer) contains a large amount of oxygen or nitrogen.

硬遮罩膜6係由含有鉻之材料所形成。又,硬遮罩膜6更佳為由含有鉻、以及選自氮、氧、碳、氫及硼中之1種以上之元素之材料所形成。硬遮罩膜6亦可由該等含有鉻之材料中含有選自銦(In)、錫(Sn)及鉬(Mo)中之至少一種以上之金屬元素(以下,將該等金屬元素稱為「銦等金屬元素」)之材料所形成。 The hard mask film 6 is formed of a material containing chromium. The hard mask film 6 is more preferably formed of a material containing chromium and one or more elements selected from nitrogen, oxygen, carbon, hydrogen, and boron. The hard mask film 6 may contain at least one metal element selected from the group consisting of indium (In), tin (Sn), and molybdenum (Mo) in these chromium-containing materials (hereinafter, these metal elements are referred to as " Metal elements such as indium ").

[相移光罩及其製造] [Phase shift mask and its manufacturing]

該第2實施形態之相移光罩除形成遮光膜5之材料變化以外與第1實施形態之相移光罩相同,藉此獲得之效果亦相同。 The phase shift mask of the second embodiment is the same as the phase shift mask of the first embodiment except that the material forming the light shielding film 5 is changed, and the effect obtained by this is also the same.

該第2實施形態之相移光罩之製造方法與第1實施形態之相移光 罩之製造方法不同之方面僅為因形成遮光膜5之材料變化及形成硬遮罩膜6之材料變化而必須變更之製程。具體而言,為了於硬遮罩膜6形成第1硬遮罩圖案6a而進行之第1乾式蝕刻係使用氯系氣體與氧氣之混合氣體。為了於遮光膜5形成遮光圖案5a而進行之第2乾式蝕刻係使用氟系氣體。 Method for manufacturing phase shift mask of the second embodiment and phase shift light of the first embodiment The different aspects of the manufacturing method of the mask are only the processes that must be changed due to changes in the materials forming the light-shielding film 5 and changes in the materials forming the hard mask film 6. Specifically, the first dry etching performed to form the first hard mask pattern 6 a on the hard mask film 6 uses a mixed gas of a chlorine-based gas and oxygen. The second dry etching system for forming the light-shielding pattern 5 a on the light-shielding film 5 uses a fluorine-based gas.

於該第2實施形態之相移光罩之製造方法中,於相移膜3形成相移圖案3c時之利用氟系氣體之第3乾式蝕刻時,硬遮罩圖案6a之圖案形狀基本不變。其原因在於,該第2實施形態中之硬遮罩膜6係由含有鉻之材料所形成,對氟系氣體具有較高之蝕刻耐受性。並且,該硬遮罩圖案6a擔負於該第3乾式蝕刻時保護遮光圖案5a不被氟系氣體蝕刻之作用。 In the manufacturing method of the phase shift mask of the second embodiment, when the third dry etching using a fluorine-based gas is performed when the phase shift film 3 forms the phase shift pattern 3c, the pattern shape of the hard mask pattern 6a is substantially unchanged. . The reason is that the hard mask film 6 in the second embodiment is formed of a material containing chromium, and has a high etching resistance to a fluorine-based gas. The hard mask pattern 6a is responsible for protecting the light-shielding pattern 5a from being etched by a fluorine-based gas during the third dry etching.

[半導體裝置之製造] [Manufacture of semiconductor device]

實施形態2之半導體裝置之製造方法除使用實施形態2之相移光罩以外,與實施形態1之半導體裝置之製造方法相同。又,關於藉由使用實施形態2之相移光罩而獲得之效果,亦與實施形態1之半導體裝置之製造方法相同。 The method for manufacturing a semiconductor device according to the second embodiment is the same as the method for manufacturing a semiconductor device according to the first embodiment, except that the phase shift mask of the second embodiment is used. The effect obtained by using the phase shift mask of the second embodiment is also the same as the method of manufacturing the semiconductor device of the first embodiment.

<第3實施形態> <Third Embodiment>

[光罩基底及其製造] [Mask Substrate and Manufacturing]

本發明之第3實施形態之光罩基底103(參照圖4)係將實施形態1中所說明之光罩基底構造之相移膜3設為積層型之相移膜4者。即,該積層型之相移膜4係由包含含有矽及氧之材料(SiO系材料)之下層31及包含含有矽、鋁及氧之材料(SiAlO系材料)且具有蝕刻終止功能之上層32構成。相移膜4係將下層31與上層32合併而獲得特定之相位差者(參照圖4)。實施形態3中之透光性基板1、蝕刻終止膜2、遮光膜5、及硬遮罩膜6係與實施形態1相同者,材料及製法亦相同。下層31亦為與實施形態1中之相移膜3相同之材料,且利用相同製法者。 The mask base 103 (refer to FIG. 4) of the third embodiment of the present invention is one in which the phase shift film 3 of the mask base structure described in the first embodiment is a laminated phase shift film 4. That is, the laminated phase shift film 4 is composed of a lower layer 31 containing a material containing silicon and oxygen (SiO-based material) and an upper layer 32 containing a material containing silicon, aluminum, and oxygen (SiAlO-based material) and having an etching stop function. Make up. The phase shift film 4 is obtained by combining the lower layer 31 and the upper layer 32 to obtain a specific phase difference (see FIG. 4). The light-transmitting substrate 1, the etching stopper film 2, the light-shielding film 5, and the hard mask film 6 in Embodiment 3 are the same as those in Embodiment 1, and the materials and manufacturing methods are also the same. The lower layer 31 is also made of the same material as the phase shift film 3 in Embodiment 1, and uses the same method.

實施形態3中之下層31必須藉由下層31與上層32之積層構造之相移膜4之整體而對曝光之光賦予特定之相位差,因此實施形態1中之相移膜3之膜厚不同。若詳細敍述,則該相移膜4具有使透過該相移膜4之曝光之光與於空氣中透過與該相移膜4之厚度相同距離之曝光之光之間產生150度以上且200度以下(較佳為150度以上且180度以下)之相位差之功能,對曝光之光之透過率至少設為95%以上。相移膜4之透過率更佳為96%以上,進而較佳為97%以上。此處,包含SiAlO系材料之上層32之膜厚為3nm以上,較佳為4nm以上,更佳為5nm以上,且為20nm以下,較佳為15nm以下,更佳為10nm以下。另一方面,包含SiO系材料之下層31之膜厚為120nm以上,較佳為130nm以上,更佳為140nm以上,且為170nm以下,較佳為160nm以下,更佳為150nm以下。以下,以與實施形態1不同之方面為中心,對實施形態3進行敍述。 In the third embodiment, the lower layer 31 must provide a specific phase difference to the exposed light by using the entire phase shift film 4 of the laminated structure of the lower layer 31 and the upper layer 32. Therefore, the film thickness of the phase shift film 3 in the first embodiment is different. . If described in detail, the phase shift film 4 has a degree of 150 degrees or more and 200 degrees between the light exposed through the phase shift film 4 and the light transmitted in the air through the same distance as the thickness of the phase shift film 4. The following retardation function (preferably 150 degrees or more and 180 degrees or less) has a transmittance of at least 95% for the light to be exposed. The transmittance of the phase shift film 4 is more preferably 96% or more, and still more preferably 97% or more. Here, the film thickness of the upper layer 32 including the SiAlO-based material is 3 nm or more, preferably 4 nm or more, more preferably 5 nm or more, and 20 nm or less, preferably 15 nm or less, and more preferably 10 nm or less. On the other hand, the film thickness of the lower layer 31 including the SiO-based material is 120 nm or more, preferably 130 nm or more, more preferably 140 nm or more, and 170 nm or less, preferably 160 nm or less, and more preferably 150 nm or less. Hereinafter, the third embodiment will be described focusing on the differences from the first embodiment.

關於構成元素等,上層32與蝕刻終止膜2相同。可將上層32與蝕刻終止膜2設為相同構成元素及組成,又,亦可設為不同構成元素及組成。上層32兼具上述相移功能、以及於去除硬遮罩圖案6d時相移圖案之表面不被蝕刻之蝕刻終止功能。即,其原因在於,防止因去除硬遮罩圖案時之蝕刻而導致露出之上層圖案32c之表面700被蝕刻,相移圖案之膜厚偏離特定之值或其表面粗糙(參照圖6)。若膜厚偏離特定之值,則有相移圖案對曝光之光之相位差偏離特定之值之虞,若表面粗糙,則有曝光之光之透過率降低之可能性。 Regarding constituent elements and the like, the upper layer 32 is the same as the etching stopper film 2. The upper layer 32 and the etching stopper film 2 may be the same constituent elements and compositions, or may be different constituent elements and compositions. The upper layer 32 has both the above-mentioned phase shift function and an etching stop function that the surface of the phase shift pattern is not etched when the hard mask pattern 6d is removed. That is, the reason is to prevent the surface 700 that exposes the upper layer pattern 32c from being etched due to the etching when the hard mask pattern is removed, and the film thickness of the phase shift pattern deviates from a specific value or its surface is rough (see FIG. 6). If the film thickness deviates from a specific value, the phase difference of the phase shift pattern with respect to the exposed light may deviate from a specific value. If the surface is rough, the transmittance of the exposed light may decrease.

[相移光罩及其製造] [Phase shift mask and its manufacturing]

於該第3實施形態之相移光罩203(參照圖5)中,除實施形態1之特徵以外,第1特徵在於,相移圖案4c包含下層圖案31c與上層圖案32c之積層圖案,藉由該積層構造而成為對曝光之光賦予特定之相位差之相移圖案。並且,第2特徵在於,於具有蝕刻終止功能之上層圖案32c 上形成有遮光圖案5a。 In the phase shift mask 203 (see FIG. 5) of the third embodiment, in addition to the features of the first embodiment, the first feature is that the phase shift pattern 4c includes a laminated pattern of a lower layer pattern 31c and an upper layer pattern 32c. This laminated structure is a phase shift pattern that gives a specific phase difference to the light that is exposed. The second feature is that the upper layer pattern 32c has an etching stop function. A light-shielding pattern 5a is formed thereon.

即,該第3實施形態之相移光罩203之特徵在於:具備於透光性基板1上依序積層有蝕刻終止膜2、相移圖案4c、及遮光圖案5a之構造,且相移圖案4c具備依序積層有包含含有矽及氧之材料之下層圖案31c、及包含含有矽、鋁及氧之材料之上層圖案32c之構造,蝕刻終止膜2包含含有矽、鋁及氧之材料。 That is, the phase shift mask 203 of the third embodiment is characterized in that it has a structure in which an etching stopper film 2, a phase shift pattern 4c, and a light-shielding pattern 5a are sequentially laminated on the light-transmitting substrate 1, and the phase shift pattern is provided. 4c has a structure in which a lower layer pattern 31c including a material containing silicon and oxygen and an upper layer pattern 32c including a material containing silicon, aluminum, and oxygen are sequentially stacked, and the etching stopper film 2 includes a material including silicon, aluminum, and oxygen.

該第3實施形態之相移光罩之製造方法係使用上述光罩基底103者,以下,依據圖6所示之製造步驟,對該第3實施形態之相移光罩203之製造方法進行說明。此處,對遮光膜5應用含有鉻之材料,硬遮罩膜6應用含有矽之材料之情形進行說明。 The manufacturing method of the phase shift mask of the third embodiment is the one using the above-mentioned mask base 103. Hereinafter, the manufacturing method of the phase shift mask 203 of the third embodiment will be described based on the manufacturing steps shown in FIG. . Here, a case where a material containing chromium is applied to the light-shielding film 5 and a material containing silicon is applied to the hard mask film 6 will be described.

首先,形成第1抗蝕劑圖案7a(參照圖6(a)),繼而以抗蝕劑圖案7a作為光罩,進行使用CF4等氟系氣體之乾式蝕刻,於硬遮罩膜6形成硬遮罩圖案6a(參照圖6(b))。其次,去除抗蝕劑圖案7a後,以硬遮罩圖案6a作為光罩,進行使用氯系氣體與氧氣之混合氣體之乾式蝕刻,於遮光膜5形成第1遮光圖案5a(參照圖6(c))。 First, a first resist pattern 7a is formed (see FIG. 6 (a)), and then the resist pattern 7a is used as a photomask, and dry etching using a fluorine-based gas such as CF 4 is performed to form a hard mask film 6. Mask pattern 6a (see FIG. 6 (b)). Next, after removing the resist pattern 7a, dry etching using a mixed gas of a chlorine-based gas and oxygen is performed using the hard mask pattern 6a as a photomask to form a first light-shielding pattern 5a on the light-shielding film 5 (see FIG. 6 (c )).

繼而,形成用以形成相移圖案4c之第2抗蝕劑圖案8b(參照圖6(d))。其後,進行使用氯化硼(BCl3)與氯(Cl2)之混合氣體之乾式蝕刻而於上層32形成上層圖案32c(參照圖6(e)),繼而進行使用CF4等氟系氣體之乾式蝕刻而於下層31形成下層圖案31c(參照圖6(f))。如此,形成包含下層圖案31c及上層圖案32c之相移圖案4c。 Then, a second resist pattern 8b for forming the phase shift pattern 4c is formed (see FIG. 6 (d)). Thereafter, dry etching using a mixed gas of boron chloride (BCl 3 ) and chlorine (Cl 2 ) is performed to form an upper layer pattern 32c on the upper layer 32 (see FIG. 6 (e)), and then a fluorine-based gas such as CF 4 is used. By dry etching, a lower layer pattern 31c is formed on the lower layer 31 (see FIG. 6 (f)). In this way, a phase shift pattern 4c including a lower layer pattern 31c and an upper layer pattern 32c is formed.

其後,使用灰化或剝離液等將第2抗蝕劑圖案8b去除(參照圖6(g)),進而利用使用CF4等氟系氣體之乾式蝕刻將殘存之硬遮罩圖案6d去除,經過清洗等特定之處理而獲得相移光罩203(參照圖6(h))。此時,於上層圖案32c之表面700露出之部分,由於構成其之材料為具有蝕刻終止功能之SiAlO系材料,故而其表面700亦幾乎不被蝕刻,相移圖案4c成為可確保對曝光之光之特定之相位差者。於此處之清洗步驟 中,使用氨水過氧化氫混合物,但蝕刻終止膜2之表面幾乎未溶解,於相移圖案4c之透光部未露出透光性基板1之表面。又,上層圖案32c露出之表面700亦幾乎未溶解,而保持了所期望之形狀及膜厚。再者,上述乾式蝕刻中所使用之氯系氣體及氟系氣體與實施形態1中所使用者相同。 Thereafter, the second resist pattern 8b is removed using ashing or a stripping solution (see FIG. 6 (g)), and the remaining hard mask pattern 6d is removed by dry etching using a fluorine-based gas such as CF 4 . The phase shift mask 203 is obtained through a specific process such as cleaning (see FIG. 6 (h)). At this time, since the surface 700 of the upper layer pattern 32c is exposed, since the material constituting it is a SiAlO-based material having an etching stop function, the surface 700 is hardly etched, and the phase shift pattern 4c can ensure exposure to light. The specific phase difference. In the cleaning step here, an ammonia hydrogen peroxide mixture is used, but the surface of the etching stopper film 2 is hardly dissolved, and the surface of the light-transmitting substrate 1 is not exposed at the light-transmitting portion of the phase shift pattern 4c. In addition, the exposed surface 700 of the upper layer pattern 32c is hardly dissolved, and the desired shape and film thickness are maintained. The chlorine-based gas and fluorine-based gas used in the dry etching are the same as those used in the first embodiment.

實施形態3之相移光罩203之下層圖案31c占主體之相移圖案4c之側壁之垂直性較高,面內之CD均勻性亦較高,於面內之相移效果之均勻性亦較高。因此,若使用實施形態3之相移光罩203對半導體裝置上之抗蝕劑膜進行曝光轉印,則可於半導體裝置上之抗蝕劑膜以充分滿足設計規格之精度形成圖案。再者,該第3實施形態之光罩基底與第1實施形態同樣地亦可應用於CPL光罩之製造。 The lower pattern 31c of the phase shift mask 203 in Embodiment 3 occupies a higher verticality of the side wall of the main phase shift pattern 4c, and the in-plane CD uniformity is also higher, and the in-plane phase shift effect is more uniform. high. Therefore, if the resist film on the semiconductor device is exposed and transferred using the phase shift mask 203 of Embodiment 3, the resist film on the semiconductor device can be patterned with sufficient accuracy to meet the design specifications. The mask base of the third embodiment can be applied to the production of a CPL mask in the same manner as in the first embodiment.

<第4實施形態> <Fourth Embodiment>

[光罩基底及其製造] [Mask Substrate and Manufacturing]

本發明之第4實施形態之光罩基底係於實施形態3中所說明之光罩基底構造中將遮光膜5與硬遮罩膜6變更為實施形態2中所說明之遮光膜5與硬遮罩膜6之材料者。即,於實施形態4中,將遮光膜5設為含有選自矽及鉭中之至少一種以上之元素之膜,硬遮罩膜6係設為含有鉻之膜。關於其他,與實施形態3之光罩基底相同。該第4實施形態之光罩基底可獲得與第3實施形態之光罩基底之情形相同之效果。又,藉由設為此種構成,上層32成為對遮光膜5進行蝕刻時之蝕刻終止層。因此,藉由使用氟系氣體之乾式蝕刻所形成之遮光圖案5a之形狀與面內CD均勻性提高,並且能夠獲得相位控制性較高之相移圖案4c。 The mask base of the fourth embodiment of the present invention is the mask base structure described in the third embodiment, and the light shielding film 5 and the hard mask film 6 are changed to the light shielding film 5 and the hard mask described in the second embodiment. Material of the cover film 6. That is, in Embodiment 4, the light-shielding film 5 is a film containing at least one element selected from silicon and tantalum, and the hard mask film 6 is a film containing chromium. Others are the same as those of the mask base of the third embodiment. The mask base of the fourth embodiment can obtain the same effects as those of the mask base of the third embodiment. With such a configuration, the upper layer 32 serves as an etching stopper when the light shielding film 5 is etched. Therefore, the shape and in-plane CD uniformity of the light-shielding pattern 5a formed by dry etching using a fluorine-based gas is improved, and a phase shift pattern 4c having a high phase controllability can be obtained.

該實施形態4之遮光膜5係與相移膜4之上層32相接而設置。若考慮利用EB缺陷修正對遮光膜5進行缺陷修正時之蝕刻終點檢測,則於由含有矽之材料形成遮光膜5之情形時,較佳為該遮光膜5中不含鋁。 The light shielding film 5 of the fourth embodiment is provided in contact with the upper layer 32 of the phase shift film 4. Considering the end-of-etch detection when the EB defect correction is used to correct the light-shielding film 5, when the light-shielding film 5 is formed of a material containing silicon, it is preferable that the light-shielding film 5 does not contain aluminum.

[相移光罩及其製造] [Phase shift mask and its manufacturing]

該第4實施形態之相移光罩除將形成遮光膜5之材料變更為第2實施形態之遮光膜之材料以外與第3實施形態之相移光罩相同,藉此獲得之效果亦相同。該第4實施形態之相移光罩之製造方法係使用上述光罩基底者,與第3實施形態之相移光罩之製造方法之不同僅為因形成遮光膜5之材料變化、及形成硬遮罩膜6之材料變化而產生變更之製程。該變更之製程亦設為與第2實施形態之相移光罩之製造方法所對應之製程相同。 The phase shift mask of the fourth embodiment is the same as the phase shift mask of the third embodiment except that the material forming the light shielding film 5 is changed to the material of the light shielding film of the second embodiment, and the effect obtained by this is also the same. The manufacturing method of the phase shift mask of the fourth embodiment uses the above-mentioned mask base, and the manufacturing method of the phase shift mask of the third embodiment is different only due to changes in the material forming the light-shielding film 5 and forming a hard The process of changing the material of the mask film 6 results in a change. The process of this change is also set to be the same as the process corresponding to the method of manufacturing the phase shift mask of the second embodiment.

於該第4實施形態之相移光罩之製造方法中,於上層32形成上層圖案32c時之乾式蝕刻時、及於下層31形成下層圖案31c時之乾式蝕刻時,硬遮罩圖案6a之圖案形狀基本不變。其原因在於,該第4實施形態中之硬遮罩膜6係由含有鉻之材料形成,對該等蝕刻氣體具有較高之蝕刻耐受性。並且,該硬遮罩圖案6a擔負於該下層31之乾式蝕刻時保護遮光圖案5a不被氟系氣體蝕刻之作用。 In the manufacturing method of the phase shift mask of the fourth embodiment, the pattern of the hard mask pattern 6a is used in the dry etching when the upper layer 32 is formed with the upper pattern 32c and the dry etching is performed in the lower layer 31 when the lower pattern 31c is formed. The shape is basically unchanged. The reason for this is that the hard mask film 6 in the fourth embodiment is formed of a material containing chromium, and has a high etching resistance to these etching gases. In addition, the hard mask pattern 6a is responsible for protecting the light-shielding pattern 5a from being etched by a fluorine-based gas during dry etching of the lower layer 31.

此處,於利用使用氟氣體之乾式蝕刻形成遮光圖案5a時,於上層圖案32c之表面700露出之部分,其表面亦幾乎不被蝕刻。並且,包含下層圖案31c及上層圖案32c之相移圖案4c成為確保了對曝光之光之特定之相位差者。該實施形態4之相移光罩203之下層圖案31c占主體之相移圖案4c之側壁之垂直性較高,面內之CD均勻性亦較高,於面內之相移效果之均勻性亦較高。因此,若使用實施形態4之相移光罩203對半導體裝置上之抗蝕劑膜進行曝光轉印,則可於半導體裝置上之抗蝕劑膜以充分滿足設計規格之精度形成圖案。再者,該第4實施形態之光罩基底與第1實施形態之情形同樣地亦可應用於CPL光罩之製造。 Here, when the light-shielding pattern 5a is formed by dry etching using a fluorine gas, the surface of the portion 700 exposed on the upper layer pattern 32c is hardly etched. In addition, the phase shift pattern 4c including the lower layer pattern 31c and the upper layer pattern 32c is a person who has ensured a specific phase difference with respect to the exposed light. In the fourth embodiment, the lower layer pattern 31c of the phase shift mask 203 occupies a higher verticality of the side wall of the main phase shift pattern 4c, and the uniformity of the CD in the plane is also higher. Higher. Therefore, if the resist film on the semiconductor device is subjected to exposure transfer using the phase shift mask 203 of Embodiment 4, the resist film on the semiconductor device can be patterned with sufficient accuracy to meet the design specifications. The mask base of the fourth embodiment can be applied to the production of a CPL mask in the same manner as in the first embodiment.

<第5實施形態> <Fifth Embodiment>

[光罩基底及其製造] [Mask Substrate and Manufacturing]

本發明之第5實施形態之光罩基底106(參照圖7)係於實施形態2中所說明之光罩基底構造中於相移膜3與遮光膜5之間設置有硬遮罩膜9者。硬遮罩膜9係與硬遮罩膜6同樣地由含有鉻之材料形成。關於硬遮罩膜9之其他事項,與硬遮罩膜6之情形相同。該第5實施形態之光罩基底尤其是於製造CPL光罩之用途中較佳。 The mask base 106 (refer to FIG. 7) of the fifth embodiment of the present invention is the one in which the hard mask film 9 is provided between the phase shift film 3 and the light shielding film 5 in the mask base structure described in the second embodiment. . The hard mask film 9 is formed of a material containing chromium similarly to the hard mask film 6. The other matters regarding the hard mask film 9 are the same as those of the hard mask film 6. The mask substrate of the fifth embodiment is particularly suitable for use in the manufacture of a CPL mask.

[相移光罩及其製造] [Phase shift mask and its manufacturing]

該第5實施形態之相移光罩206(參照圖8)之特徵在於,其係CPL光罩,且光罩基底106之蝕刻終止膜2於透光性基板1之主表面上之整面殘留,於相移膜3形成有相移圖案3e,於硬遮罩膜9形成有硬遮罩圖案9f,於遮光膜5形成有遮光圖案5f。於該相移光罩206之製作中途將硬遮罩膜6去除(參照圖9)。 The phase shift mask 206 (refer to FIG. 8) of this fifth embodiment is characterized in that it is a CPL mask and that the etching stopper film 2 of the mask base 106 remains on the entire surface of the main surface of the light-transmitting substrate 1. A phase shift pattern 3e is formed on the phase shift film 3, a hard mask pattern 9f is formed on the hard mask film 9, and a light blocking pattern 5f is formed on the light shielding film 5. The hard mask film 6 is removed in the middle of manufacturing the phase shift mask 206 (see FIG. 9).

即,該第5實施形態之相移光罩206之特徵在於:具備於透光性基板1上依序積層有蝕刻終止膜2、相移圖案3e、硬遮罩圖案9f及遮光圖案5f之構造,相移圖案3e包含含有矽及氧之材料,硬遮罩圖案9f包含含有鉻之材料,遮光膜5包含含有選自矽及鉭中之至少一種以上之元素之材料,蝕刻終止膜2包含含有矽、鋁及氧之材料。 That is, the phase shift mask 206 of the fifth embodiment is characterized by having a structure in which an etching stopper film 2, a phase shift pattern 3e, a hard mask pattern 9f, and a light shielding pattern 5f are sequentially laminated on the light-transmitting substrate 1. The phase shift pattern 3e contains a material containing silicon and oxygen, the hard mask pattern 9f contains a material containing chromium, the light-shielding film 5 contains a material containing at least one element selected from silicon and tantalum, and the etching stopper film 2 contains Materials of silicon, aluminum and oxygen.

該第5實施形態之相移光罩206之製造方法之特徵在於:其係使用上述光罩基底106者,且具備如下步驟:藉由使用氯系氣體之乾式蝕刻於硬遮罩膜6形成遮光圖案之步驟;以具有遮光圖案之硬遮罩膜(硬遮罩圖案)6f作為光罩,藉由使用氟系氣體之乾式蝕刻於遮光膜5形成遮光圖案5f之步驟;藉由使用氯系氣體之乾式蝕刻於硬遮罩膜9形成相移圖案之步驟;以具有相移圖案之硬遮罩膜(硬遮罩圖案)9e作為光罩,藉由使用氟系氣體之乾式蝕刻於相移膜3形成相移圖案3e之步驟;及以遮光圖案5f作為光罩,藉由使用氯系氣體之乾式蝕刻於硬遮罩膜9e形成硬遮罩圖案9f之步驟(參照圖9)。 The method of manufacturing the phase shift mask 206 of the fifth embodiment is characterized in that it uses the above-mentioned mask base 106 and has the following steps: forming a light-shielding film by dry etching using a chlorine-based gas on the hard mask film 6 Step of patterning; using a hard mask film (hard mask pattern) 6f with a light-shielding pattern as a photomask, and forming a light-shielding pattern 5f on the light-shielding film 5 by dry etching using a fluorine-based gas; by using a chlorine-based gas A step of forming a phase shift pattern on the hard mask film 9 by dry etching; using a hard mask film (hard mask pattern) 9e having a phase shift pattern as a photomask, and performing dry etching on the phase shift film using a fluorine-based gas 3 a step of forming a phase shift pattern 3e; and a step of forming a hard mask pattern 9f on the hard mask film 9e by dry etching using a chlorine-based gas using the light shielding pattern 5f as a photomask (see FIG. 9).

以下,依據作為要部剖視構造圖之圖9所示之製造步驟,對該第 5實施形態之相移光罩206之製造方法進行說明。再者,此處對遮光膜5應用含有矽之材料之情形進行說明。 In the following, according to the manufacturing steps shown in FIG. A method for manufacturing the phase shift mask 206 of the fifth embodiment will be described. Here, a case where a material containing silicon is applied to the light shielding film 5 will be described.

首先,與光罩基底106中之硬遮罩膜6相接且藉由旋轉塗佈法形成抗蝕劑膜。其次,針對抗蝕劑膜,利用電子束繪圖應形成於遮光膜5之遮光圖案,進而進行顯影處理等特定之處理,藉此形成抗蝕劑圖案17f(參照圖9(a))。繼而,以抗蝕劑圖案17f作為光罩,進行使用氯系氣體與氧氣之混合氣體之乾式蝕刻,於硬遮罩膜6形成硬遮罩圖案6f(參照圖9(b))。 First, it is in contact with the hard mask film 6 in the mask base 106 and a resist film is formed by a spin coating method. Next, for the resist film, a light-shielding pattern to be formed on the light-shielding film 5 is drawn using an electron beam, and then specific processing such as development processing is performed to form a resist pattern 17f (see FIG. 9 (a)). Then, using the resist pattern 17f as a photomask, dry etching using a mixed gas of a chlorine-based gas and oxygen is performed to form a hard mask pattern 6f on the hard mask film 6 (see FIG. 9 (b)).

其次,去除抗蝕劑圖案17f後,以硬遮罩圖案6f作為光罩,進行使用CF4等氟系氣體之乾式蝕刻,於遮光膜5形成遮光圖案5f(參照圖9(c))。 Next, after removing the resist pattern 17f, dry etching using a fluorine-based gas such as CF 4 is performed using the hard mask pattern 6f as a photomask to form a light-shielding pattern 5f on the light-shielding film 5 (see FIG. 9 (c)).

繼而,藉由旋轉塗佈法形成抗蝕劑膜,其後針對抗蝕劑膜,利用電子束繪圖應形成於相移膜3之相移圖案,進而進行顯影處理等特定之處理,藉此形成抗蝕劑圖案18e(參照圖9(d))。 Next, a resist film is formed by a spin-coating method, and then the resist film is formed with a phase shift pattern that should be formed on the phase shift film 3 by an electron beam drawing, and then specific processing such as development processing is performed to form the resist film. A resist pattern 18e (see FIG. 9 (d)).

其後,以抗蝕劑圖案18e作為光罩,進行使用氯系氣體與氧氣之混合氣體之乾式蝕刻,於硬遮罩膜9形成硬遮罩圖案9e(參照圖9(e))。其次,去除抗蝕劑圖案18e後,進行使用CF4等氟系氣體之乾式蝕刻,於相移膜3形成相移圖案3e(參照圖9(f))。 Thereafter, dry etching using a mixed gas of a chlorine-based gas and oxygen is performed using the resist pattern 18e as a photomask to form a hard mask pattern 9e on the hard mask film 9 (see FIG. 9 (e)). Next, after removing the resist pattern 18e, dry etching using a fluorine-based gas such as CF 4 is performed to form a phase shift pattern 3e on the phase shift film 3 (see FIG. 9 (f)).

繼而,以遮光圖案5f作為光罩,進行使用氯系氣體與氧氣之混合氣體之乾式蝕刻,形成硬遮罩圖案9f。此時,將硬遮罩圖案6f同時去除。 Then, the light-shielding pattern 5f is used as a photomask, and dry etching is performed using a mixed gas of a chlorine-based gas and oxygen to form a hard mask pattern 9f. At this time, the hard mask pattern 6f is simultaneously removed.

其後,進行清洗步驟,且視需要進行光罩缺陷檢查。進而,根據缺陷檢查之結果視需要進行缺陷修正而製造相移光罩206。於此處之清洗步驟中,使用氨水過氧化氫混合物,蝕刻終止膜2之表面幾乎未溶解,於相移圖案3e之開口部未露出透光性基板1之表面。再者,關於上述製程之乾式蝕刻中所使用之氯系氣體、氟系氣體,與實施形 態1之情形相同。 Thereafter, a cleaning step is performed, and a mask defect inspection is performed if necessary. Further, a defect correction is performed as necessary based on the results of the defect inspection to manufacture a phase shift mask 206. In the cleaning step here, the surface of the etching stopper film 2 is hardly dissolved using the ammonia hydrogen peroxide mixture, and the surface of the translucent substrate 1 is not exposed at the opening of the phase shift pattern 3e. In addition, the chlorine-based gas and fluorine-based gas used in the dry etching in the above-mentioned process are similar to the embodiment. The situation is the same for state 1.

該實施形態5之相移光罩(CPL光罩)206係使用上述光罩基底106所製作者。因此,該實施形態5之相移光罩206之相移圖案3e之側壁之垂直性較高,相移圖案3e之面內之CD均勻性亦較高。包含相移圖案3e與蝕刻終止膜2之底面之各構造體於面內之高度方向(厚度方向)之均勻性亦非常高。因此,該相移光罩206於面內之相移效果之均勻性較高。又,於相移光罩206之製造中途,於相移圖案3e發現缺陷,對該缺陷利用EB缺陷修正進行修正時,蝕刻終止功能較高,並且由於容易檢測蝕刻終點,故而可高精度地修正缺陷。 The phase shift mask (CPL mask) 206 according to the fifth embodiment is manufactured using the mask base 106 described above. Therefore, the verticality of the side walls of the phase shift pattern 3e of the phase shift mask 206 in this embodiment 5 is high, and the uniformity of the CD in the plane of the phase shift pattern 3e is also high. The uniformity in the height direction (thickness direction) of each structure including the phase shift pattern 3e and the bottom surface of the etching stopper film 2 is also very high. Therefore, the uniformity of the phase shift effect of the phase shift mask 206 in the plane is high. In addition, during the manufacture of the phase shift mask 206, a defect was found in the phase shift pattern 3e, and when the defect was corrected by EB defect correction, the etching termination function was high, and the etching end point was easy to detect, so it could be corrected with high accuracy. defect.

[半導體裝置之製造] [Manufacture of semiconductor device]

實施形態5之半導體裝置之製造方法之特徵在於:使用實施形態5之相移光罩206或利用實施形態5之光罩基底106所製造之相移光罩206,將轉印用圖案曝光轉印至半導體基板上之抗蝕劑膜。實施形態5之相移光罩206之相移圖案3e之側壁之垂直性較高,相移圖案3e之面內之CD均勻性亦較高,於面內之相移效果之均勻性亦較高。因此,若使用實施形態5之相移光罩206對半導體裝置上之抗蝕劑膜進行曝光轉印,則可於半導體裝置上之抗蝕劑膜以充分滿足設計規格之精度形成圖案。 The method for manufacturing a semiconductor device according to the fifth embodiment is characterized in that the pattern for transfer is exposed and transferred by using the phase shift mask 206 of the fifth embodiment or the phase shift mask 206 manufactured by using the mask base 106 of the fifth embodiment. To a resist film on a semiconductor substrate. The verticality of the side wall of the phase shift pattern 3e of the phase shift mask 206 of Embodiment 5 is higher, and the uniformity of the CD in the plane of the phase shift pattern 3e is also higher, and the uniformity of the phase shift effect in the plane is also higher. . Therefore, if the resist film on the semiconductor device is subjected to exposure transfer using the phase shift mask 206 of Embodiment 5, the resist film on the semiconductor device can be patterned with sufficient accuracy to meet the design specifications.

又,於使用於其製造中途利用EB缺陷修正對存在於相移圖案3e之缺陷進行修正之相移光罩對半導體裝置上之抗蝕劑膜進行曝光轉印之情形時,亦高精度地修正缺陷,可防止於該相移光罩之存在缺陷之相移圖案3e之部分所對應之半導體裝置上之抗蝕劑膜產生轉印不良。因此,於以該抗蝕劑圖案作為光罩對被加工膜進行乾式蝕刻而形成電路圖案之情形時,能夠以無起因於精度不足或轉印不良之配線短路或斷線之高精度形成良率較高之電路圖案。 In addition, in the case where the phase shift mask for correcting the defects existing in the phase shift pattern 3e is used in the middle of its manufacture to perform the exposure transfer of the resist film on the semiconductor device, it is also corrected with high accuracy. Defects can prevent a defective transfer of the resist film on the semiconductor device corresponding to the defective phase shift pattern 3e of the phase shift mask. Therefore, in a case where a circuit pattern is formed by dry-etching a film to be processed by using the resist pattern as a photomask, it is possible to form a yield with high accuracy without a short circuit or disconnection of wiring caused by insufficient accuracy or poor transfer. Higher circuit pattern.

<另一實施形態> <Another embodiment>

[光罩基底及其製造] [Mask Substrate and Manufacturing]

該另一實施形態之光罩基底係適於製造刻蝕雷文生型相移光罩之用途者。如圖10所示,該光罩基底105具備於透光性基板1上依序積層有蝕刻終止膜2及遮光膜5之構成。又,視需要於遮光膜5上形成硬遮罩膜6(參照圖12)。關於透光性基板1及蝕刻終止膜2之各者之詳情,與第1實施形態之情形相同。關於遮光膜5與硬遮罩膜6,與第1實施形態同樣地,可應用包含含有鉻之材料之遮光膜5與包含含有矽之材料或含有鉭之材料之硬遮罩膜6之組合。又,關於遮光膜5與硬遮罩膜6,與第2實施形態同樣地,亦可應用包含含有矽之材料、含有過渡金屬及矽之材料及含有鉭之材料之任一者之遮光膜5,與包含含有鉻之材料之硬遮罩膜6之組合。關於遮光膜5及硬遮罩膜6之各者之詳情,與第1實施形態及第2實施形態之情形相同。 The photomask base of this another embodiment is suitable for use in manufacturing an etched Levinson-type phase shift photomask. As shown in FIG. 10, the photomask base 105 has a configuration in which an etching stopper film 2 and a light-shielding film 5 are sequentially laminated on a transparent substrate 1. A hard mask film 6 is formed on the light shielding film 5 as necessary (see FIG. 12). The details of each of the light-transmitting substrate 1 and the etching stopper film 2 are the same as those in the first embodiment. As for the light-shielding film 5 and the hard mask film 6, as in the first embodiment, a combination of the light-shielding film 5 containing a material containing chromium and the hard mask film 6 containing a material containing silicon or a material containing tantalum can be applied. As for the light-shielding film 5 and the hard mask film 6, as in the second embodiment, a light-shielding film 5 including any one of a material containing silicon, a material containing transition metal and silicon, and a material containing tantalum may be applied. In combination with a hard mask film 6 containing a material containing chromium. The details of each of the light shielding film 5 and the hard mask film 6 are the same as those in the first embodiment and the second embodiment.

該光罩基底105於透光性基板1與遮光膜5之間設置有蝕刻終止膜2。因此,於遮光膜5為藉由利用氟系氣體之乾式蝕刻進行圖案化之材料(含有矽之材料、含有過渡金屬及矽之材料及含有鉭之材料之任一者)之情形時,可提高遮光圖案5a之側壁之垂直性,可抑制於遮光圖案5a之側壁附近之透光性基板1產生微槽。又,於藉由利用氟系氣體之乾式蝕刻將硬遮罩膜6去除之情形時,亦可抑制透光性基板1之表面粗糙。若表面粗糙,則曝光之光之透過率降低。 The photomask base 105 is provided with an etching stopper film 2 between the light-transmitting substrate 1 and the light-shielding film 5. Therefore, when the light-shielding film 5 is a material (any one of a material containing silicon, a material containing a transition metal and silicon, and a material containing tantalum) patterned by dry etching using a fluorine-based gas, it can be improved. The verticality of the side wall of the light-shielding pattern 5a can prevent micro-grooves from being generated in the light-transmitting substrate 1 near the side wall of the light-shielding pattern 5a. In addition, when the hard mask film 6 is removed by dry etching using a fluorine-based gas, the surface of the light-transmitting substrate 1 can be suppressed from being rough. If the surface is rough, the transmittance of the exposed light decreases.

[相移光罩及其製造] [Phase shift mask and its manufacturing]

該另一實施形態之相移光罩205(參照圖11)具有如下構成:於光罩基底105之遮光膜5形成遮光圖案5a,於去除了遮光膜5之圖案之透光性基板1之圖案形成區域900分別設置自表面以特定之深度刻蝕之刻蝕部與未刻蝕之透光部,於蝕刻終止膜2形成作為僅去除了刻蝕部上之區域之圖案之蝕刻終止圖案2c。該相移光罩205係以於透過刻蝕部之曝光之光與透過透光部之曝光之光之間產生特定之相位差之方式調 整透光性基板1之刻蝕之深度與蝕刻終止膜2之光學特性及膜厚。再者,於光罩基底105設置有硬遮罩膜6之構成之情形時,於該相移光罩205之製作中途將硬遮罩膜6去除(參照圖12)。 The phase shift mask 205 (refer to FIG. 11) of this another embodiment has a structure in which a light-shielding pattern 5 a is formed on the light-shielding film 5 of the mask base 105, and a pattern of the light-transmitting substrate 1 from which the pattern of the light-shielding film 5 is removed. The formation region 900 is provided with an etched portion etched from the surface at a specific depth and an unetched light-transmitting portion, respectively, and an etch stop pattern 2c is formed on the etch stop film 2 as a pattern in which only the area on the etched portion is removed. The phase shift mask 205 is adjusted in such a manner as to generate a specific phase difference between the light exposed through the etching portion and the light transmitted through the light transmitting portion. The depth of the etching of the translucent substrate 1 and the optical characteristics and film thickness of the etching stopper film 2 are adjusted. When the mask base 105 is provided with the hard mask film 6, the hard mask film 6 is removed during the production of the phase shift mask 205 (see FIG. 12).

以下,依據作為要部剖視構造圖之圖12所示之製造步驟,對該另一實施形態之相移光罩205之製造方法進行說明。再者,此處對使用於遮光膜5上積層有硬遮罩膜6之光罩基底105製造相移光罩205之方法進行說明。又,此處對遮光膜5應用含有過渡金屬與矽之材料,硬遮罩膜6應用含有鉻之材料之情形進行說明。再者,關於蝕刻氣體等之詳情,與第1實施形態之情形相同。 Hereinafter, a manufacturing method of the phase shift mask 205 according to another embodiment will be described based on the manufacturing steps shown in FIG. 12 which is a cross-sectional structural diagram of the essential part. Here, a method of manufacturing a phase shift mask 205 using a mask base 105 on which a hard mask film 6 is laminated on a light shielding film 5 will be described. Here, a case where a material containing a transition metal and silicon is applied to the light shielding film 5 and a material containing chromium is applied to the hard mask film 6 will be described. The details of the etching gas and the like are the same as those in the first embodiment.

首先,與光罩基底101中之硬遮罩膜6相接且藉由旋轉塗佈法形成抗蝕劑膜。其次,針對抗蝕劑膜,利用電子束繪圖應形成於遮光膜5之遮光圖案,進而進行顯影處理等特定之處理,藉此形成第1抗蝕劑圖案7a(參照圖12(a))。繼而,以第1抗蝕劑圖案7a作為光罩,進行使用氯系氣體與氧氣之混合氣體之第1乾式蝕刻,於硬遮罩膜6形成第1硬遮罩圖案6a(參照圖12(b))。 First, it is in contact with the hard mask film 6 in the mask substrate 101 and a resist film is formed by a spin coating method. Next, for the resist film, a light-shielding pattern that should be formed on the light-shielding film 5 by electron beam drawing is further subjected to a specific process such as a development process to form a first resist pattern 7a (see FIG. 12 (a)). Next, using the first resist pattern 7a as a photomask, first dry etching using a mixed gas of a chlorine-based gas and oxygen is performed to form a first hard mask pattern 6a on the hard mask film 6 (see FIG. 12 (b )).

其次,去除抗蝕劑圖案7a後,以硬遮罩圖案6a作為光罩,進行使用氟系氣體之第2乾式蝕刻,於遮光膜5形成第1遮光圖案5a(參照圖12(c))。藉由該第2乾式蝕刻,硬遮罩圖案6a之膜厚變得薄於該乾式蝕刻前之膜厚。 Next, after the resist pattern 7a is removed, a second dry etching using a fluorine-based gas is performed using the hard mask pattern 6a as a photomask to form a first light-shielding pattern 5a on the light-shielding film 5 (see FIG. 12 (c)). By this second dry etching, the film thickness of the hard mask pattern 6a becomes thinner than the film thickness before the dry etching.

該遮光膜5之利用氟系氣體之乾式蝕刻時,為了提高遮光圖案5a之圖案側壁之垂直性,又,為了提高遮光圖案5a之面內之CD(Critical Dimension)均勻性,進行追加之蝕刻(過蝕刻)。於該過蝕刻後,蝕刻終止膜2之表面亦為微小蝕刻之程度,於遮光圖案5a之透光部之表面700未露出透光性基板1之表面。 In the dry etching of the light-shielding film 5 using a fluorine-based gas, additional etching is performed in order to improve the verticality of the pattern sidewall of the light-shielding pattern 5a and to improve the uniformity of the CD (Critical Dimension) in the surface of the light-shielding pattern 5a. Over-etched). After the over-etching, the surface of the etching stopper film 2 is also slightly etched, and the surface 700 of the light-transmitting portion of the light-shielding pattern 5a is not exposed.

繼而,藉由旋轉塗佈法形成抗蝕劑膜,其後針對抗蝕劑膜,利用電子束進行繪圖,進而進行顯影處理等特定之處理,藉此形成第2 抗蝕劑圖案8b(參照圖12(d))。 Then, a resist film is formed by a spin coating method, and thereafter, the resist film is patterned with an electron beam, and then specific processing such as development processing is performed to form a second film. The resist pattern 8b (refer to FIG. 12 (d)).

繼而,形成用以形成蝕刻終止圖案2c及刻蝕部702之第2抗蝕劑圖案8b(參照圖12(d))。其後,進行使用氯化硼(BCl3)與氯(Cl2)之混合氣體之乾式蝕刻,於蝕刻終止膜2形成蝕刻終止圖案2c(參照圖12(e))。繼而,進行使用CF4等氟系氣體之乾式蝕刻,將透光性基板1自表面刻蝕至特定之深度,形成刻蝕部702(參照圖12(f))。 Next, a second resist pattern 8b is formed to form the etching stop pattern 2c and the etched portion 702 (see FIG. 12 (d)). Thereafter, dry etching using a mixed gas of boron chloride (BCl 3 ) and chlorine (Cl 2 ) is performed to form an etching stop pattern 2c on the etching stop film 2 (see FIG. 12 (e)). Next, dry etching using a fluorine-based gas such as CF 4 is performed to etch the translucent substrate 1 from the surface to a specific depth to form an etched portion 702 (see FIG. 12 (f)).

其後,使用灰化或剝離液等將第2抗蝕劑圖案8b去除(參照圖12(g)),繼而,將殘留於遮光圖案5a上之硬遮罩圖案6a去除(參照圖12(h))。硬遮罩圖案6a之去除可藉由氯系氣體與氧氣之混合氣體之乾式蝕刻進行。 Thereafter, the second resist pattern 8b is removed using an ashing or peeling solution (see FIG. 12 (g)), and then the hard mask pattern 6a remaining on the light-shielding pattern 5a is removed (see FIG. 12 (h). )). The hard mask pattern 6a can be removed by dry etching of a mixed gas of a chlorine-based gas and an oxygen gas.

其後,進行清洗步驟,且視需要進行光罩缺陷檢查。進而,根據缺陷檢查之結果視需要進行缺陷修正,製造相移光罩205。此處之清洗步驟中使用氨水過氧化氫混合物,但蝕刻終止膜2之表面幾乎未溶解,於蝕刻終止圖案2c之透光部(其表面700)未露出透光性基板1之表面。 Thereafter, a cleaning step is performed, and a mask defect inspection is performed if necessary. Further, if necessary, defect correction is performed based on the results of the defect inspection, and a phase shift mask 205 is manufactured. Here, an ammonia hydrogen peroxide mixture is used in the cleaning step, but the surface of the etching stopper film 2 is hardly dissolved, and the surface of the light-transmitting substrate 1 is not exposed at the light-transmitting portion (the surface 700) of the etching stopper pattern 2c.

該另一實施形態之相移光罩205係使用上述光罩基底105所製作者。因此,該另一實施形態中之相移光罩205之遮光圖案5a之側壁之垂直性較高,遮光圖案5a之面內之CD均勻性亦較高。又,於相移光罩205之製造中途,於遮光圖案5a發現黑缺陷,對該黑缺陷利用EB缺陷修正進行修正時,蝕刻終止功能較高,由於容易檢測蝕刻終點,故而可高精度地修正黑缺陷。 The phase shift mask 205 of this another embodiment is manufactured using the mask base 105 mentioned above. Therefore, the verticality of the sidewall of the light-shielding pattern 5a of the phase-shifting mask 205 in this other embodiment is high, and the uniformity of the CD in the plane of the light-shielding pattern 5a is also high. In addition, during the manufacture of the phase shift mask 205, a black defect was found in the light-shielding pattern 5a. When the black defect was corrected by EB defect correction, the etching termination function was high. Since the end point of the etching was easy to detect, it could be corrected with high accuracy. Black defect.

[半導體裝置之製造] [Manufacture of semiconductor device]

該另一實施形態之半導體裝置之製造方法之特徵在於:使用另一實施形態之相移光罩205或利用另一實施形態之光罩基底105所製造之相移光罩205,將轉印用圖案曝光轉印至半導體基板上之抗蝕劑膜。另一實施形態之相移光罩205之遮光圖案5a之側壁之垂直性較 高,遮光圖案5a之面內之CD均勻性亦較高。因此,若使用另一實施形態之相移光罩205對半導體裝置上之抗蝕劑膜進行曝光轉印,則可於半導體裝置上之抗蝕劑膜以充分滿足設計規格之精度形成圖案。 The method for manufacturing a semiconductor device according to another embodiment is characterized in that: a phase shift mask 205 manufactured in another embodiment or a phase shift mask 205 manufactured using a mask base 105 in another embodiment is used for transferring The pattern exposure is transferred to a resist film on the semiconductor substrate. The verticality of the side wall of the light shielding pattern 5a of the phase shift mask 205 in another embodiment is High, the uniformity of CD in the plane of the light-shielding pattern 5a is also high. Therefore, if the resist film on the semiconductor device is subjected to exposure transfer using the phase shift mask 205 of another embodiment, the resist film on the semiconductor device can be patterned with sufficient accuracy to meet the design specifications.

又,於使用於其製造中途利用EB缺陷修正對存在於遮光圖案5a之黑缺陷進行修正之相移光罩曝光轉印至半導體裝置上之抗蝕劑膜之情形時,亦高精度地修正黑缺陷,可防止於該相移光罩之存在缺陷之遮光圖案5a之部分所對應之半導體裝置上之抗蝕劑膜產生轉印不良。因此,於以該抗蝕劑圖案作為光罩對被加工膜進行乾式蝕刻而形成電路圖案之情形時,能夠以無起因於精度不足或轉印不良之配線短路或斷線之高精度形成良率較高之電路圖案。 In addition, in the case where a phase shift mask that corrects black defects existing in the light-shielding pattern 5a by using EB defect correction in the middle of its manufacture is exposed and transferred to a resist film on a semiconductor device, the black is also corrected with high accuracy. Defects can prevent a defective transfer of the resist film on the semiconductor device corresponding to the defective light-shielding pattern 5a of the phase shift mask. Therefore, in a case where a circuit pattern is formed by dry-etching a film to be processed by using the resist pattern as a photomask, it is possible to form a yield with high accuracy without a short circuit or disconnection of wiring caused by insufficient accuracy or poor transfer. Higher circuit pattern.

[實施例] [Example]

以下,藉由實施例對本發明之實施形態進行更具體之說明。 Hereinafter, embodiments of the present invention will be described in more detail with reference to examples.

(實施例1) (Example 1)

[光罩基底之製造] [Manufacture of photomask substrate]

準備主表面之尺寸為約152mm×約152mm且厚度為約6.35mm之包含合成石英玻璃之透光性基板1。該透光性基板1係將端面及主表面研磨至特定之表面粗糙度以下(以均方根粗糙度Rq計為0.2nm以下),其後實施特定之清洗處理及乾燥處理者。 A light-transmitting substrate 1 including a synthetic quartz glass having a size of about 152 mm × about 152 mm and a thickness of about 6.35 mm was prepared. This light-transmitting substrate 1 is a person who grinds an end surface and a main surface to a specific surface roughness or less (the root-mean-square roughness Rq is 0.2 nm or less), and then performs a specific cleaning treatment and a drying treatment.

其次,以與透光性基板1之表面相接之方式以10nm之厚度形成包含鋁、矽及氧之蝕刻終止膜2(AlSiO膜)。具體而言,於單片式RF濺鍍裝置內設置透光性基板1,使Al2O3靶與SiO2靶同時放電,藉由以氬(Ar)氣體作為濺鍍氣體之濺鍍(RF濺鍍),形成蝕刻終止膜2。對以相同條件形成於另一透光性基板上之蝕刻終止膜進行利用X射線光電子光譜法之分析,結果為Al:Si:O=21:19:60(原子%比)。即,該蝕刻終止膜2之Si/[Si+Al]為0.475。再者,於利用X射線光電子光譜分析法之分析中,基於RBS分析(利用拉塞福背向散射法之分析)之結果 進行數值修正(於以下之分析中亦相同)。 Next, an etching stopper film 2 (AlSiO film) containing aluminum, silicon, and oxygen was formed to a thickness of 10 nm so as to be in contact with the surface of the light-transmitting substrate 1. Specifically, a translucent substrate 1 is provided in a monolithic RF sputtering device, and an Al 2 O 3 target and a SiO 2 target are simultaneously discharged, and sputtering (RF) using argon (Ar) gas as a sputtering gas is performed. Sputtering) to form an etching stopper film 2. An analysis using an X-ray photoelectron spectroscopy method was performed on an etching stopper film formed on another transparent substrate under the same conditions, and the result was Al: Si: O = 21: 19: 60 (atomic% ratio). That is, the Si / [Si + Al] of this etching stopper film 2 is 0.475. Furthermore, in the analysis using the X-ray photoelectron spectroscopy method, numerical correction was performed based on the results of the RBS analysis (analysis using the Rasefort backscatter method) (the same applies to the following analysis).

又,使用光譜式橢圓儀(J.A.Woollam公司製造M-2000D)測定蝕刻終止膜2之各光學特性,結果波長193nm之光下之折射率n為1.625,消光係數k為0.0000(測定下限)。 Further, each optical characteristic of the etching stopper film 2 was measured using a spectroscopic ellipsometer (M-2000D manufactured by J.A. Woollam Co., Ltd.). As a result, the refractive index n under light having a wavelength of 193 nm was 1.625, and the extinction coefficient k was 0.0000 (the lower limit of measurement).

其次,以與蝕刻終止膜2之表面相接之方式以173nm之厚度形成包含含有矽及氧之SiO2之相移膜3。具體而言,於單片式RF濺鍍裝置內設置形成蝕刻終止膜2後之透光性基板1,使用二氧化矽(SiO2)靶,將氬(Ar)氣體(壓力=0.03Pa)設為濺鍍氣體,將RF電源之電力設為1.5kW,藉由RF濺鍍於蝕刻終止膜2上以173nm之厚度形成包含SiO2之相移膜3。再者,針對另一透光性基板之主表面,以相同條件僅形成包含SiO2之相移膜3,使用上述光譜式橢圓儀測定該最上層之光學特性,結果波長193nm下之折射率n為1.563,消光係數k為0.0000(測定下限)。 Next, a phase shift film 3 containing SiO 2 containing silicon and oxygen was formed in a thickness of 173 nm so as to be in contact with the surface of the etching stopper film 2. Specifically, a light-transmitting substrate 1 after forming an etching stopper film 2 is provided in a monolithic RF sputtering device. A silicon dioxide (SiO 2 ) target is used, and an argon (Ar) gas (pressure = 0.03 Pa) is set. For sputtering gas, the power of the RF power source was set to 1.5 kW, and a phase shift film 3 containing SiO 2 was formed by RF sputtering on the etching stopper film 2 to a thickness of 173 nm. Furthermore, for the main surface of another transparent substrate, only the phase shift film 3 containing SiO 2 was formed under the same conditions, and the optical characteristics of the uppermost layer were measured using the above-mentioned spectroscopic ellipsometer. As a result, the refractive index n at a wavelength of 193 nm It was 1.563, and the extinction coefficient k was 0.0000 (the lower limit of measurement).

其後,以與相移膜3之表面相接之方式以59nm之厚度形成含有鉻之遮光膜5。該遮光膜5係除鉻以外亦含有氧及碳之CrOC膜。具體而言,於單片式DC濺鍍裝置內設置形成相移膜3後之透光性基板1,使用鉻(Cr)靶,藉由二氧化碳(CO2)及氦(He)之混合氣體環境下之反應性濺鍍(DC濺鍍)形成遮光膜5。 Thereafter, a light-shielding film 5 containing chromium was formed in a thickness of 59 nm so as to be in contact with the surface of the phase shift film 3. This light-shielding film 5 is a CrOC film containing oxygen and carbon in addition to chromium. Specifically, a light-transmitting substrate 1 in which a phase-shift film 3 is formed is provided in a monolithic DC sputtering apparatus, and a chromium (Cr) target is used in a mixed gas environment of carbon dioxide (CO 2 ) and helium (He). The light-shielding film 5 is formed by the following reactive sputtering (DC sputtering).

其次,對形成有上述遮光膜5(CrOC膜)之透光性基板1實施加熱處理。具體而言,使用加熱板,於大氣中將加熱溫度設為280℃、將加熱時間設為5分鐘,進行加熱處理。 Next, the light-transmitting substrate 1 on which the light-shielding film 5 (CrOC film) is formed is subjected to a heat treatment. Specifically, heat treatment was performed using a heating plate, setting the heating temperature to 280 ° C., and the heating time to 5 minutes in the atmosphere.

針對加熱處理後之遮光膜5,利用X射線光電子光譜分析法(有ESCA、RBS修正)進行分析。其結果,可確認具有遮光膜5之與透光性基板1側為相反側之表面附近之區域(自表面起至2nm左右之深度之區域)之氧含量比其以外之區域多之組成梯度部(氧含量為40原子%以上)。又,可知遮光膜5之除組成梯度部以外之區域中之各構成元素之 含量以平均值計為Cr:71原子%、O:15原子%、C:14原子%。進而,可確認遮光膜5之除組成梯度部以外之區域之厚度方向上之各構成元素之差均為3原子%以下,實質上無厚度方向之組成梯度。 The light-shielding film 5 after the heat treatment was analyzed by X-ray photoelectron spectroscopy (with ESCA and RBS correction). As a result, it was confirmed that there is a composition gradient portion having a larger amount of oxygen content in a region (a region from the surface to a depth of about 2 nm from the surface) near the surface on the side opposite to the light-transmitting substrate 1 of the light-shielding film 5 than the other regions (The oxygen content is 40 atomic% or more). In addition, it can be seen that each of the constituent elements in a region other than the composition gradient portion of the light-shielding film 5 The content is Cr: 71 atomic%, O: 15 atomic%, and C: 14 atomic% as an average. Furthermore, it was confirmed that the difference of each constituent element in the thickness direction of the region other than the composition gradient portion of the light-shielding film 5 is 3 atomic% or less, and there is substantially no composition gradient in the thickness direction.

再者,關於以下所示以外之膜之組成,亦與上述遮光膜5同樣地,藉由X射線光電子光譜分析法(有ESCA、RBS修正)而獲得。 The composition of films other than those shown below was obtained by X-ray photoelectron spectroscopy (with ESCA and RBS correction) in the same manner as the light-shielding film 5 described above.

又,針對加熱處理後之遮光膜5,使用分光光度計(安捷倫科技公司製造Cary4000)測定ArF準分子雷射之光之波長(約193nm)下之光學濃度(OD),結果可確認為3.0以上。 In addition, the optical density (OD) at a wavelength (approximately 193 nm) of the light of the ArF excimer laser was measured with a spectrophotometer (Cary4000 manufactured by Agilent Technologies) for the light-shielding film 5 after the heat treatment, and the result was confirmed to be 3.0 or more .

其次,以與遮光膜5之表面相接之方式以12nm之厚度形成包含含有矽及氧之SiO2之硬遮罩膜6。具體而言,於單片式RF濺鍍裝置內設置形成遮光膜5後之透光性基板1,使用二氧化矽(SiO2)靶,將氬(Ar)氣體(壓力=0.03Pa)設為濺鍍氣體,將RF電源之電力設為1.5kW,藉由RF濺鍍於遮光膜5上以12nm之厚度形成包含SiO2之硬遮罩膜6。按照以上之順序,製造實施例1之光罩基底。 Next, a hard mask film 6 containing SiO 2 containing silicon and oxygen was formed in a thickness of 12 nm so as to be in contact with the surface of the light shielding film 5. Specifically, a light-transmitting substrate 1 after forming a light-shielding film 5 is provided in a monolithic RF sputtering apparatus, a silicon dioxide (SiO 2 ) target is used, and an argon (Ar) gas (pressure = 0.03 Pa) is set as The sputtering gas was set to 1.5 kW from the RF power source, and a hard mask film 6 containing SiO 2 was formed on the light-shielding film 5 by RF sputtering to a thickness of 12 nm. According to the above procedure, the mask substrate of Example 1 was manufactured.

再者,利用上述相移量測定裝置測定形成於另一透光性基板之蝕刻終止膜2之ArF準分子雷射之波長(193nm)下之透過率,結果可知將透光性基板1之透過率設為100%時之透過率為98.3%,藉由設置該實施例1之蝕刻終止膜2而產生之透過率之降低之影響較小。又,使該形成有蝕刻終止膜2之透光性基板1浸漬於濃度0.5%之氨水中而測定蝕刻速率,結果為0.1nm/min。根據該結果,可確認該實施例1之蝕刻終止膜2對由光罩基底製造相移光罩之過程中進行之化學液清洗具有充分之耐受性。 In addition, the transmittance at the wavelength (193 nm) of the ArF excimer laser of the etching stopper film 2 formed on the other translucent substrate was measured using the phase shift amount measuring device. As a result, it was found that the transmissive substrate 1 was transmitted. When the transmittance is set to 100%, the transmittance is 98.3%, and the influence of the decrease in transmittance by providing the etching stopper film 2 of the first embodiment is small. The translucent substrate 1 on which the etching stopper film 2 was formed was immersed in ammonia water having a concentration of 0.5% to measure the etching rate. As a result, it was 0.1 nm / min. Based on the results, it can be confirmed that the etching stopper film 2 of Example 1 is sufficiently resistant to the chemical liquid cleaning performed in the process of manufacturing the phase shift mask from the mask substrate.

針對透光性基板1、形成於另一透光性基板1之蝕刻終止膜2、及進而形成於另一透光性基板1之相移膜3之各者,以相同條件進行使用CF4蝕刻氣體之乾式蝕刻。並且,算出各者之蝕刻速率,進行蝕刻選擇比之比較。相對於相移膜3之蝕刻速率的實施例1之蝕刻終止膜2之 蝕刻選擇比為0.11。另一方面,相對於相移膜3之蝕刻速率的實施例1中所使用之透光性基板1之蝕刻選擇比大致為1,可知實施例1之蝕刻終止膜2具有充分高之蝕刻終止功能。 For each of the light-transmitting substrate 1, the etching stopper film 2 formed on the other light-transmitting substrate 1, and the phase shift film 3 formed on the other light-transmitting substrate 1, etching using CF 4 is performed under the same conditions. Dry etching of gas. Then, the respective etching rates were calculated and the etching selection ratios were compared. The etching selection ratio of the etching stopper film 2 of Example 1 with respect to the etching rate of the phase shift film 3 was 0.11. On the other hand, the etching selection ratio of the light-transmitting substrate 1 used in Example 1 with respect to the etching rate of the phase shift film 3 is approximately 1, and it can be seen that the etching stop film 2 of Example 1 has a sufficiently high etching stop function. .

[相移光罩之製造及評價] [Manufacture and evaluation of phase shift mask]

其次,使用該實施例1之光罩基底101,按照以下之順序製作實施例1之相移光罩201。首先,對硬遮罩膜6之表面實施HMDS處理。繼而,藉由旋轉塗佈法以與硬遮罩膜6之表面相接之方式以膜厚80nm形成包含電子束繪圖用化學增幅型抗蝕劑之抗蝕劑膜。其次,針對該抗蝕劑膜,進行電子束繪圖,進行特定之顯影處理,形成第1抗蝕劑圖案7a(參照圖3(a))。 Next, using the mask substrate 101 of the first embodiment, the phase shift mask 201 of the first embodiment is manufactured in the following order. First, the surface of the hard mask film 6 is subjected to HMDS treatment. Then, a resist film including a chemically amplified resist for electron beam drawing was formed at a film thickness of 80 nm so as to be in contact with the surface of the hard mask film 6 by a spin coating method. Next, the resist film is subjected to electron beam drawing and specific development processing is performed to form a first resist pattern 7a (see FIG. 3 (a)).

其次,以第1抗蝕劑圖案7a作為光罩,進行使用CF4氣體之乾式蝕刻,於硬遮罩膜6形成第1硬遮罩圖案6a(參照圖3(b))。 Next, dry etching using CF 4 gas is performed using the first resist pattern 7 a as a photomask to form a first hard mask pattern 6 a on the hard mask film 6 (see FIG. 3 (b)).

其次,藉由TMAH去除第1抗蝕劑圖案7a。繼而,以硬遮罩圖案6a作為光罩,進行使用氯與氧之混合氣體(氣體流量比Cl2:O2=4:1)之乾式蝕刻,於遮光膜5形成第1遮光圖案5a(參照圖3(c))。 Next, the first resist pattern 7a is removed by TMAH. Next, using the hard mask pattern 6a as a mask, dry etching using a mixed gas of chlorine and oxygen (gas flow ratio Cl 2 : O 2 = 4: 1) is performed to form a first light-shielding pattern 5 a on the light-shielding film 5 (see FIG. Figure 3 (c)).

其次,對具有薄膜之硬遮罩圖案6a之光罩基底進行HMDS處理,進行通過硬遮罩圖案6a之表面之疏水性化而使與抗蝕劑之密接性提高之處理。繼而,藉由旋轉塗佈法以與硬遮罩圖案6a或表面露出之相移膜3之表面相接之方式以膜厚300nm形成包含電子束繪圖用化學增幅型抗蝕劑之抗蝕劑膜。其次,針對該抗蝕劑膜,進行電子束繪圖,進行特定之顯影處理,形成第2抗蝕劑圖案8b(參照圖3(d))。此處,針對第2抗蝕劑圖案8b,以於相移膜形成缺陷之方式,除原本應形成之相移圖案以外預先添加程式缺陷。 Next, the mask substrate having the hard mask pattern 6a with a thin film is subjected to HMDS treatment, and a treatment for improving the adhesion with the resist by hydrophobicizing the surface of the hard mask pattern 6a is performed. Then, a resist film including a chemically amplified resist for electron beam drawing was formed at a film thickness of 300 nm by a spin coating method so as to be in contact with the surface of the hard mask pattern 6a or the phase shift film 3 exposed on the surface. . Next, an electron beam drawing is performed on the resist film, and a specific development process is performed to form a second resist pattern 8b (see FIG. 3 (d)). Here, a program defect is added in advance to the second resist pattern 8b in order to form a defect in the phase shift film in addition to the phase shift pattern that should be formed.

其次,以第2抗蝕劑圖案8b與遮光圖案5a作為光罩,進行使用CF4氣體之乾式蝕刻,於相移膜3形成相移圖案3c(參照圖3(e))。於該蝕刻之初期階段,形成於遮光圖案5a上之硬遮罩圖案6a亦成為蝕刻光罩, 但由於該硬遮罩之材料與相移膜3之材料同為SiO2,故而硬遮罩圖案6a成為於早期階段中圖案之一部分蝕刻消失之硬遮罩圖案6d。 Next, dry etching using CF 4 gas is performed using the second resist pattern 8 b and the light-shielding pattern 5 a as a photomask to form a phase shift pattern 3 c on the phase shift film 3 (see FIG. 3 (e)). At the initial stage of the etching, the hard mask pattern 6a formed on the light-shielding pattern 5a also becomes an etching mask, but since the material of the hard mask and the material of the phase shift film 3 are both SiO 2 , the hard mask pattern 6a becomes a hard mask pattern 6d in which a part of the pattern is etched and disappeared in the early stage.

於該利用氟系氣體(CF4氣體)之相移膜3之乾式蝕刻中,除自相移膜3之蝕刻之開始至蝕刻沿相移膜3之厚度方向進行而蝕刻終止膜2之表面開始露出為止之蝕刻時間(適量蝕刻時間)以外,進行僅該適量蝕刻時間之20%之時間(過蝕刻時間)之追加之蝕刻(過蝕刻)。再者,該利用氟系氣體之乾式蝕刻係於25W之電力下施加偏壓,於所謂之高偏壓蝕刻之條件下進行。 In this dry etching of the phase shift film 3 using a fluorine-based gas (CF 4 gas), except for the beginning of the phase shift film 3 to the time when the etching proceeds in the thickness direction of the phase shift film 3 and the surface of the etching stop film 2 starts Except for the etching time (appropriate etching time) until exposure, additional etching (over-etching) is performed for only 20% of the appropriate etching time (over-etching time). In addition, the dry etching using a fluorine-based gas is applied with a bias voltage at a power of 25 W, and is performed under the condition of a so-called high-bias etching.

其次,藉由灰化將第2抗蝕劑圖案8b去除。但是,亦可利用TMAH進行去除而代替灰化去除。繼而,藉由利用氟系氣體(CF4氣體)之乾式蝕刻,將硬遮罩圖案6d去除。 Next, the second resist pattern 8b is removed by ashing. However, it is also possible to use TMAH instead of ashing. Then, the hard mask pattern 6d is removed by dry etching using a fluorine-based gas (CF 4 gas).

藉由光罩檢查裝置對所製作之實施例1之雷文生型之相移光罩201進行光罩圖案之檢查,結果於配置有程式缺陷之部位之相移圖案3c確認到缺陷。針對該缺陷部分,進行使用電子束與XeF2氣體之EB缺陷修正,結果可容易地檢測蝕刻終點,可將對蝕刻終止膜2之表面之蝕刻控制為最小限度。 A mask pattern inspection device was used to inspect the mask pattern of the Levinson-type phase shift mask 201 of Example 1. As a result, a defect was confirmed in the phase shift pattern 3c of the portion where the program defect was arranged. For this defective portion, the EB defect correction using an electron beam and XeF 2 gas is performed. As a result, the etching end point can be easily detected, and the etching of the surface of the etching stopper film 2 can be controlled to a minimum.

使用利用實施例1之方法所製作之另一光罩基底,按照相同之順序製造雷文生型之相移光罩,檢查相移圖案之面內之CD均勻性,為良好之結果。又,利用STEM觀察相移圖案之剖面,結果相移圖案之側壁之垂直性較高,蝕刻終止膜之刻蝕未達1nm而微小,亦未產生微槽。因此,可謂實施例1之雷文生型相移光罩之相移效果之面內均勻性較高。又,亦可知能夠由實施例1之光罩基底製造相移效果之面內均勻性較高之雷文生型相移光罩。 Using another photomask base made by the method of Example 1, a Ravenson-type phase shift photomask was manufactured in the same order, and the uniformity of the CD in the plane of the phase shift pattern was checked, which was a good result. In addition, the cross section of the phase shift pattern was observed by STEM. As a result, the verticality of the side wall of the phase shift pattern was high, and the etching of the etching stop film was not as small as 1 nm, and micro grooves were not generated. Therefore, it can be said that the in-plane uniformity of the phase shift effect of the Levinson-type phase shift mask of Example 1 is high. In addition, it is also known that a Levinson-type phase shift mask having high in-plane uniformity can be produced from the mask base of Example 1 with a phase shift effect.

針對進行EB缺陷修正後之實施例1之雷文生型相移光罩201,使用AIMS193(Carl Zeiss公司製造),進行利用波長193nm之曝光之光對半導體裝置上之抗蝕劑膜進行曝光轉印時之轉印圖像之模擬。對該模 擬之曝光轉印圖像進行驗證,結果充分滿足設計規格。由設置蝕刻終止膜2引起之透光部之透過率之降低對曝光轉印所造成之影響微小。又,進行了EB缺陷修正之部分之轉印圖像與其以外之區域之轉印圖像相比並不遜色。根據該結果,可謂即便將進行EB缺陷修正後之實施例1之雷文生型相移光罩設置於曝光裝置之光罩台,對半導體裝置上之抗蝕劑膜進行曝光轉印,最終亦能夠高精度地形成半導體裝置上所形成之電路圖案。 Regarding the Levinson-type phase shift mask 201 of Example 1 after the EB defect correction, AIMS193 (manufactured by Carl Zeiss) was used to perform exposure transfer on the resist film on the semiconductor device using light with an exposure wavelength of 193nm. Simulation of the time transfer image. To the model The proposed exposure and transfer image was verified, and the results fully met the design specifications. The decrease in the transmittance of the light-transmitting portion caused by the provision of the etching stopper film 2 has a small effect on the exposure transfer. In addition, the transfer image of the portion subjected to the EB defect correction is not inferior to the transfer image of the other region. Based on the results, it can be said that even if the Ravenson type phase shift mask of Example 1 after the EB defect correction is set on the mask stage of the exposure device, the resist film on the semiconductor device can be exposed and transferred, and finally it can be transferred. A circuit pattern formed on a semiconductor device is formed with high accuracy.

(實施例2) (Example 2)

[光罩基底之製造] [Manufacture of photomask substrate]

該實施例2之光罩基底係除蝕刻終止膜2之材料組成以外以與實施例1之光罩基底相同之方式所製造者。因此,於透光性基板1上依序積層有蝕刻終止膜2、相移膜3及遮光膜5之光罩基底之構造、以及透光性基板1、相移膜3、遮光膜5之材料或製法與實施例1相同。以下,對與實施例1之光罩基底不同之部位進行說明。 The photomask base of the second embodiment is manufactured in the same manner as the photomask base of the first embodiment except for the material composition of the etching stopper film 2. Therefore, on the light-transmitting substrate 1, a structure of a mask base including an etching stopper film 2, a phase shift film 3, and a light-shielding film 5 and a material of the light-transmitting substrate 1, phase-shift film 3, and light-shielding film 5 are sequentially laminated. Or the manufacturing method is the same as in Example 1. Hereinafter, portions different from the mask base of Example 1 will be described.

該實施例2之蝕刻終止膜2係於單片式RF濺鍍裝置內設置透光性基板1,使Al2O3靶與SiO2靶同時放電,利用以氬氣作為濺鍍氣體之RF濺鍍而成膜之AlSiO膜,且將其元素比率設為Al:Si:O=13:26:61(原子%比)。因此,該蝕刻終止膜2之Si/[Si+Al]為0.67。以與透光性基板1之表面相接之方式以10nm之厚度形成該蝕刻終止膜2。利用光譜式橢圓儀測定該蝕刻終止膜2之光學常數,結果波長193nm之光下之折射率n為1.600,消光係數k為0.0000(測定下限)。 The etching stopper film 2 of this embodiment 2 is provided with a light-transmitting substrate 1 in a single-chip RF sputtering device, so that the Al 2 O 3 target and the SiO 2 target are simultaneously discharged, and RF sputtering using argon as a sputtering gas is used. The plated AlSiO film has an element ratio of Al: Si: O = 13: 26: 61 (atomic% ratio). Therefore, the Si / [Si + Al] of the etching stopper film 2 is 0.67. The etching stopper film 2 is formed in a thickness of 10 nm so as to be in contact with the surface of the transparent substrate 1. The optical constant of the etching stopper film 2 was measured by a spectroscopic ellipsometer. As a result, the refractive index n under light with a wavelength of 193 nm was 1.600, and the extinction coefficient k was 0.0000 (the lower limit of measurement).

利用與實施例1相同之方法,測定蝕刻終止膜2之ArF準分子雷射之波長(193nm)下之透過率,結果將透光性基板1之透過率設為100%時之透過率為99.4%,因設置該實施例2之蝕刻終止膜2所導致之透過率之降低較小。又,使形成有蝕刻終止膜2之透光性基板浸漬於濃度0.5%之氨水中而測定蝕刻速率,結果蝕刻速率為0.1nm/min。根據該 結果,可確認該實施例2之蝕刻終止膜2對在製造相移光罩之過程中進行之化學液清洗具有充分之耐受性。 The transmittance at the wavelength (193 nm) of the ArF excimer laser of the etching stopper film 2 was measured by the same method as in Example 1. As a result, the transmittance was 99.4 when the transmittance of the translucent substrate 1 was set to 100%. %, The decrease in transmittance due to the provision of the etching stopper film 2 of this embodiment 2 is small. The transmissive substrate on which the etching stopper film 2 was formed was immersed in ammonia water having a concentration of 0.5% to measure the etching rate. As a result, the etching rate was 0.1 nm / min. According to this As a result, it was confirmed that the etching stopper film 2 of Example 2 has sufficient resistance to the chemical liquid cleaning performed in the process of manufacturing the phase shift mask.

又,利用與實施例1相同之方法,調查使用CF4蝕刻氣體之乾式蝕刻中相對於相移膜3之蝕刻速率的實施例2之蝕刻終止膜2之蝕刻選擇比,結果為0.24,實施例2之蝕刻終止膜2具有實際應用上充分高之蝕刻終止功能。 In addition, using the same method as in Example 1, the etching selection ratio of the etching stopper film 2 of Example 2 with respect to the etching rate of the phase shift film 3 in the dry etching using CF 4 etching gas was investigated, and the result was 0.24. The etch stop film 2 of 2 has a sufficiently high etch stop function in practical applications.

[相移光罩之製造及評價] [Manufacture and evaluation of phase shift mask]

其次,使用該實施例2之光罩基底101,按照與實施例1相同之順序製作實施例2之相移光罩201。針對配置於相移圖案3c之程式缺陷部分,進行使用電子束及XeF2氣體之EB缺陷修正,結果可容易地檢測蝕刻終點,可將對蝕刻終止膜2之表面之蝕刻控制為最小限度。 Next, using the mask substrate 101 of the second embodiment, a phase shift mask 201 of the second embodiment is manufactured in the same order as that of the first embodiment. The EB defect correction using the electron beam and XeF 2 gas is performed for the program defect portion arranged in the phase shift pattern 3c. As a result, the etching end point can be easily detected, and the etching of the surface of the etching stopper film 2 can be controlled to a minimum.

所製造之相移光罩201之相移圖案3c之面內之CD均勻性及側壁剖面之垂直性較高,對蝕刻終止膜2之刻蝕未達1nm而微小,亦未產生微槽。使用AIMS193進行使用該相移光罩時之曝光轉印圖像之模擬,結果包括進行EB缺陷修正之情形在內充分滿足設計規格。 The uniformity of the CD in the phase of the phase shift pattern 3c of the manufactured phase shift mask 201 and the verticality of the side wall cross section are high, and the etching of the etching stopper film 2 is not as small as 1 nm, and micro grooves are not generated. AIMS193 was used to simulate the exposure and transfer image when using this phase shift mask. As a result, the design specifications were fully met, including the case of EB defect correction.

(實施例3) (Example 3)

該實施例3之光罩基底係除蝕刻終止膜2之材料組成以外與實施例1之光罩基底同樣地製造者。因此,於透光性基板1上依序積層有蝕刻終止膜2、相移膜3及遮光膜5之光罩基底之構造、以及透光性基板1、相移膜3、遮光膜5之材料或製法與實施例1相同。以下,對與實施例1之光罩基底不同之部位進行說明。 The mask base of the third embodiment is manufactured in the same manner as the mask base of the first embodiment except for the material composition of the etching stopper film 2. Therefore, on the light-transmitting substrate 1, a structure of a mask base including an etching stopper film 2, a phase shift film 3, and a light-shielding film 5 and a material of the light-transmitting substrate 1, phase-shift film 3, and light-shielding film 5 are sequentially laminated. Or the manufacturing method is the same as in Example 1. Hereinafter, portions different from the mask base of Example 1 will be described.

該實施例3之蝕刻終止膜2係於單片式RF濺鍍裝置內設置透光性基板1,使Al2O3靶與SiO2靶同時放電,利用以氬氣作為濺鍍氣體之RF濺鍍而成膜之AlSiO膜,且將其元素比率設為Al:Si:O=7:28:65(原子%比)。因此,該蝕刻終止膜2之Si/[Si+Al]為0.8。以與透光性基板1之表面相接之方式以10nm之厚度形成該蝕刻終止膜2。利用光 譜式橢圓儀測定該蝕刻終止膜2之光學常數,結果波長193nm之光下之折射率n為1.589,消光係數k為0.0000(測定下限)。 The etching stopper film 2 of this embodiment 3 is provided with a light-transmitting substrate 1 in a monolithic RF sputtering device, so that the Al 2 O 3 target and the SiO 2 target are simultaneously discharged, and RF sputtering using argon as a sputtering gas is used. An AlSiO film formed by plating, and its element ratio is set to Al: Si: O = 7: 28: 65 (atomic% ratio). Therefore, Si / [Si + Al] of the etching stopper film 2 is 0.8. The etching stopper film 2 is formed in a thickness of 10 nm so as to be in contact with the surface of the transparent substrate 1. The optical constant of the etching stopper film 2 was measured with a spectroscopic ellipsometer. As a result, the refractive index n under light having a wavelength of 193 nm was 1.589, and the extinction coefficient k was 0.0000 (the lower limit of measurement).

利用與實施例1相同之方法,測定蝕刻終止膜2之ArF準分子雷射之波長(193nm)下之透過率,結果將透光性基板1之透過率設為100%時之透過率為99.8%,因設置該實施例3之蝕刻終止膜2所導致之透過率之降低較小。又,使形成有蝕刻終止膜2之透光性基板浸漬於濃度0.5%之氨水中而測定蝕刻速率,結果蝕刻速率為0.1nm/min。根據該結果,可確認該實施例3之蝕刻終止膜2對製造相移光罩之過程中進行之化學液清洗具有充分之耐受性。 The transmittance at the wavelength (193 nm) of the ArF excimer laser of the etching stopper film 2 was measured by the same method as in Example 1. As a result, when the transmittance of the translucent substrate 1 was set to 100%, the transmittance was 99.8 %, The decrease in transmittance due to the provision of the etching stopper film 2 of the third embodiment is small. The transmissive substrate on which the etching stopper film 2 was formed was immersed in ammonia water having a concentration of 0.5% to measure the etching rate. As a result, the etching rate was 0.1 nm / min. Based on the results, it can be confirmed that the etching stopper film 2 of Example 3 is sufficiently resistant to the chemical liquid cleaning performed in the process of manufacturing the phase shift mask.

又,利用與實施例1相同之方法,調查使用CF4蝕刻氣體之乾式蝕刻中相對於相移膜3之蝕刻速率的實施例3之蝕刻終止膜2之蝕刻選擇比,結果為0.42,實施例3之蝕刻終止膜2具有可耐受實際應用之較高之蝕刻終止功能。 In addition, using the same method as in Example 1, the etching selection ratio of the etching stopper film 2 of Example 3 with respect to the etching rate of the phase shift film 3 in dry etching using CF 4 etching gas was investigated, and the result was 0.42. Example The etch stop film 3 of 3 has a higher etch stop function that can withstand the practical application.

[相移光罩之製造及評價] [Manufacture and evaluation of phase shift mask]

其次,使用該實施例3之光罩基底101,按照與實施例1相同之順序製作實施例3之相移光罩201。針對配置於相移圖案3c之程式缺陷部分,進行使用電子束與XeF2氣體之EB缺陷修正,結果可容易地檢測蝕刻終點,可將對蝕刻終止膜2之表面之蝕刻控制為最小限度。 Next, using the mask substrate 101 of the third embodiment, the phase shift mask 201 of the third embodiment is manufactured in the same order as that of the first embodiment. The EB defect correction using the electron beam and XeF 2 gas is performed for the program defect portion arranged in the phase shift pattern 3c. As a result, the etching end point can be easily detected, and the etching of the surface of the etching stopper film 2 can be controlled to a minimum.

所製造之相移光罩201之相移圖案3c之面內之CD均勻性及側壁剖面之垂直性較高,對蝕刻終止膜2之刻蝕未達1nm而微小,亦未產生微槽。使用AIMS193進行使用該相移光罩時之曝光轉印圖像之模擬,結果包括進行EB缺陷修正之情況在內充分滿足設計規格。 The uniformity of the CD in the phase of the phase shift pattern 3c of the manufactured phase shift mask 201 and the verticality of the side wall cross section are high, and the etching of the etching stopper film 2 is not as small as 1 nm, and micro grooves are not generated. AIMS193 was used to simulate the exposure and transfer image when using this phase shift mask. As a result, the design specifications were fully met, including the case of EB defect correction.

(實施例4) (Example 4)

[光罩基底之製造] [Manufacture of photomask substrate]

該實施例4之光罩基底係除蝕刻終止膜2之材料組成以外與實施例1之光罩基底同樣地製造者。因此,於透光性基板1上依序積層有蝕 刻終止膜2、相移膜3及遮光膜5之光罩基底之構造、以及透光性基板1、相移膜3、遮光膜5之材料或製法與實施例1相同。以下,對與實施例1之光罩基底不同之部位進行說明。 The mask base of this Example 4 was manufactured in the same manner as the mask base of Example 1 except for the material composition of the etching stopper film 2. Therefore, etching is sequentially laminated on the light-transmitting substrate 1 The structures of the mask base of the engraving stop film 2, the phase shift film 3, and the light shielding film 5, and the materials or manufacturing methods of the light-transmitting substrate 1, the phase shift film 3, and the light shielding film 5 are the same as those of the first embodiment. Hereinafter, portions different from the mask base of Example 1 will be described.

該實施例4之蝕刻終止膜2係於單片式RF濺鍍裝置內設置透光性基板1,使Al2O3靶與SiO2靶同時放電,利用以氬氣作為濺鍍氣體之RF濺鍍而成膜之AlSiO膜,且將該元素比率設為Al:Si:O=31:8:61(原子%比)。因此,該蝕刻終止膜2之Si/[Si+Al]為0.20。以與透光性基板1之表面相接之方式以10nm之厚度形成該蝕刻終止膜2。利用光譜式橢圓儀測定該蝕刻終止膜2之光學常數,結果波長193nm之光下之折射率n為1.720,消光係數k為0.0328。 The etching stopper film 2 of the fourth embodiment is provided with a light-transmitting substrate 1 in a single-chip RF sputtering device, so that the Al 2 O 3 target and the SiO 2 target are simultaneously discharged, and RF sputtering using argon as a sputtering gas is used. An AlSiO film formed by plating, and the element ratio is set to Al: Si: O = 31: 8: 61 (atomic% ratio). Therefore, the Si / [Si + Al] of the etching stopper film 2 is 0.20. The etching stopper film 2 is formed in a thickness of 10 nm so as to be in contact with the surface of the transparent substrate 1. The optical constant of the etching stopper film 2 was measured by a spectroscopic ellipsometer. As a result, the refractive index n under light with a wavelength of 193 nm was 1.720, and the extinction coefficient k was 0.0328.

利用與實施例1相同之方法,測定蝕刻終止膜2之ArF準分子雷射之波長(193nm)下之透過率,結果可知將透光性基板1之透過率設為100%時之透過率為95.2%,因設置該實施例4之蝕刻終止膜2所導致之透過率之降低為可耐受實際應用之範圍者。又,使形成有蝕刻終止膜2之透光性基板浸漬於濃度0.5%之氨水中而測定蝕刻速率,結果蝕刻速率為0.2nm/min。根據該結果,可確認該實施例4之蝕刻終止膜2對在製造相移光罩之過程中進行之化學液清洗具有充分之耐受性。 The transmittance at the wavelength (193 nm) of the ArF excimer laser of the etching stopper film 2 was measured by the same method as in Example 1. As a result, it was found that the transmittance when the transmittance of the translucent substrate 1 was 100% 95.2%, the reduction in transmittance caused by the provision of the etching stopper film 2 of this embodiment 4 is a range that can withstand practical applications. The transmissive substrate on which the etching stopper film 2 was formed was immersed in ammonia water having a concentration of 0.5% to measure the etching rate. As a result, the etching rate was 0.2 nm / min. From this result, it can be confirmed that the etching stopper film 2 of Example 4 has sufficient resistance to the chemical liquid cleaning performed in the process of manufacturing the phase shift mask.

又,利用與實施例1相同之方法,調查使用CF4蝕刻氣體之乾式蝕刻中相對於相移膜3之蝕刻速率的實施例4之蝕刻終止膜2之蝕刻選擇比,結果為0.035,實施例4之蝕刻終止膜2係具有充分高之蝕刻終止功能者。 In addition, using the same method as in Example 1, the etching selection ratio of the etching stopper film 2 of Example 4 with respect to the etching rate of the phase shift film 3 in the dry etching using CF 4 etching gas was investigated, and the result was 0.035. Example The etch stop film 2 of 4 has a sufficiently high etch stop function.

[相移光罩之製造及評價] [Manufacture and evaluation of phase shift mask]

其次,使用該實施例4之光罩基底101,按照與實施例1相同之順序製作實施例4之相移光罩201。針對配置於相移圖案3c之程式缺陷部分,進行使用電子束與XeF2氣體之EB缺陷修正,結果可容易地檢測蝕刻終點,可將對蝕刻終止膜2之表面之蝕刻控制為最小限度。 Next, using the mask substrate 101 of the fourth embodiment, a phase shift mask 201 of the fourth embodiment is manufactured in the same order as that of the first embodiment. The EB defect correction using the electron beam and XeF 2 gas is performed for the program defect portion arranged in the phase shift pattern 3c. As a result, the etching end point can be easily detected, and the etching of the surface of the etching stopper film 2 can be controlled to a minimum.

所製造之相移光罩201之相移圖案3c之面內之CD均勻性及側壁剖面之垂直性較高,對蝕刻終止膜2之刻蝕未達1nm而微小,亦未產生微槽。使用AIMS193進行使用該相移光罩時之曝光轉印圖像之模擬,結果包括進行EB缺陷修正之情況在內充分滿足設計規格。 The uniformity of the CD in the phase of the phase shift pattern 3c of the manufactured phase shift mask 201 and the verticality of the side wall cross section are high, and the etching of the etching stopper film 2 is not as small as 1 nm, and micro grooves are not generated. AIMS193 was used to simulate the exposure and transfer image when using this phase shift mask. As a result, the design specifications were fully met, including the case of EB defect correction.

(實施例5) (Example 5)

[光罩基底之製造] [Manufacture of photomask substrate]

該實施例5之光罩基底101係對應於實施形態2之實施例,具有於透光性基板1上依序積層有蝕刻終止膜2、相移膜3及遮光膜5之光罩基底之構造。進而,於遮光膜5上形成有包含CrN之硬遮罩膜6。其中,透光性基板1、蝕刻終止膜2及相移膜3之材料或製法與實施例1相同,與實施例1不同的是遮光膜5及硬遮罩膜6。實施例5之遮光膜5含有包含下層之MoSiN與上層之MoSiN之積層構造之含Si材料。以下,針對實施例5之光罩基底,對與實施例1之光罩基底不同之部位進行說明。 The mask base 101 of the fifth embodiment corresponds to the embodiment of the second embodiment, and has a structure of a mask base having an etching stopper film 2, a phase shift film 3, and a light shielding film 5 laminated on the light-transmitting substrate 1 in this order. . Further, a hard mask film 6 containing CrN is formed on the light shielding film 5. The materials or manufacturing methods of the light-transmitting substrate 1, the etching stopper film 2, and the phase shift film 3 are the same as those of the first embodiment, and the light-shielding film 5 and the hard mask film 6 are different from the first embodiment. The light-shielding film 5 of Example 5 contains a Si-containing material including a laminated structure of MoSiN in the lower layer and MoSiN in the upper layer. Hereinafter, with respect to the mask base of Example 5, a part different from the mask base of Example 1 will be described.

該實施例5之遮光膜5為如上所述包含下層之MoSiN層與上層之MoSiN層之積層構造膜,該積層膜係以如下方式製作。此處,下層之MoSiN層主要具有曝光之光之吸收功能(遮光功能),上層之MoSiN層具有對曝光之光及光罩圖案缺陷檢查光之抗表面反射功能。 The light-shielding film 5 of the fifth embodiment is a laminated structure film including the lower MoSiN layer and the upper MoSiN layer as described above, and the laminated film is produced in the following manner. Here, the lower MoSiN layer mainly has an absorption function (light-shielding function) of the exposed light, and the upper MoSiN layer has an anti-surface reflection function for the exposed light and the mask pattern defect inspection light.

於相移膜3上以膜厚47nm成膜MoSiN層(下層(遮光層)),繼而以膜厚4nm成膜MoSiN層(上層(抗表面反射層)),藉此形成ArF準分子雷射(波長193nm)用遮光膜5(總膜厚51nm)。具體而言,將形成有相移膜3之透光性基板1設置於單片式濺鍍裝置內後,濺鍍靶使用鉬(Mo)與矽(Si)之混合靶(原子%比Mo:Si=13:87),於氬與氮之混合氣體環境下,藉由反應性濺鍍(DC濺鍍)以膜厚47nm成膜MoSiN膜(下層(遮光層)),繼而使用Mo/Si靶(原子%比Mo:Si=13:87),於氬與氮之混合氣體環境下,以膜厚4nm成膜MoSiN膜(上層(抗表面反射層))。 On the phase shift film 3, a MoSiN layer (lower layer (light-shielding layer)) was formed with a film thickness of 47 nm, and then a MoSiN layer (upper layer (anti-reflective layer)) was formed with a film thickness of 4 nm, thereby forming an ArF excimer laser ( The light-shielding film 5 (wavelength 193 nm) was used (total film thickness 51 nm). Specifically, after the light-transmitting substrate 1 on which the phase shift film 3 is formed is set in a single-chip sputtering apparatus, a sputtering target is a mixed target of molybdenum (Mo) and silicon (Si) (atomic% ratio Mo: Si = 13: 87), under a mixed gas environment of argon and nitrogen, a MoSiN film (lower layer (light-shielding layer)) was formed with a thickness of 47 nm by reactive sputtering (DC sputtering), and then a Mo / Si target was used. (Atomic% ratio Mo: Si = 13: 87), a MoSiN film (upper layer (anti-reflective layer)) was formed with a film thickness of 4 nm under a mixed gas environment of argon and nitrogen.

其次,針對形成有遮光膜5之透光性基板1,於450℃下進行30分 鐘加熱處理(退火處理),進行使遮光膜5之膜應力降低之處理。再者,按照相同之順序製造具備進行至退火處理之遮光膜5之基板,利用X射線光電子光譜分析(ESCA)進行分析(其中,對分析值進行RBS修正),結果確認為下層(Mo:9.2原子%,Si:68.3原子%,N:22.5原子%)、下層側附近之上層(Mo:5.8原子%,Si:64.4原子%,N:27.7原子%,O:2.1原子%)之膜組成。再者,針對上層之表層之X射線光電子光譜分析(ESCA)之結果係氮為14.4原子%,氧為38.3原子%。又,該遮光膜5之下層對193nm之光之折射率n為1.88,消光係數k為2.20。上層之折射率n為2.07,消光係數k為1.14。遮光膜5之光學濃度(OD)為3.0,遮光膜具備將ArF準分子雷射光充分遮光之功能。 Next, the light-transmitting substrate 1 on which the light-shielding film 5 was formed was subjected to 30 minutes at 450 ° C. The heating process (annealing process) is performed to reduce the film stress of the light-shielding film 5. Furthermore, a substrate provided with the light-shielding film 5 subjected to the annealing process was manufactured in the same order, and analyzed by X-ray photoelectron spectroscopy (ESCA) (where the analysis value was corrected by RBS). Atomic%, Si: 68.3 atomic%, N: 22.5 atomic%), and the upper layer near the lower layer side (Mo: 5.8 atomic%, Si: 64.4 atomic%, N: 27.7 atomic%, O: 2.1 atomic%). Furthermore, as a result of X-ray photoelectron spectroscopy (ESCA) analysis of the upper surface layer, nitrogen was 14.4 atomic% and oxygen was 38.3 atomic%. The refractive index n of the lower layer of the light-shielding film 5 to light of 193 nm is 1.88, and the extinction coefficient k is 2.20. The refractive index n of the upper layer is 2.07, and the extinction coefficient k is 1.14. The light-shielding film 5 has an optical density (OD) of 3.0, and the light-shielding film has a function of sufficiently shielding ArF excimer laser light.

製作遮光膜5後,以與遮光膜5之上層之表面相接之方式以5nm之厚度形成包含鉻及氮之硬遮罩膜6(CrN膜)。具體而言,於單片式DC濺鍍裝置內設置具備至加熱處理後之遮光膜5之透光性基板1,使用鉻(Cr)靶,藉由以氬(Ar)及氮(N2)之混合氣體作為濺鍍氣體之反應性濺鍍(DC濺鍍),形成硬遮罩膜6。對以相同條件形成於另一透光性基板上之硬遮罩膜進行利用X射線光電子光譜法之分析,結果為Cr:N=72:28(原子%比)。按照以上之順序製造實施例5之光罩基底。 After the light-shielding film 5 is produced, a hard mask film 6 (CrN film) containing chromium and nitrogen is formed to a thickness of 5 nm so as to be in contact with the surface of the upper layer of the light-shielding film 5. Specifically, a light-transmitting substrate 1 provided with a light-shielding film 5 after heat treatment is provided in a single-chip DC sputtering apparatus. A chromium (Cr) target is used, and argon (Ar) and nitrogen (N 2 ) are used. Reactive sputtering (DC sputtering) of the mixed gas as a sputtering gas forms the hard mask film 6. The hard mask film formed on another transparent substrate under the same conditions was analyzed by X-ray photoelectron spectroscopy, and the result was Cr: N = 72: 28 (atomic% ratio). The photomask substrate of Example 5 was manufactured in the above order.

[相移光罩之製造及評價] [Manufacture and evaluation of phase shift mask]

其次,使用該實施例5之光罩基底101,按照與實施例1相同之順序製作實施例5之相移光罩201。製造步驟上與實施例1不同之方面僅為與遮光膜5及硬遮罩膜6關聯之步驟,因此此處圍繞該方面進行說明。 Next, using the mask substrate 101 of the fifth embodiment, the phase shift mask 201 of the fifth embodiment is manufactured in the same order as that of the first embodiment. The manufacturing steps are different from those in Example 1 only in the steps related to the light-shielding film 5 and the hard mask film 6. Therefore, this point will be described here.

於實施例5中,藉由使用氯與氧之混合氣體(氣體流量比Cl2:O2=4:1)之乾式蝕刻,於硬遮罩膜6形成遮光圖案5a用之硬遮罩圖案6a(參照圖3(b))。 In Example 5, a hard mask pattern 6a for forming a light-shielding pattern 5a is formed on the hard mask film 6 by dry etching using a mixed gas of chlorine and oxygen (gas flow ratio Cl 2 : O 2 = 4: 1). (See Fig. 3 (b)).

又,藉由使用氟系氣體(SF6與He之混合氣體)之乾式蝕刻,以硬 遮罩圖案6a作為蝕刻光罩,於遮光膜5形成遮光圖案5a(參照圖3(c))。再者,該利用氟系氣體之乾式蝕刻係於10W之電力下施加偏壓,於所謂之高偏壓蝕刻之條件下進行。 In addition, a light-shielding pattern 5a is formed on the light-shielding film 5 by dry etching using a fluorine-based gas (a mixed gas of SF 6 and He) with the hard mask pattern 6a as an etching mask (see FIG. 3 (c)). In addition, the dry etching using a fluorine-based gas is applied with a bias voltage at a power of 10 W, and is performed under the condition of a so-called high-bias etching.

於硬遮罩圖案6d之去除步驟(參照圖3(g))中,利用使用氯系氣體與氧氣之混合氣體(氣體流量比Cl2:O2=4:1)之乾式蝕刻將硬遮罩圖案6d去除。其以外之步驟係依據實施例1之製程。 In the removal step of the hard mask pattern 6d (refer to FIG. 3 (g)), the hard mask is dried by dry etching using a mixed gas of a chlorine-based gas and an oxygen gas (gas flow ratio Cl 2 : O 2 = 4: 1). The pattern 6d is removed. The other steps are based on the process of Example 1.

藉由實施例5所製作之相移光罩201由於在透光性基板1上形成有包含AlSiO膜之蝕刻終止膜2,故而具有與實施例1之相移光罩201相同之效果。即,相移圖案3c之面內之CD均勻性及側壁剖面之垂直性較高,對蝕刻終止膜2之刻蝕未達1nm而微小,亦未產生微槽。又,相移圖案3c之缺陷亦可利用EB缺陷修正高精度地進行修正。化學液清洗耐受性亦具有與實施例1相同之耐受性,未觀察到伴隨著化學液清洗之圖案剝離等異常。使用AIMS193進行使用該相移光罩時之曝光轉印圖像之模擬,結果包括進行EB缺陷修正之情況在內充分滿足設計規格。 The phase-shifting mask 201 produced in Example 5 has the same effect as the phase-shifting mask 201 in Example 1 because the etching stopper film 2 including an AlSiO film is formed on the translucent substrate 1. That is, the uniformity of the CD in the phase of the phase shift pattern 3c and the verticality of the side wall cross section are high, and the etching of the etching stopper film 2 is not as small as 1 nm, and micro grooves are not generated. In addition, the defects of the phase shift pattern 3c can be corrected with high accuracy by using the EB defect correction. The chemical liquid washing resistance also has the same resistance as in Example 1, and no abnormalities such as pattern peeling accompanying chemical liquid washing were observed. AIMS193 was used to simulate the exposure and transfer image when using this phase shift mask. As a result, the design specifications were fully met, including the case of EB defect correction.

(實施例6) (Example 6)

[光罩基底之製造] [Manufacture of photomask substrate]

該實施例6之光罩基底103係對應於實施形態3之實施例,具有於透光性基板1上依序積層有蝕刻終止膜2、下層31、具有蝕刻終止功能之上層32、及遮光膜5之光罩基底之構造(參照圖4)。進而,於遮光膜5上形成有硬遮罩膜6。其中,透光性基板1、蝕刻終止膜2、下層31、遮光膜5及硬遮罩膜6之材料或製法與實施例1相同。與實施例1不同的是下層31之膜厚、及包含AlSiO之具有蝕刻終止功能之上層32之導入。以下,針對實施例6之光罩基底,對與實施例1之光罩基底不同之部位進行說明。 The mask base 103 of the sixth embodiment corresponds to the third embodiment, and has an etch stop film 2, a lower layer 31, an upper layer 32 having an etch stop function, and a light-shielding film sequentially laminated on the transparent substrate 1. Structure of the mask base of 5 (see FIG. 4). Further, a hard mask film 6 is formed on the light shielding film 5. The materials or manufacturing methods of the translucent substrate 1, the etching stopper film 2, the lower layer 31, the light-shielding film 5, and the hard mask film 6 are the same as those in the first embodiment. The difference from Embodiment 1 is the film thickness of the lower layer 31 and the introduction of the upper layer 32 including AlSiO with an etching stop function. Hereinafter, with respect to the mask base of Example 6, a part different from the mask base of Example 1 will be described.

以與蝕刻終止膜2之表面相接之方式以166nm之厚度形成包含含 有矽及氧之SiO2之下層31。成膜條件與實施例1相同,控制成膜時間而獲得該膜厚。由於以與實施例1相同之條件進行成膜,故而與實施例1同樣地,下層31之波長193nm下之折射率n為1.563,消光係數k為0.0000(測定下限)。 The lower layer 31 containing SiO 2 containing silicon and oxygen is formed in a thickness of 166 nm so as to be in contact with the surface of the etching stopper film 2. The film formation conditions were the same as in Example 1, and the film formation time was controlled to obtain the film thickness. Since the film was formed under the same conditions as in Example 1, the refractive index n at the wavelength of 193 nm of the lower layer 31 was 1.563, and the extinction coefficient k was 0.0000 (the lower limit of measurement).

繼而,以與下層31之表面相接之方式以5nm之厚度形成包含鋁、矽及氧且具有蝕刻終止功能之上層32(AlSiO膜)。成膜條件與蝕刻終止膜2相同,因此構成元素之組成亦與蝕刻終止膜2相同,為Al:Si:O=21:19:60(原子%比),Si/[Si+Al]為0.475。兼具相移功能之一部分之上層32與下層31一併形成使曝光之光之相位反轉之積層構造之相移膜4。 Then, an upper layer 32 (AlSiO film) containing aluminum, silicon, and oxygen and having an etching stop function is formed in a thickness of 5 nm so as to be in contact with the surface of the lower layer 31. The film formation conditions are the same as those of the etching stopper film 2, so the composition of the constituent elements is also the same as that of the etching stopper film 2. It is Al: Si: O = 21: 19: 60 (atomic% ratio), and Si / [Si + Al] is 0.475. . The upper layer 32 and the lower layer 31, which also have a part of a phase shift function, form a phase shift film 4 with a multilayer structure that inverts the phase of the exposed light.

上層32為與蝕刻終止膜2相同組成之膜,因此根據實施例1之結果,該實施例6之上層32對由光罩基底製造相移光罩之過程中進行之化學液清洗具有充分之耐受性。上層32擔負相移功能,因此對化學液清洗具有充分之耐受性就提高相位控制性之觀點而言具有重大意義。 The upper layer 32 is a film with the same composition as the etching stopper film 2. Therefore, according to the result of Example 1, the upper layer 32 of Example 6 has sufficient resistance to the chemical liquid cleaning performed during the process of manufacturing the phase shift mask from the mask base. Accepted. The upper layer 32 has a phase shift function, and therefore having sufficient resistance to chemical liquid cleaning is of great significance from the viewpoint of improving phase controllability.

[相移光罩之製造及評價] [Manufacture and evaluation of phase shift mask]

其次,使用該實施例6之光罩基底103,按照與實施例1相同之順序製作實施例6之相移光罩203。製造步驟上與實施例1不同之方面僅為與上層32及硬遮罩膜6關聯之步驟,因此此處圍繞該方面進行說明。 Next, using the mask base 103 of the sixth embodiment, the phase shift mask 203 of the sixth embodiment is manufactured in the same order as that of the first embodiment. The manufacturing steps are different from those in the first embodiment only in the steps associated with the upper layer 32 and the hard mask film 6, and therefore the description will be made around this point.

於實施例6中,上層圖案32c之形成係以第2抗蝕劑圖案8b及硬遮罩圖案6a作為光罩,藉由使用氯化硼(BCl3)與氯(Cl2)之混合氣體之乾式蝕刻而進行(參照圖6(e))。 In Example 6, the upper layer pattern 32c is formed by using the second resist pattern 8b and the hard mask pattern 6a as a photomask, and by using a mixed gas of boron chloride (BCl 3 ) and chlorine (Cl 2 ) Dry etching is performed (see FIG. 6 (e)).

硬遮罩圖案6d之去除係藉由利用氟系氣體(CF4氣體)之乾式蝕刻而進行(參照圖6(h))。其以外之步驟係依據實施例1之製程。 The hard mask pattern 6d is removed by dry etching using a fluorine-based gas (CF 4 gas) (see FIG. 6 (h)). The other steps are based on the process of Example 1.

藉由實施例6所製作之相移光罩203由於在透光性基板1上形成有包含AlSiO膜之蝕刻終止膜2,故而具有與實施例1之相移光罩201所示 者相同之效果。又,上層32亦對氟系氣體之蝕刻具有充分之蝕刻終止功能,因此於去除硬遮罩圖案6d之乾式蝕刻時,幾乎未被蝕刻且亦未引起表面粗糙。因此,包含下層圖案31c與上層圖案32c之相移圖案4c成為對曝光之光(ArF準分子雷射光)賦予特定之相位差之精度極高之相移圖案。 Since the phase shift mask 203 produced in Example 6 has an etching stopper film 2 including an AlSiO film formed on the light-transmitting substrate 1, it has the same phase shift mask 201 as that in Example 1. The same effect. In addition, the upper layer 32 also has a sufficient etching stop function for the etching of the fluorine-based gas. Therefore, when dry etching is performed to remove the hard mask pattern 6d, it is hardly etched and does not cause surface roughness. Therefore, the phase shift pattern 4c including the lower-layer pattern 31c and the upper-layer pattern 32c is a phase-shift pattern with extremely high accuracy that imparts a specific phase difference to the exposed light (ArF excimer laser light).

該實施例6之相移光罩203係由對清洗用化學液具有充分之耐受性之材料構成,因此具有充分之化學液清洗耐受性,未觀察到伴隨化學液清洗之圖案剝離等異常。為了確認,使用AIMS193進行使用該相移光罩時之曝光轉印圖像之模擬,結果包括進行EB缺陷修正之情況在內充分滿足設計規格。 The phase shift mask 203 of Example 6 is made of a material having sufficient resistance to the cleaning chemical liquid, and therefore has sufficient chemical liquid cleaning resistance. No abnormalities such as pattern peeling accompanying the chemical liquid cleaning were observed. . In order to confirm, using AIMS193 to simulate the exposure and transfer image when using this phase shift mask, the results fully satisfied the design specifications including the case of EB defect correction.

(實施例7) (Example 7)

[光罩基底之製造] [Manufacture of photomask substrate]

該實施例7之光罩基底103係對應於實施形態4之實施例,具有依序積層有透光性基板1、蝕刻終止膜2、下層31、上層32及遮光膜5之光罩基底之構造。進而,於遮光膜5上與實施例5同樣地形成有包含CrN之硬遮罩膜6。其中,作為構成構件之透光性基板1、下層31、上層32及遮光膜5之材料或製法與實施例5相同。上層32係對包含具有Al:Si:O=21:19:60(原子%比)之組成比之AlSiO之氟系氣體具有蝕刻終止功能之膜。上層32與下層31一併形成使曝光之光之相位反轉之積層構造之相移膜4。 The mask base 103 of the seventh embodiment corresponds to the embodiment of the fourth embodiment, and has a structure of a mask base having a light-transmitting substrate 1, an etching stopper film 2, a lower layer 31, an upper layer 32, and a light-shielding film 5 laminated in this order. . Further, a hard mask film 6 containing CrN was formed on the light shielding film 5 in the same manner as in Example 5. The materials or manufacturing methods of the light-transmitting substrate 1, the lower layer 31, the upper layer 32, and the light-shielding film 5 as constituent members are the same as those in the fifth embodiment. The upper layer 32 is a film having an etching stop function for a fluorine-based gas containing AlSiO having a composition ratio of Al: Si: O = 21: 19: 60 (atomic% ratio). The upper layer 32 and the lower layer 31 together form a phase shift film 4 having a multilayer structure in which the phase of the exposed light is inverted.

[相移光罩之製造及評價] [Manufacture and evaluation of phase shift mask]

其次,使用該實施例7之光罩基底103,按照與實施例5相同之順序製作實施例7之相移光罩203。製造步驟上與實施例5不同之處僅為與上層32關聯之步驟,因此此處圍繞該方面進行說明。 Next, using the mask base 103 of the seventh embodiment, the phase shift mask 203 of the seventh embodiment is manufactured in the same order as that of the fifth embodiment. The manufacturing steps differ from the embodiment 5 only in the steps associated with the upper layer 32, so this point is described here.

於實施例7中,以硬遮罩圖案6a作為光罩進行使用氟系氣體(SF6與He之混合氣體)之乾式蝕刻,於遮光膜5形成有遮光圖案5a(參照圖 6(c))。再者,該利用氟系氣體之乾式蝕刻係於10W之電力下施加偏壓,於所謂之高偏壓蝕刻之條件下進行。形成於上層32上之遮光圖案5a由於上層32作為充分之蝕刻終止層發揮功能,故而於遮光圖案5a之形成中可視需要進行充分之過蝕刻,成為垂直之剖面形狀且面內CD較高者。又,該蝕刻時,上層32露出之表面700幾乎未被蝕刻,可將作為相移膜之相位控制性保持為較高。 In Example 7, dry etching using a fluorine-based gas (a mixed gas of SF 6 and He) was performed using the hard mask pattern 6 a as a mask, and a light-shielding pattern 5 a was formed on the light-shielding film 5 (see FIG. 6 (c)). . In addition, the dry etching using a fluorine-based gas is applied with a bias voltage at a power of 10 W, and is performed under the condition of a so-called high-bias etching. Since the light-shielding pattern 5a formed on the upper layer 32 functions as a sufficient etch stop layer, sufficient over-etching may be performed during the formation of the light-shielding pattern 5a as needed to become a vertical cross-sectional shape and a higher in-plane CD. In this etching, the surface 700 exposed by the upper layer 32 is hardly etched, and the phase controllability as a phase shift film can be kept high.

關於上層圖案32c之形成,與實施例6同樣地,以第2抗蝕劑圖案8b及硬遮罩圖案6a作為光罩,藉由使用氯化硼(BCl3)與氯(Cl2)之混合氣體之乾式蝕刻進行(參照圖6(e))。 Regarding the formation of the upper layer pattern 32c, as in Example 6, the second resist pattern 8b and the hard mask pattern 6a were used as a photomask, and a mixture of boron chloride (BCl 3 ) and chlorine (Cl 2 ) was used. Gas dry etching is performed (see FIG. 6 (e)).

藉由實施例7所製作之相移光罩203由於在透光性基板1上形成有包含AlSiO膜之蝕刻終止膜2,故而具有與實施例1之相移光罩201中所示者相同之效果。又,上層32亦對氟系氣體之蝕刻具有充分之蝕刻終止功能,於形成遮光圖案5a時之乾式蝕刻時,幾乎未被蝕刻且亦未引起表面粗糙。因此,下層圖案31c與上層圖案32c成為對曝光之光(ArF準分子雷射光)賦予特定之相位差之精度極高之相移圖案。又,遮光圖案5a成為面內CD均勻性較高且剖面側壁形狀接近垂直之所期望者。 Since the phase shift mask 203 produced in Example 7 has an etching stopper film 2 including an AlSiO film formed on the light-transmitting substrate 1, it has the same properties as those shown in the phase shift mask 201 in Example 1. effect. In addition, the upper layer 32 also has a sufficient etching stop function for the etching of the fluorine-based gas, and during dry etching when the light-shielding pattern 5a is formed, it is hardly etched and does not cause surface roughness. Therefore, the lower-layer pattern 31c and the upper-layer pattern 32c are phase shift patterns with extremely high accuracy that give a specific phase difference to the exposed light (ArF excimer laser light). In addition, the light-shielding pattern 5a is expected to have high in-plane CD uniformity and a cross-sectional side wall shape that is nearly vertical.

又,該實施例7之相移光罩203係由對清洗用化學液具有充分之耐受性之材料構成,因此具有充分之化學液清洗耐受性,未觀察到伴隨化學液清洗之圖案剝離等異常。為了確認,使用AIMS193進行使用該相移光罩時之曝光轉印圖像之模擬,結果包括進行EB缺陷修正之情況在內充分滿足設計規格。 In addition, the phase shift mask 203 of Example 7 is made of a material having sufficient resistance to the cleaning chemical liquid, and therefore has sufficient chemical liquid cleaning resistance, and no pattern peeling accompanying the chemical liquid cleaning was observed. And so on. In order to confirm, using AIMS193 to simulate the exposure and transfer image when using this phase shift mask, the results fully satisfied the design specifications including the case of EB defect correction.

(比較例1) (Comparative example 1)

[光罩基底之製造] [Manufacture of photomask substrate]

比較例1之光罩基底除了由包含鋁及氧之材料形成蝕刻終止膜以外,具備與實施例1之光罩基底相同之構成。該比較例1之蝕刻終止膜 係以與透光性基板1之表面相接之方式以10nm之厚度形成之包含鋁及氧之AlO膜。具體而言,於單片式RF濺鍍裝置內設置透光性基板1,使用Al2O3靶,藉由以氬氣作為濺鍍氣體之RF濺鍍,形成蝕刻終止膜。針對以相同條件形成於另一透光性基板上之蝕刻終止膜進行利用X射線光電子光譜法之分析,結果Al:O=42:58(原子%比)。因此,該蝕刻終止膜之Si/[Si+Al]為0。使用光譜式橢圓儀測定該蝕刻終止膜之光學常數,結果波長193nm之光下之折射率n為1.864,消光係數k為0.0689。 The mask base of Comparative Example 1 has the same configuration as the mask base of Example 1 except that the etching stopper film is formed of a material containing aluminum and oxygen. The etching stopper film of Comparative Example 1 is an AlO film containing aluminum and oxygen and formed in a thickness of 10 nm so as to be in contact with the surface of the transparent substrate 1. Specifically, a translucent substrate 1 is provided in a monolithic RF sputtering apparatus, and an Al 2 O 3 target is used to form an etching stopper by RF sputtering using argon as a sputtering gas. An analysis using an X-ray photoelectron spectroscopy method was performed on an etching stopper film formed on another transparent substrate under the same conditions. As a result, Al: O = 42: 58 (atomic% ratio). Therefore, Si / [Si + Al] of the etching stopper film is zero. The optical constant of the etch stop film was measured using a spectroscopic ellipsometer. As a result, the refractive index n under light of a wavelength of 193 nm was 1.864, and the extinction coefficient k was 0.0689.

利用與實施例1相同之方法,測定該蝕刻終止膜之ArF準分子雷射之波長(193nm)下之透過率,結果可知將透光性基板1之透過率設為100%時之透過率為91.7%,因設置該比較例1之蝕刻終止膜所產生之透過率之降低之影響相對較大。使該形成有該蝕刻終止膜之透光性基板浸漬於濃度0.5%之氨水中而測定蝕刻速率,結果蝕刻速率為4.0nm/min。根據該結果,可知該比較例1之蝕刻終止膜對由光罩基底製造相移光罩之過程中進行之化學液清洗不具有充分之耐受性。 The transmittance at the wavelength (193 nm) of the ArF excimer laser of the etch stop film was measured by the same method as in Example 1. As a result, it was found that the transmittance when the transmittance of the translucent substrate 1 was 100% 91.7%, the influence of the decrease in transmittance due to the provision of the etching stopper film of Comparative Example 1 was relatively large. The light-transmitting substrate on which the etching stop film was formed was immersed in ammonia water having a concentration of 0.5% to measure the etching rate. As a result, the etching rate was 4.0 nm / min. From this result, it is understood that the etching stopper film of Comparative Example 1 does not have sufficient resistance to the chemical liquid cleaning performed in the process of manufacturing the phase shift mask from the mask base.

又,利用與實施例1相同之方法,調查使用CF4蝕刻氣體之乾式蝕刻中相對於相移膜之蝕刻速率的比較例1之蝕刻終止膜之蝕刻選擇比,結果為0.015,比較例1之蝕刻終止膜具有充分之蝕刻終止功能。 In addition, using the same method as in Example 1, the etching selection ratio of the etching stopper film of Comparative Example 1 with respect to the etching rate of the phase shift film in dry etching using CF 4 etching gas was investigated, and the result was 0.015. The etch stop film has a sufficient etch stop function.

[相移光罩之製造及評價] [Manufacture and evaluation of phase shift mask]

其次,使用該比較例1之光罩基底,按照與實施例1相同之順序製作比較例1之相移光罩。由光罩檢查裝置對所製作之比較例1之雷文生型之相移光罩進行光罩圖案之檢查,結果檢測出大量程式缺陷以外之缺陷。調查各缺陷部位,結果大部分為起因於相移圖案脫落之缺陷。再者,對配置有程式缺陷之部位之缺陷部分,進行使用電子束與XeF2氣體之EB缺陷修正,結果可容易地檢測出蝕刻終點,可將對蝕刻終止膜之表面之蝕刻控制為最小限度。 Next, using the mask base of Comparative Example 1, a phase shift mask of Comparative Example 1 was produced in the same procedure as in Example 1. A mask inspection device was used to inspect the mask pattern of the produced Levinson type phase shift mask of Comparative Example 1. As a result, a large number of defects other than program defects were detected. Each defect site was investigated, and most of the results were defects caused by the phase shift pattern falling off. Furthermore, the EB defect correction using an electron beam and XeF 2 gas was performed on the defective portion of the portion where the program defect was arranged. As a result, the etching end point could be easily detected, and the etching of the surface of the etching stopper film could be minimized. .

使用另一光罩基底,按照相同之順序製造相移光罩,對相移圖案未脫落之部位,利用STEM觀察相移圖案之剖面,結果可確認透光部之蝕刻終止膜消失(利用化學液清洗之溶解),存在相移圖案之區域之正下方之蝕刻終止膜亦自相移圖案之側壁側向內側進行溶解。根據該結果,可推測蝕刻終止膜藉由化學液清洗而溶解之主要原因在於產生大量相移圖案之脫落。 Using another photomask substrate, a phase shift mask was manufactured in the same order. For the part where the phase shift pattern did not fall off, the cross section of the phase shift pattern was observed by STEM. Dissolution in cleaning), the etch stop film immediately below the area where the phase shift pattern is present is also dissolved from the side wall side of the phase shift pattern to the inside. Based on the results, it can be presumed that the main reason for the dissolution of the etching stopper film by chemical liquid cleaning is that a large amount of phase shift patterns are peeled off.

針對進行EB缺陷修正後之比較例1之雷文生型相移光罩,使用AIMS193(Carl Zeiss公司製造),進行於波長193nm之曝光之光下對半導體裝置上之抗蝕劑膜進行曝光轉印時之轉印圖像之模擬。對該模擬之曝光轉印圖像進行驗證,結果無法滿足設計規格。發現大量因相移圖案之脫落而無法進行正常之曝光轉印之部位。又,於高精度地形成有相移圖案本身之部位,亦可見認為由蝕刻終止膜對ArF準分子雷射光之透過率較低引起之轉印圖像之精度降低。根據該結果,猜測無論有無EB缺陷修正,將比較例1之相移光罩設置於曝光裝置之光罩台,對半導體裝置上之抗蝕劑膜進行曝光轉印之情形時,於最終形成於半導體裝置上之電路圖案均產生大量電路圖案之斷線或短路。 Regarding the Ravenson-type phase shift mask of Comparative Example 1 after the EB defect correction, AIMS193 (manufactured by Carl Zeiss) was used to expose and transfer the resist film on the semiconductor device under exposure light having a wavelength of 193 nm. Simulation of the time transfer image. Verification of the simulated exposure transfer image failed to meet the design specifications. It was found that a large number of portions where the normal exposure transfer could not be performed due to the peeling of the phase shift pattern. In addition, it can be seen that the accuracy of the transferred image is reduced due to the low transmittance of the etch stop film to the ArF excimer laser light at the portion where the phase shift pattern itself is formed with high accuracy. Based on the results, it is speculated that the case where the phase shift mask of Comparative Example 1 is set on the mask stage of an exposure device and the resist film on the semiconductor device is subjected to exposure transfer regardless of the presence or absence of EB defect correction, is finally formed in A large number of circuit patterns are disconnected or shorted on the circuit patterns on the semiconductor device.

Claims (21)

一種光罩基底,其特徵在於:其係具備於透光性基板上依序積層有蝕刻終止膜、相移膜及遮光膜之構造者,且上述相移膜包含含有矽及氧之材料,上述蝕刻終止膜係包含含有矽、鋁及氧之材料之單層膜。A photomask substrate, characterized in that it is provided with a structure in which an etching stop film, a phase shift film, and a light shielding film are sequentially laminated on a light-transmitting substrate, and the phase shift film includes a material containing silicon and oxygen. The etch stop film is a single-layer film including a material containing silicon, aluminum, and oxygen. 如請求項1之光罩基底,其中上述蝕刻終止膜之氧含量為60原子%以上。For example, the mask substrate of claim 1, wherein the oxygen content of the etching stop film is 60 atomic% or more. 如請求項1或2之光罩基底,其中上述蝕刻終止膜之上述矽之含量相對於上述矽及上述鋁之合計含量的基於原子%之比率為4/5以下。For example, the photomask substrate of claim 1 or 2, wherein the ratio of the content of the silicon in the etching stopper film to the total content of the silicon and the aluminum based on atomic% is 4/5 or less. 如請求項1或2之光罩基底,其中上述蝕刻終止膜之厚度方向上之各構成元素之含量之差為5原子%以內。For example, the photomask substrate of claim 1 or 2, wherein the difference in the content of each constituent element in the thickness direction of the above-mentioned etching stopper film is within 5 atomic%. 如請求項1或2之光罩基底,其中上述蝕刻終止膜具有非晶構造,該非晶構造係包含矽與氧之鍵結、及鋁與氧之鍵結之狀態。For example, the photomask substrate of claim 1 or 2, wherein the etching stopper film has an amorphous structure, and the amorphous structure includes a state of bonding between silicon and oxygen and a bond of aluminum and oxygen. 如請求項1或2之光罩基底,其中上述蝕刻終止膜實質上由矽、鋁及氧所組成。For example, the photomask substrate of claim 1 or 2, wherein the etching stopper film is substantially composed of silicon, aluminum, and oxygen. 如請求項1或2之光罩基底,其中上述蝕刻終止膜包含混合Al2O3與SiO2而成之材料。For example, the photomask substrate of claim 1 or 2, wherein the above-mentioned etch stop film comprises a material obtained by mixing Al 2 O 3 and SiO 2 . 如請求項1或2之光罩基底,其中上述蝕刻終止膜係與上述透光性基板之主表面相接而形成。For example, the photomask base of claim 1 or 2, wherein the etching stopper film is formed in contact with the main surface of the transparent substrate. 如請求項1或2之光罩基底,其中上述蝕刻終止膜之厚度為3nm以上。For example, the mask substrate of claim 1 or 2, wherein the thickness of the above-mentioned etch stop film is 3 nm or more. 如請求項1或2之光罩基底,其中上述相移膜具備依序積層有包含含有矽及氧之材料之下層、及包含含有矽、鋁及氧之材料之上層之構造。For example, the photomask substrate of claim 1 or 2, wherein the phase shift film has a structure in which a lower layer including a material containing silicon and oxygen and an upper layer including a material containing silicon, aluminum, and oxygen are sequentially laminated. 如請求項1或2之光罩基底,其中上述相移膜具有使透過上述相移膜之曝光之光與於空氣中通過與上述相移膜之厚度相同距離之曝光之光之間產生150度以上且200度以下之相位差之功能。As claimed in the photomask substrate of item 1 or 2, wherein the phase shift film has a degree of 150 degrees between light exposed through the phase shift film and light exposed in air through the same distance as the thickness of the phase shift film. The function of phase difference above and below 200 degrees. 如請求項1或2之光罩基底,其中上述相移膜具有使曝光之光以95%以上之透過率透過之功能。For example, the photomask substrate of claim 1 or 2, wherein the phase shift film has a function of transmitting the exposed light at a transmittance of 95% or more. 如請求項1或2之光罩基底,其中上述遮光膜包含含有鉻之材料。The photomask substrate according to claim 1 or 2, wherein the light-shielding film comprises a material containing chromium. 如請求項1或2之光罩基底,其中上述遮光膜包含含有選自矽及鉭中之至少一種以上之元素之材料。The photomask substrate according to claim 1 or 2, wherein the light-shielding film comprises a material containing at least one element selected from silicon and tantalum. 如請求項13之光罩基底,其中於上述遮光膜上具備包含含有選自矽及鉭中之至少一種以上之元素之材料之硬遮罩膜。The mask substrate according to claim 13, wherein a hard mask film including a material containing at least one element selected from silicon and tantalum is provided on the light-shielding film. 如請求項14之光罩基底,其中於上述遮光膜上具備包含含有鉻之材料之硬遮罩膜。The mask substrate according to claim 14, wherein a hard mask film containing a material containing chromium is provided on the light-shielding film. 一種相移光罩,其特徵在於:於如請求項1至14中任一項之光罩基底之上述相移膜具有相移圖案,於上述遮光膜具有遮光圖案。A phase shift mask, characterized in that the phase shift film on the mask base according to any one of claims 1 to 14 has a phase shift pattern, and the light shielding film has a light blocking pattern. 一種光罩基底之製造方法,其特徵在於:其係具備於透光性基板上依序積層有蝕刻終止膜、相移膜及遮光膜之構造之光罩基底之製造方法,且具備如下步驟:於成膜室內配置含有矽之靶與含有鋁之靶,於基板台配置上述透光性基板,進行對上述含有矽之靶與上述含有鋁之靶之雙方施加電壓之濺鍍,藉此形成包含含有矽、鋁、及氧之材料之上述蝕刻終止膜之步驟;於上述蝕刻終止膜上形成上述相移膜之步驟;上述相移膜係包含含有矽及氧之材料之單層膜。A method for manufacturing a photomask substrate, which is characterized in that it is a method for manufacturing a photomask substrate having a structure in which an etching stop film, a phase shift film, and a light shielding film are sequentially laminated on a light-transmitting substrate, and has the following steps: A silicon-containing target and an aluminum-containing target are arranged in a film-forming chamber, the light-transmitting substrate is arranged on a substrate table, and sputtering is performed by applying a voltage to both the silicon-containing target and the aluminum-containing target, thereby forming a substrate containing silicon. A step of forming the above-mentioned etching stopper film containing silicon, aluminum, and oxygen; a step of forming the phase shifting film on the etching stopper film; the phase shifting film is a single-layer film containing a material including silicon and oxygen. 一種相移光罩之製造方法,其特徵在於:其係使用如請求項1至9中任一項之光罩基底者,且具備如下步驟:藉由乾式蝕刻於上述遮光膜形成相移圖案之步驟;以上述具有相移圖案之遮光膜作為光罩,藉由使用氟系氣體之乾式蝕刻於上述相移膜形成相移圖案之步驟;及藉由乾式蝕刻於上述遮光膜形成包含遮光帶之遮光圖案之步驟。A method for manufacturing a phase-shifting photomask, which is characterized by using a photomask substrate according to any one of claims 1 to 9 and having the following steps: forming a phase-shifting pattern on the light-shielding film by dry etching Step; using the above-mentioned light-shielding film having a phase-shift pattern as a photomask, and forming a phase-shift pattern on the above-mentioned phase-shifting film by dry etching using a fluorine-based gas; and forming a light-shielding band on the above-mentioned light-shielding film by dry etching Step of shading pattern. 一種半導體裝置之製造方法,其特徵在於:具備使用如請求項17之相移光罩,將相移光罩上之圖案曝光轉印至半導體基板上之抗蝕劑膜之步驟。A method for manufacturing a semiconductor device, comprising: a step of exposing and transferring a pattern on the phase shift mask to a resist film on a semiconductor substrate using a phase shift mask as described in claim 17; 一種半導體裝置之製造方法,其特徵在於:具備使用藉由如請求項19之相移光罩之製造方法所製造之相移光罩,將相移光罩上之圖案曝光轉印至半導體基板上之抗蝕劑膜之步驟。A method for manufacturing a semiconductor device, comprising: using a phase shift mask manufactured by the method for manufacturing a phase shift mask according to claim 19; and exposing and transferring a pattern on the phase shift mask onto a semiconductor substrate. Of the resist film.
TW105119788A 2015-08-31 2016-06-23 Photomask substrate, method for manufacturing photomask substrate, phase shift mask, method for manufacturing phase shift mask, and method for manufacturing semiconductor device TWI651583B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015-170547 2015-08-31
JP2015170547 2015-08-31

Publications (2)

Publication Number Publication Date
TW201708931A TW201708931A (en) 2017-03-01
TWI651583B true TWI651583B (en) 2019-02-21

Family

ID=58187078

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105119788A TWI651583B (en) 2015-08-31 2016-06-23 Photomask substrate, method for manufacturing photomask substrate, phase shift mask, method for manufacturing phase shift mask, and method for manufacturing semiconductor device

Country Status (5)

Country Link
US (1) US11226549B2 (en)
JP (1) JP6266842B2 (en)
KR (1) KR102205274B1 (en)
TW (1) TWI651583B (en)
WO (1) WO2017038213A1 (en)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102429244B1 (en) * 2017-02-27 2022-08-05 호야 가부시키가이샤 Mask blank and manufacturing method of imprint mold
JP6400763B2 (en) * 2017-03-16 2018-10-03 Hoya株式会社 Mask blank, transfer mask, and semiconductor device manufacturing method
JP7023050B2 (en) * 2017-03-17 2022-02-21 キオクシア株式会社 Template manufacturing method and template base material
JP7080070B2 (en) * 2017-03-24 2022-06-03 Hoya株式会社 Manufacturing method of photomask and display device
JP6716629B2 (en) * 2017-05-18 2020-07-01 エスアンドエス テック カンパニー リミテッド Phase inversion blank mask and manufacturing method thereof
JP6965833B2 (en) * 2017-09-21 2021-11-10 Agc株式会社 Manufacturing method of reflective mask blank, reflective mask and reflective mask blank
SG11202007542WA (en) * 2018-02-27 2020-09-29 Hoya Corp Mask blank, phase shift mask, and method of manufacturing semiconductor device
JP6938428B2 (en) * 2018-05-30 2021-09-22 Hoya株式会社 Manufacturing method of mask blank, phase shift mask and semiconductor device
JP7184558B2 (en) * 2018-07-30 2022-12-06 株式会社トッパンフォトマスク Phase shift mask blank, phase shift mask, and method for manufacturing phase shift mask
US10859905B2 (en) * 2018-09-18 2020-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Photomask and method for forming the same
JP7303077B2 (en) * 2019-09-10 2023-07-04 アルバック成膜株式会社 Method for manufacturing mask blanks, method for manufacturing photomask, mask blanks and photomask
JP6987912B2 (en) * 2020-03-16 2022-01-05 アルバック成膜株式会社 Mask blanks, phase shift mask, manufacturing method
KR20210156461A (en) 2020-06-18 2021-12-27 삼성전자주식회사 A reticle in apparatus for EUV lithography
JP2022028437A (en) * 2020-08-03 2022-02-16 キオクシア株式会社 Original plate manufacturing method, drawing data creation method, and pattern defect correction method
JP2022108203A (en) * 2021-01-12 2022-07-25 株式会社トッパンフォトマスク Reflection type photomask blank, and reflection type photomask
US20220390827A1 (en) * 2021-06-07 2022-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask and methods

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW544549B (en) * 2000-12-26 2003-08-01 Hoya Corp Half-tone type phase shift mask blank, process for prodncing half-tone type phase shift mask, pattern transfer method, laminate and method of forming pattern
CN102820386A (en) * 2011-06-11 2012-12-12 昆山中辰矽晶有限公司 Method for manufacturing epitaxial substrate, light-emitting diode and method for manufacturing light-emitting diode
JP2014059575A (en) * 2009-03-31 2014-04-03 Hoya Corp Mask blank and transfer mask

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3036085B2 (en) 1990-12-28 2000-04-24 富士通株式会社 Optical mask and its defect repair method
JP2759582B2 (en) * 1991-09-05 1998-05-28 三菱電機株式会社 Photomask and method of manufacturing the same
US5380608A (en) * 1991-11-12 1995-01-10 Dai Nippon Printing Co., Ltd. Phase shift photomask comprising a layer of aluminum oxide with magnesium oxide
JPH0684746A (en) * 1992-03-09 1994-03-25 Hitachi Ltd Projection aligner and formation of pattern
TW284911B (en) * 1992-08-18 1996-09-01 At & T Corp
JPH06167802A (en) 1992-11-30 1994-06-14 Mitsubishi Electric Corp Production of phase shift mask
JPH06347993A (en) 1993-06-11 1994-12-22 Toppan Printing Co Ltd Phase shift mask and its production
US5582939A (en) * 1995-07-10 1996-12-10 Micron Technology, Inc. Method for fabricating and using defect-free phase shifting masks
JPH09160218A (en) 1995-12-11 1997-06-20 Toppan Printing Co Ltd Production of levinson type phase shift mask
JP2002258458A (en) 2000-12-26 2002-09-11 Hoya Corp Halftone phase shift mask and mask blank
JP2002251000A (en) * 2001-02-26 2002-09-06 Semiconductor Leading Edge Technologies Inc Method of manufacturing phase shift mask, phase shift mask, phase shift mask blank and method of manufacturing semiconductor device
JP2004537758A (en) 2001-07-27 2004-12-16 エフ・イ−・アイ・カンパニー Electron beam processing
DE10307545A1 (en) 2002-02-22 2003-11-06 Hoya Corp Crop for halftone phase shift mask and associated phase shift mask
DE10307518B4 (en) 2002-02-22 2011-04-14 Hoya Corp. Halftone phase shift mask blank, halftone phase shift mask and method of making the same
JP2004004791A (en) 2002-04-25 2004-01-08 Hoya Corp Halftone phase shift mask blank and halftone phase shift mask
TWI259329B (en) * 2003-04-09 2006-08-01 Hoya Corp Method of manufacturing a photomask, and photomask blank
JP4443873B2 (en) * 2003-08-15 2010-03-31 Hoya株式会社 Method for manufacturing phase shift mask
JP2005208660A (en) * 2004-01-22 2005-08-04 Schott Ag Phase shift type mask blank of super-high transmission ratio
KR20050076827A (en) 2004-01-22 2005-07-28 쇼오트 아게 Ultra high transmission phase shift mask blanks
JP2006084507A (en) 2004-09-14 2006-03-30 Matsushita Electric Ind Co Ltd Phase shift mask and method for manufacturing the phase shift mask
JP4330168B2 (en) * 2005-09-06 2009-09-16 キヤノン株式会社 Mold, imprint method, and chip manufacturing method
JP4919259B2 (en) 2006-03-30 2012-04-18 Hoya株式会社 Mask blank and photomask
US7771894B2 (en) * 2006-09-15 2010-08-10 Applied Materials, Inc. Photomask having self-masking layer and methods of etching same
EP2372404B1 (en) * 2008-10-17 2013-01-16 Carl Zeiss SMT GmbH High transmission, high aperture projection objective and projection exposure apparatus
US9588266B2 (en) * 2011-05-17 2017-03-07 Canon Denshi Kabushiki Kaisha Optical filter and optical apparatus
KR101878311B1 (en) 2011-12-30 2018-07-17 삼성전자주식회사 Method of forming semiconductor device using high-K layer for spacer etch stop and related device
KR101269062B1 (en) * 2012-06-29 2013-05-29 주식회사 에스앤에스텍 Blankmask and method for fabricating photomask using the same
KR102068952B1 (en) 2012-07-13 2020-01-21 호야 가부시키가이샤 Mask blank and method for manufacturing phase-shift mask
JP6233873B2 (en) 2013-04-17 2017-11-22 アルバック成膜株式会社 Method for manufacturing phase shift mask
KR102625449B1 (en) * 2015-05-15 2024-01-16 호야 가부시키가이샤 Mask blank, mask blank manufacturing method, transfer mask, transfer mask manufacturing method, and semiconductor device manufacturing method
JP6573806B2 (en) * 2015-08-31 2019-09-11 Hoya株式会社 Mask blank, phase shift mask, method for manufacturing phase shift mask, and method for manufacturing semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW544549B (en) * 2000-12-26 2003-08-01 Hoya Corp Half-tone type phase shift mask blank, process for prodncing half-tone type phase shift mask, pattern transfer method, laminate and method of forming pattern
JP2014059575A (en) * 2009-03-31 2014-04-03 Hoya Corp Mask blank and transfer mask
CN102820386A (en) * 2011-06-11 2012-12-12 昆山中辰矽晶有限公司 Method for manufacturing epitaxial substrate, light-emitting diode and method for manufacturing light-emitting diode

Also Published As

Publication number Publication date
US11226549B2 (en) 2022-01-18
US20200064726A1 (en) 2020-02-27
JPWO2017038213A1 (en) 2017-08-31
TW201708931A (en) 2017-03-01
JP6266842B2 (en) 2018-01-24
WO2017038213A1 (en) 2017-03-09
KR20180048573A (en) 2018-05-10
KR102205274B1 (en) 2021-01-20

Similar Documents

Publication Publication Date Title
TWI651583B (en) Photomask substrate, method for manufacturing photomask substrate, phase shift mask, method for manufacturing phase shift mask, and method for manufacturing semiconductor device
TWI648592B (en) Mask base, phase shift mask, method for manufacturing phase shift mask, and method for manufacturing semiconductor device
JP6573806B2 (en) Mask blank, phase shift mask, method for manufacturing phase shift mask, and method for manufacturing semiconductor device
JP6545795B2 (en) Mask blank, transfer mask, method of manufacturing mask blank, method of manufacturing transfer mask, and method of manufacturing semiconductor device
JP6698438B2 (en) Mask blank, transfer mask, mask blank manufacturing method, transfer mask manufacturing method, and semiconductor device manufacturing method
JP6430155B2 (en) Mask blank, phase shift mask, method for manufacturing phase shift mask, and method for manufacturing semiconductor device
US9726972B2 (en) Mask blank, transfer mask, and method for manufacturing transfer mask
TW201635008A (en) Mask blanks, phase shift mask, method for manufacturing phase shift mask, and method for manufacturing semiconductor device
JP6759486B2 (en) Manufacturing method of mask blank, phase shift mask and semiconductor device
WO2019188397A1 (en) Mask blank, phase shift mask, and method for manufacturing semiconductor device
JP6828221B2 (en) Manufacturing method for mask blanks, transfer masks and semiconductor devices
WO2020066591A1 (en) Mask blank, transfer mask, and semiconductor-device manufacturing method
WO2023276398A1 (en) Mask blank, phase shift mask manufacturing method, and semiconductor device manufacturing method
WO2021059890A1 (en) Mask blank, phase shift mask, and method for producing semiconductor device
JP2018132686A (en) Mask blank, mask for transfer, method of manufacturing mask for transfer, method of manufacturing semiconductor device