TWI643261B - Methods for plasma processing a wafer and plasma controlling, and a plasma reaction system - Google Patents

Methods for plasma processing a wafer and plasma controlling, and a plasma reaction system Download PDF

Info

Publication number
TWI643261B
TWI643261B TW106118234A TW106118234A TWI643261B TW I643261 B TWI643261 B TW I643261B TW 106118234 A TW106118234 A TW 106118234A TW 106118234 A TW106118234 A TW 106118234A TW I643261 B TWI643261 B TW I643261B
Authority
TW
Taiwan
Prior art keywords
plasma
wafer
ion concentration
reaction chamber
ions
Prior art date
Application number
TW106118234A
Other languages
Chinese (zh)
Other versions
TW201903882A (en
Inventor
陳嘉任
顧文昱
吳奇穎
林燕飛
陳冠中
陳嘉直
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Priority to TW106118234A priority Critical patent/TWI643261B/en
Application granted granted Critical
Publication of TWI643261B publication Critical patent/TWI643261B/en
Publication of TW201903882A publication Critical patent/TW201903882A/en

Links

Abstract

本發明實施例提供一種電漿處理晶圓的方法,包括將晶圓設置於反應腔體內的支撐件上。於反應腔體內產生電漿,並藉由第一偏壓使電漿中之離子射向晶圓。分別在支撐件的周圍之第一位置及第二位置上偵測電漿的第一離子濃度及第二離子濃度。比較第一離子濃度及第二離子濃度。當第一離子濃度與第二離子濃度的差異大於臨界值,使用多個電漿位移控制器於反應腔體內產生第二偏壓,以改變電漿中之離子的行進方向。 Embodiments of the present invention provide a method of plasma processing a wafer, comprising disposing a wafer on a support member in a reaction chamber. A plasma is generated in the reaction chamber, and ions in the plasma are directed toward the wafer by a first bias voltage. A first ion concentration and a second ion concentration of the plasma are detected at a first position and a second position around the support member, respectively. The first ion concentration and the second ion concentration are compared. When the difference between the first ion concentration and the second ion concentration is greater than a critical value, a plurality of plasma displacement controllers are used to generate a second bias voltage in the reaction chamber to change the direction of travel of the ions in the plasma.

Description

電漿處理晶圓、電漿控制的方法及電漿反應系統 Plasma processing wafer, plasma control method and plasma reaction system

本發明實施例為有關於一種電漿的控制方法,特別為有關於將電漿應用於處理晶圓的方法。 Embodiments of the present invention are directed to a method of controlling a plasma, and more particularly to a method of applying a plasma to a wafer.

半導體積體電路產業歷經快速的成長,積體電路材料及設計技術的進步產生數個世代的積體電路,每一世代的積體電路具有比前一世代更小且更複雜的電路。在積體電路的發展過程中,功能的密度(亦即,每晶片面積內所連接的裝置的數量)通常會增加,且幾何圖形尺寸(亦即,製程中所能製造出的最小元件或線路)縮小。尺寸縮小製程通常提供增加生產效率及降低成本的優點。然而尺寸的縮小也增加了積體電路製程與製造上的複雜度。為了實現這些進步,在積體電路製程及製造上也需要有相似地發展。 The semiconductor integrated circuit industry has experienced rapid growth, and advances in integrated circuit materials and design techniques have produced several generations of integrated circuits. Each generation of integrated circuits has smaller and more complex circuits than the previous generation. In the development of integrated circuits, the density of functions (ie, the number of devices connected per wafer area) typically increases, and the geometry size (ie, the smallest component or line that can be fabricated in the process) ) Zoom out. The downsizing process generally provides the advantage of increasing production efficiency and reducing costs. However, the reduction in size also increases the complexity of the integrated circuit process and manufacturing. In order to achieve these advances, similar developments in integrated circuit manufacturing and manufacturing are also required.

電漿裝置常用於半導體積體電路產業中執行多種半導體製程。舉例而言,電漿裝置已經用於清理晶圓表面之污染、沉積材料層、蝕刻、離子佈值及電漿摻雜。電漿反應系統的狀況或問題會影響每一晶圓之良好晶粒數,若無法在電漿反應系統發生問題的當下即時解決或進行製程參數的調整,則會有更多晶圓的製程良率受到影響。 Plasma devices are commonly used in the semiconductor integrated circuit industry to perform a variety of semiconductor processes. For example, plasma devices have been used to clean up wafer surface contamination, deposited material layers, etching, ion cloth values, and plasma doping. The condition or problem of the plasma reaction system will affect the number of good crystal grains per wafer. If the plasma reaction system cannot be solved immediately or the process parameters are adjusted, there will be more wafer processing. The rate is affected.

有鑒於此,需要尋求能夠解決上述問題的電漿處理晶圓之方法。 In view of this, there is a need to find a method of plasma processing a wafer capable of solving the above problems.

本發明實施例提供一種電漿處理晶圓的方法,包括將晶圓設置於反應腔體內的支撐件上。於反應腔體內產生電漿,並藉由第一偏壓使電漿中之離子射向晶圓。分別在支撐件的周圍之第一位置及第二位置上偵測電漿的第一離子濃度及第二離子濃度。比較第一離子濃度及第二離子濃度。當第一離子濃度與第二離子濃度的差異大於臨界值,使用多個電漿位移控制器於反應腔體內產生第二偏壓,以改變電漿中之離子的行進方向。 Embodiments of the present invention provide a method of plasma processing a wafer, comprising disposing a wafer on a support member in a reaction chamber. A plasma is generated in the reaction chamber, and ions in the plasma are directed toward the wafer by a first bias voltage. A first ion concentration and a second ion concentration of the plasma are detected at a first position and a second position around the support member, respectively. The first ion concentration and the second ion concentration are compared. When the difference between the first ion concentration and the second ion concentration is greater than a critical value, a plurality of plasma displacement controllers are used to generate a second bias voltage in the reaction chamber to change the direction of travel of the ions in the plasma.

本發明實施例提供一種電漿控制的方法,包括提供支撐件於反應腔體內,其中支撐件的周圍設置有多個隔離的區段,並且每一隔離的區段上具有導電元件。藉由每一個導電元件偵測由第一方向射向晶圓之電漿的離子,以產生多個對應電流。根據上述對應電流,決定每一個隔離的區段之離子濃度。提供多個電漿位移控制器,以根據每一個隔離的區段之離子濃度,決定電漿之離子於第二方向上之位移,其中第一方向不同於第二方向。 Embodiments of the present invention provide a plasma control method comprising providing a support in a reaction chamber, wherein a plurality of isolated segments are disposed around the support member, and each of the isolated segments has a conductive element. The ions of the plasma that are directed toward the wafer from the first direction are detected by each of the conductive elements to generate a plurality of corresponding currents. The ion concentration of each isolated segment is determined based on the corresponding current described above. A plurality of plasma displacement controllers are provided to determine the displacement of the plasma ions in the second direction based on the ion concentration of each of the isolated segments, wherein the first direction is different from the second direction.

本發明實施例提供一種電漿反應系統,包括位於反應腔體上方,且耦接至第一射頻電源的上電極組件。設置於反應腔體內,並耦接至第二射頻電源的支撐件。複數個設置於反應腔體外的電漿位移控制器,其圍繞反應腔體內介於支撐件與上電極組件之間的空間。 Embodiments of the present invention provide a plasma reaction system including an upper electrode assembly located above a reaction chamber and coupled to a first RF power source. The utility model is disposed in the reaction chamber and coupled to the support of the second RF power source. A plurality of plasma displacement controllers disposed outside the reaction chamber surround the space between the support member and the upper electrode assembly in the reaction chamber.

100‧‧‧電漿反應系統 100‧‧‧ Plasma Reaction System

102‧‧‧反應腔體 102‧‧‧Reaction chamber

102a‧‧‧反應腔壁 102a‧‧‧Reaction wall

102b‧‧‧反應腔底 102b‧‧‧ Reaction chamber bottom

103‧‧‧上電極組件 103‧‧‧Upper electrode assembly

104‧‧‧支撐件 104‧‧‧Support

106、106a-106h‧‧‧區段 106, 106a-106h‧‧‧ Section

108‧‧‧間隔 108‧‧‧ interval

110‧‧‧晶圓 110‧‧‧ wafer

110a‧‧‧第一區域 110a‧‧‧First area

110b‧‧‧第二區域 110b‧‧‧Second area

112、112a-112d‧‧‧電漿位移控制器 112, 112a-112d‧‧‧ Plasma Displacement Controller

114‧‧‧導電元件 114‧‧‧Conductive components

116‧‧‧磁性元件 116‧‧‧Magnetic components

118‧‧‧偵測電流 118‧‧‧Detecting current

120、120a-120h‧‧‧積分器 120, 120a-120h‧‧‧ integrator

122‧‧‧控制器 122‧‧‧ Controller

124‧‧‧第一射頻電源 124‧‧‧First RF power supply

126‧‧‧阻抗匹配電路 126‧‧‧ impedance matching circuit

128‧‧‧射頻線圈組 128‧‧‧RF coil set

130‧‧‧氣體入口 130‧‧‧ gas inlet

132‧‧‧空間 132‧‧‧ Space

134a‧‧‧平板電極 134a‧‧‧plate electrode

134b‧‧‧環形電極 134b‧‧‧ ring electrode

136‧‧‧第二射頻電源 136‧‧‧second RF power supply

190‧‧‧開口 190‧‧‧ openings

200‧‧‧電漿 200‧‧‧ plasma

300‧‧‧方法 300‧‧‧ method

302-310‧‧‧操作 302-310‧‧‧ operation

400A‧‧‧第一區 400A‧‧‧First District

400B‧‧‧第二區 400B‧‧‧Second District

402‧‧‧基底 402‧‧‧Base

404‧‧‧鰭片 404‧‧‧Fins

406‧‧‧介電層 406‧‧‧ dielectric layer

408‧‧‧閘極層 408‧‧ ‧ gate layer

410‧‧‧隔離區 410‧‧‧Isolated area

412‧‧‧間隔物層 412‧‧‧ spacer layer

414‧‧‧硬遮罩層 414‧‧‧hard mask layer

416‧‧‧電漿 416‧‧‧ Plasma

418‧‧‧輕摻雜汲極區 418‧‧‧Lightly doped bungee zone

第1圖為本發明部分實施例之電漿反應系統的剖面示意圖。 BRIEF DESCRIPTION OF THE DRAWINGS Figure 1 is a schematic cross-sectional view showing a plasma reaction system in accordance with some embodiments of the present invention.

第2圖為本發明部分實施例之電漿反應系統的上視圖。 Figure 2 is a top plan view of a plasma reaction system in accordance with some embodiments of the present invention.

第3圖為本發明部分實施例中沿第2圖所示的電漿反應系統之A’-A’切線的剖面示意圖。 Fig. 3 is a schematic cross-sectional view showing a line tangent to A'-A' of the plasma reaction system shown in Fig. 2 in a part of the embodiment of the present invention.

第4圖為本發明部分實施例之電漿反應系統的電路連接示意圖。 Figure 4 is a schematic diagram showing the circuit connection of a plasma reaction system according to some embodiments of the present invention.

第5圖為本發明部分實施例之電漿反應系統的示意圖。 Figure 5 is a schematic illustration of a plasma reaction system in accordance with some embodiments of the present invention.

第6圖為本發明部分實施例中第1圖所示的電漿控制器運作後,電漿產生位移之示意圖。 Fig. 6 is a schematic view showing the displacement of the plasma after the operation of the plasma controller shown in Fig. 1 in some embodiments of the present invention.

第7A-7C圖為本發明部分實施例之電漿之離子植入晶圓的圖案之上視圖。 7A-7C are top views of a pattern of plasma ion implanted wafers in accordance with some embodiments of the present invention.

第8圖為本發明部分實施例之例示方法的流程圖。 Figure 8 is a flow chart of an exemplary method of some embodiments of the present invention.

第9圖為本發明部分實施例之半導體裝置的剖面示意圖。 Figure 9 is a cross-sectional view showing a semiconductor device according to some embodiments of the present invention.

第10A-10E圖為本發明部分實施例之在半導體裝置中執行輕摻雜汲極製程之各階段的示意圖。 10A-10E are schematic views of various stages of performing a lightly doped drain process in a semiconductor device in accordance with some embodiments of the present invention.

以下的揭露內容提供許多不同的實施例或範例,以實施本發明實施例的不同特徵。而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化發明的說明。當然,這些特定的範例並非用以限定本發明實施例。例如,若是本說明書以下的揭露內容敘述了將一第一特徵形成於一 第二特徵之上或上方,即表示其包含了所形成的上述第一特徵與上述第二特徵是直接接觸的實施例,亦包含了尚可將附加的特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與上述第二特徵可能未直接接觸的實施例。另外,本發明實施例的說明中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字為為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關為。 The following disclosure provides many different embodiments or examples to implement various features of the embodiments of the invention. The disclosure of the present specification is a specific example of the various components and their arrangement in order to simplify the description of the invention. Of course, these specific examples are not intended to limit the embodiments of the invention. For example, if the disclosure of the present specification describes the formation of a first feature in a Above or above the second feature, that is, the embodiment includes the embodiment in which the first feature formed is in direct contact with the second feature, and the additional feature is formed on the first feature and the first An embodiment in which the first feature described above may not be in direct contact with the second feature described above. In addition, different examples in the description of the embodiments of the present invention may use repeated reference symbols and/or words. These repeated symbols or words are used for the purpose of simplification and clarity and are not intended to limit the relationship between the various embodiments and/or the appearance.

再者,為了方便描述圖式中一元件或特徵部件與另一(複數)元件或(複數)特徵部件的關為,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語等。可以理解的是,除了圖式所繪示的方位之外,空間相關用語涵蓋使用或操作中的裝置的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。可以理解的是,在所述方法之前、期間及之後,可提供額外的操作步驟,且在某些方法實施例中,所述的某些操作步驟可被替代或省略。 Furthermore, for convenience of describing the relationship of one element or feature in the figure to another (plural) element or (complex) feature, space-related terms such as "below" and "below" may be used. , "lower", "above", "upper" and similar terms. It will be understood that the spatially relative terms encompass different orientations of the device in use or operation, in addition to the orientation depicted in the drawings. The device may also be additionally positioned (eg, rotated 90 degrees or at other orientations) and the description of the spatially relevant terms used may be interpreted accordingly. It will be appreciated that additional operational steps may be provided before, during, and after the method, and in some method embodiments, some of the operational steps may be replaced or omitted.

以下所討論的實施例可能討論特定的內容,例如電漿裝置可以應用於將摻雜質植入於隔離結構間的晶圓。所屬技術領域中具有通常知識者閱讀所揭露內容可輕易理解在其他實施例中可考慮其他應用,例如電漿處理晶圓的方法可以應用於任何具有離子佈值製程,而不限定於上述製程。 The embodiments discussed below may discuss specific aspects, such as a plasma device that can be applied to implant a dopant between wafers. Those skilled in the art can readily appreciate that other applications may be considered in other embodiments. For example, the method of plasma processing a wafer may be applied to any process having an ion cloth value, and is not limited to the above process.

值得注意的是,此處所討論的實施例可能未必敘述出可能存在於結構內的每一個部件或特徵。舉例來說,圖式中可能省略一個或多個元件,例如當部件的討論說明可能足以 傳達實施例的各個樣態時可能將其從圖式中省略。再者,此處所討論的方法實施例可能以特定的進行順序來討論,然而在其他方法實施例中,可以以任何合理的順序進行。 It should be noted that the embodiments discussed herein may not necessarily describe every component or feature that may be present within the structure. For example, one or more components may be omitted from the drawings, such as when the discussion of the components may be sufficient It is possible to omit various aspects of the embodiment from the drawings. Furthermore, the method embodiments discussed herein may be discussed in a particular order, but in other method embodiments, the order may be performed in any reasonable order.

在具體說明實施例之前,簡要地說明本發明實施例的某些有益的特徵及樣態。概括而言,本發明實施例提供一種電漿應用於處理晶圓的方法,在電漿植入晶圓的過程中,使用電漿位移控制器使電漿產生位移,而能調整電漿摻雜步驟中晶圓摻雜質的均勻度,因此能夠確保半導體裝置的效能。 Before describing embodiments, certain advantageous features and aspects of embodiments of the invention are briefly described. In summary, embodiments of the present invention provide a method for processing a wafer by using a plasma. In the process of implanting a plasma into a wafer, a plasma displacement controller is used to shift the plasma, and the plasma doping can be adjusted. The uniformity of the wafer doping in the step, thus ensuring the performance of the semiconductor device.

第1圖為本發明部分實施例之電漿反應系統100的剖面示意圖。此電漿反應系統100可與製程系統平台連結,並包含可執行例如離子植入製程、蝕刻製程等特定製程的一多用途反應腔體。雖然本發明實施例以此特定架構來表達,但可理解本發明也可應用在其他各種不同的架構和設計上。甚至,此電漿反應系統100只是一個簡單的圖式表達,一些電漿反應系統100的部分並沒有展示出來。舉例來說,促動器、閥門,密封組件和其他類似物品都沒有被展示出。熟悉此項技藝的人都可以理解這些和其他組成電漿反應系統100的部分都可包含於其中。 1 is a schematic cross-sectional view of a plasma reaction system 100 in accordance with some embodiments of the present invention. The plasma reaction system 100 can be coupled to a process system platform and includes a multi-purpose reaction chamber that can perform a particular process such as an ion implantation process, an etching process, and the like. Although the embodiments of the present invention are expressed in this particular architecture, it will be appreciated that the present invention is also applicable to a variety of other different architectures and designs. Even this plasma reaction system 100 is merely a simple graphical representation, and some portions of the plasma reaction system 100 are not shown. For example, actuators, valves, seal assemblies, and the like are not shown. Those skilled in the art will appreciate that these and other components of the plasma reaction system 100 can be included therein.

如第1圖所示,電漿反應系統100包含反應腔體102、上電極組件103、支撐件104、電漿位移控制器112、控制器122、第一射頻電源124、阻抗匹配電路126、以及第二射頻電源136。電漿反應系統100通常包括一反應腔體102,此反應腔體102定義至少部分用來進行製程的區域為一個腔室。此反應腔體102包括一反應腔壁102a和一反應腔底102b。反應腔壁 102a由反應腔底102b的邊緣垂直地延伸。在一些實施例中,在反應腔壁102a上可具有可選擇性密封之一狹縫活門,使其方便將晶圓110送入和送出電漿反應系統100。在一些實施例中,反應腔底102b包括一抽氣口(未圖式)用以將氣體由反應腔體102中抽出。舉例而言,一抽氣系統(包括如節流閥和真空幫浦等)可連接在反應腔底102b的抽氣口上。一旦該狹縫活門被密封起來,便可操作抽氣系統來汲取並維持反應腔體102內的真空。 As shown in FIG. 1, the plasma reaction system 100 includes a reaction chamber 102, an upper electrode assembly 103, a support member 104, a plasma displacement controller 112, a controller 122, a first RF power source 124, an impedance matching circuit 126, and A second RF power source 136. The plasma reaction system 100 generally includes a reaction chamber 102 that defines at least a portion of the chamber for the process to be a chamber. The reaction chamber 102 includes a reaction chamber wall 102a and a reaction chamber bottom 102b. Reaction chamber wall 102a extends perpendicularly from the edge of the reaction chamber bottom 102b. In some embodiments, one of the slit shutters may be selectively sealed on the reaction chamber wall 102a to facilitate feeding and discharging of the wafer 110 into and out of the plasma reaction system 100. In some embodiments, the reaction chamber bottom 102b includes a suction port (not shown) for withdrawing gas from the reaction chamber 102. For example, an extraction system (including, for example, a throttle valve and a vacuum pump, etc.) can be coupled to the suction port of the reaction chamber bottom 102b. Once the slit shutter is sealed, the pumping system can be operated to draw and maintain a vacuum within the reaction chamber 102.

上電極組件103位於反應腔體102的上方,且包括一平板電極134a位於反應腔體102的上方末端,一環型電極134b設置於平板電極134a與反應腔壁102a之間,以及一射頻線圈組128環繞環型電極134b。於一實施例中,平板電極134a是氣體分佈系統中的噴頭。在此架構下,平板電極134a可作為用以將氣體分佈至反應腔體102中的一個組件,並與一氣體來源(未圖式)耦合。此氣體來源含有用來處理反應腔體102內的先驅物或製程氣體。平板電極134a連接至直流電源(例如接地)。在一些實施例中,平板電極134a、反應腔壁102a和一反應腔底102b係一同連接至接地。 The upper electrode assembly 103 is located above the reaction chamber 102 and includes a plate electrode 134a at an upper end of the reaction chamber 102, a ring electrode 134b disposed between the plate electrode 134a and the reaction chamber wall 102a, and a radio frequency coil group 128. The ring-shaped electrode 134b is surrounded. In one embodiment, the plate electrode 134a is a showerhead in a gas distribution system. Under this architecture, plate electrode 134a can serve as a component for distributing gas into reaction chamber 102 and is coupled to a source of gas (not shown). This source of gas contains precursors or process gases used to treat the reaction chamber 102. The plate electrode 134a is connected to a DC power source (for example, a ground). In some embodiments, plate electrode 134a, reaction chamber wall 102a, and a reaction chamber bottom 102b are coupled together to ground.

射頻線圈組128係耦接至第一射頻電源124,並藉由第一射頻電源124所提供之射頻電力,產生並維持反應腔體102中的電漿200。在一實施例中,第一射頻電源124為一高頻射頻電源。第一射頻電源124係經由一阻抗匹配電路126與射頻線圈組128相連接,用以增強製程氣體的解離和電漿密度。舉例而言,阻抗匹配電路126可包含一或更多的電容器、誘導器和其他電路零件。第一射頻電源124以大約在2MHz或以上的頻 率輸送射頻電力供給射頻線圈組128,但不限定於此。在一些實施例中,第一射頻電源124的偏壓約介於-30K至+30K伏特,其操作時的脈衝寬度(pulse width)約介於5至300微秒,但不限定於此。 The RF coil assembly 128 is coupled to the first RF power source 124 and generates and maintains the plasma 200 in the reaction chamber 102 by the RF power provided by the first RF power source 124. In one embodiment, the first RF power source 124 is a high frequency RF power source. The first RF power source 124 is coupled to the RF coil assembly 128 via an impedance matching circuit 126 for enhancing process gas dissociation and plasma density. For example, impedance matching circuit 126 can include one or more capacitors, inducers, and other circuit components. The first RF power source 124 has a frequency of approximately 2 MHz or more The rate RF power is supplied to the RF coil group 128, but is not limited thereto. In some embodiments, the first RF power source 124 has a bias voltage between about -30K and +30K volts, and a pulse width during operation of between about 5 and 300 microseconds, but is not limited thereto.

如第1圖所示,支撐件104係位於反應腔體102之反應腔底102b上,且設置用以承載晶圓110。在一些實施例中,環型電極134b與射頻線圈組128作為電漿反應系統100的頂部電極,而支撐件104則作為下方電極。支撐件104其可為適合支撐晶圓之任何構造,例如靜電夾盤或真空夾盤。支撐件104包括一支撐平面作為晶圓110的支撐表面,其外型通常與位於其上方要支撐的晶圓110之外型相符合。舉例來說,支撐件104的表面通常都是圓形的,用來支撐大體上為圓形的晶圓110。在一實施例中,支撐件104的表面與晶圓溫度控制系統(未圖示)連接,例如電阻加熱線圈及/或連接一加熱或冷卻流體系統的流體通道。支撐件104可包含用於電漿反應系統100之任一材料。例如,支撐件104的材料包含鋼、其他具導電性的金屬或合金。在一些實施例,支撐件104包含真空系統以用於將晶圓110固持定位。 As shown in FIG. 1, the support member 104 is disposed on the reaction chamber bottom 102b of the reaction chamber 102 and is disposed to carry the wafer 110. In some embodiments, ring electrode 134b and RF coil assembly 128 act as the top electrode of plasma reaction system 100, while support member 104 acts as the lower electrode. The support 104 can be any configuration suitable for supporting a wafer, such as an electrostatic chuck or a vacuum chuck. The support member 104 includes a support plane as a support surface for the wafer 110, the shape of which generally conforms to the profile of the wafer 110 to be supported thereon. For example, the surface of the support 104 is generally circular to support a substantially circular wafer 110. In one embodiment, the surface of the support member 104 is coupled to a wafer temperature control system (not shown), such as a resistive heating coil and/or a fluid passageway that connects a heating or cooling fluid system. Support 104 may comprise any of the materials used in plasma reaction system 100. For example, the material of the support 104 comprises steel, other electrically conductive metals or alloys. In some embodiments, the support 104 includes a vacuum system for holding the wafer 110 in place.

在一些實施例,第二射頻電源136耦接至支撐件104。第二射頻電源136為一低頻射頻電源,以大約在0.5至10KHz的頻率輸送射頻電力供給支撐件104,但不限定於此。在一些實施例中,第二射頻電源136的偏壓約介於-0.2至10千伏特,其操作時的脈衝寬度(pulse width)約介於20至100微秒,但不限定於此。在一實施例中,藉由頂部電極(環型電極134b與 射頻線圈組128)和下方電極(支撐件104)間之一第一偏壓E1。引導電漿200的離子以第一方向(例如為Y軸方向)衝擊至晶圓110的表面。在一些實施例,第二射頻電源136亦可為一直流偏壓源。 In some embodiments, the second RF power source 136 is coupled to the support 104. The second RF power source 136 is a low frequency RF power source that delivers the RF power supply support 104 at a frequency of approximately 0.5 to 10 KHz, but is not limited thereto. In some embodiments, the bias voltage of the second RF power source 136 is between about -0.2 and 10 kilovolts, and the pulse width during operation is between about 20 and 100 microseconds, but is not limited thereto. In an embodiment, by the top electrode (ring electrode 134b and A first bias E1 between the RF coil assembly 128) and the lower electrode (support 104). The ions guiding the plasma 200 impinge on the surface of the wafer 110 in a first direction (for example, in the Y-axis direction). In some embodiments, the second RF power source 136 can also be a DC bias source.

另外,根據一些實施例,支撐件104包含多個區段106、控制器122及多個位於反應腔體102外的電漿位移控制器(例如電漿位移控制器112a及電漿位移控制器112b)。區段106係設置於支撐件104上,並設置用以偵測離子濃度。當區段106偵測電漿200的離子濃度後,此離子濃度之資訊將傳遞至控制器122。在一些實施例,控制器122根據區段106所提供的離子濃度之資訊,決定電漿位移控制器112a及電漿位移控制器112b的輸出電壓,電漿位移控制器112a及電漿位移控制器112b兩者的輸出電壓差將於反應腔體102內產生一偏壓,電漿200之離子藉由此偏壓而改變行進方向,詳細之運作機制將於第6圖之相關段落仔細描述。 In addition, according to some embodiments, the support member 104 includes a plurality of segments 106, a controller 122, and a plurality of plasma displacement controllers located outside the reaction chamber 102 (eg, the plasma displacement controller 112a and the plasma displacement controller 112b). ). Section 106 is disposed on support 104 and is configured to detect ion concentration. When segment 106 detects the ion concentration of plasma 200, this ion concentration information is passed to controller 122. In some embodiments, the controller 122 determines the output voltages of the plasma displacement controller 112a and the plasma displacement controller 112b based on the information of the ion concentration provided by the segment 106, the plasma displacement controller 112a and the plasma displacement controller. The output voltage difference of both 112b will generate a bias voltage in the reaction chamber 102, and the ions of the plasma 200 change the direction of travel by the bias. The detailed operation mechanism will be described in detail in the relevant paragraph of Fig. 6.

參閱第2圖,第2圖為根據一些實施例之電漿反應系統100的上視圖。如第2圖所示,支撐件104包含圍繞晶圓110外側之溝槽狀開口(未圖示)。每一溝槽狀開口包含一個用來偵測離子濃度的區段,例如106a-106h。值得注意的是,本發明實施例中區段106a之描述可適用於任一其他區段,每一區段106a-106h可藉由絕緣壁108而實體隔離。每一區段106a-106h亦可藉由設置於區段之間的絕緣材料或高介電常數材料而彼此電性絕緣。區段106a-106h的總數目及排列方式並非限定於圖式之圖案。舉例而言,區段106a-106h係位於支撐件104的周 圍,例如位於晶圓110的邊緣外側,可以任一形狀或配置排列。區段106a-106h可排列成盡量靠近晶圓110的邊緣。在一些實施例,區段106a-106h中,每一區段與其最鄰近的相鄰兩區段之間的距離對每一區段而言是相同的。 Referring to Figure 2, a second top view is a top view of a plasma reaction system 100 in accordance with some embodiments. As shown in FIG. 2, the support member 104 includes a trench-like opening (not shown) that surrounds the outside of the wafer 110. Each trench-like opening contains a section for detecting ion concentration, such as 106a-106h. It should be noted that the description of section 106a in embodiments of the present invention may be applied to any other section, and each section 106a-106h may be physically isolated by insulating wall 108. Each of the segments 106a-106h can also be electrically insulated from each other by an insulating material or a high dielectric constant material disposed between the segments. The total number and arrangement of segments 106a-106h are not limited to the pattern of the drawings. For example, segments 106a-106h are located on the circumference of support 104 The perimeter, for example, located outside the edge of the wafer 110, can be arranged in any shape or configuration. The segments 106a-106h can be arranged as close as possible to the edge of the wafer 110. In some embodiments, the distance between each segment and its nearest neighboring two segments is the same for each segment in segments 106a-106h.

在電漿處理晶圓的製程期間,電漿200之離子轟擊晶圓110的整個表面,部分的離子會貫穿每一溝槽狀開口及衝擊每一區段106a-106h。當測得衝擊至區段106a-106h的離子之總電荷時,可決定晶圓110中給定區域之摻雜離子濃度。舉例而言,若衝擊至區段106a-106h的離子之總數目增大,則植入晶圓110的離子濃度增大。 During the plasma processing of the wafer, ions of the plasma 200 bombard the entire surface of the wafer 110, and portions of the ions will penetrate each of the trench openings and impact each of the segments 106a-106h. The dopant ion concentration for a given region of wafer 110 can be determined when the total charge of ions impinging on segments 106a-106h is measured. For example, if the total number of ions striking the segments 106a-106h increases, the ion concentration implanted into the wafer 110 increases.

由於電漿反應系統100的每一區段106a-106h彼此實體隔離,因此偵測衝擊區段106a-106h的離子之總電荷數時,可決定電漿離子濃度的分布,亦即,可決定晶圓110中給定區域之摻雜離子濃度的分布。舉例而言,區段106a所測得的離子濃度與最鄰近的晶圓110之A部分的離子濃度相關連,區段106b所測得的離子濃度與最鄰近的晶圓110之B部分的離子濃度相關連,以此類推可得到晶圓110之C-H部分的離子濃度。由於每一區段106a-106h的離子濃度皆可單獨量測,因此可監測電漿製程中整個晶圓表面之離子濃度分布的均勻度。 Since each segment 106a-106h of the plasma reaction system 100 is physically isolated from each other, the distribution of the plasma ion concentration can be determined when the total charge number of the ions of the impact segments 106a-106h is detected, that is, the crystal can be determined. The distribution of the doping ion concentration for a given area in circle 110. For example, the ion concentration measured by segment 106a is associated with the ion concentration of the A portion of the nearest wafer 110, and the ion concentration measured for segment 106b is the ion of the B portion of the nearest wafer 110. The concentration is related, and so on, the ion concentration of the CH portion of the wafer 110 can be obtained. Since the ion concentration of each of the segments 106a-106h can be separately measured, the uniformity of the ion concentration distribution across the wafer surface in the plasma process can be monitored.

另外,在一些實施例,電漿反應系統100包含多個位於反應腔體102外且環繞反應腔體102的電漿位移控制器112a-112d。控制器122可在電漿處理晶圓110的過程中,根據每一區段106a-106h所偵測的離子濃度,而決定每一個電漿位移控制器112a-112d的輸出電壓,藉此於反應腔體102內產生偏 壓,使電漿產生不同於第一方向(即Y方向)之位移,藉以調整晶圓110之A-H部分的離子濃度。 Additionally, in some embodiments, the plasma reaction system 100 includes a plurality of plasma displacement controllers 112a-112d located outside of the reaction chamber 102 and surrounding the reaction chamber 102. The controller 122 can determine the output voltage of each of the plasma displacement controllers 112a-112d according to the ion concentration detected by each of the segments 106a-106h during the plasma processing of the wafer 110, thereby reacting a bias in the cavity 102 The pressure is such that the plasma produces a different displacement than the first direction (i.e., the Y direction), thereby adjusting the ion concentration of the A-H portion of the wafer 110.

在一些實施例,如第2圖所示,電漿反應系統100具有多個電漿位移控制器112a-112d,且上述成對的電漿位移控制器112a-112d分別位於反應腔體102的相對兩側。舉例而言,電漿位移控制器112a與電漿位移控制器112b位於穿越反應腔體102圓心的直線(例如線條B’-B’)上。在一些實施例,電漿位移控制器112a-112d包含線圈。線圈的材料可以是銅、鐵、鎳、鈦、其他具導電性的金屬或上述合金。值得注意的是,電漿位移控制器的總數目及排列方式並非限定於圖式之圖案。舉例而言,電漿位移控制器係位於反應腔體102的周圍,可以任一形狀或配置排列。在一些實施例,電漿位移控制器可位於反應腔體102的非水平的相對兩側。在一些實施例,電漿位移控制器可不位於反應腔體102的相對兩側,可將電漿位移控制器以不對稱的排列方式設置於反應腔體102的周圍。 In some embodiments, as shown in FIG. 2, the plasma reaction system 100 has a plurality of plasma displacement controllers 112a-112d, and the pair of plasma displacement controllers 112a-112d are respectively located in the reaction chamber 102. On both sides. For example, the plasma displacement controller 112a and the plasma displacement controller 112b are located on a line (e.g., line B'-B') that traverses the center of the reaction chamber 102. In some embodiments, the plasma displacement controllers 112a-112d include coils. The material of the coil may be copper, iron, nickel, titanium, other conductive metals or the above alloys. It is worth noting that the total number and arrangement of the plasma displacement controllers are not limited to the pattern of the drawings. For example, the plasma displacement controller is located around the reaction chamber 102 and can be arranged in any shape or configuration. In some embodiments, the plasma displacement controllers can be located on opposite sides of the reaction chamber 102 that are not horizontal. In some embodiments, the plasma displacement controllers may not be located on opposite sides of the reaction chamber 102, and the plasma displacement controller may be disposed in an asymmetric arrangement around the reaction chamber 102.

參閱第3圖,第3圖為本發明部分實施例中沿第2圖所示的電漿反應系統100之A’-A’切線的剖面示意圖。如第3圖所示,晶圓110的邊緣可見於支撐件104的上方。在一些實施例,區段106a位於支撐件104的溝槽狀開口190處。在一些實施例,區段106a具有一導電元件114於開口190內。 Referring to Fig. 3, Fig. 3 is a cross-sectional view, taken along line A'-A' of the plasma reaction system 100 shown in Fig. 2, in part of the embodiment of the present invention. As shown in FIG. 3, the edge of the wafer 110 can be seen above the support member 104. In some embodiments, the section 106a is located at the grooved opening 190 of the support 104. In some embodiments, section 106a has a conductive element 114 within opening 190.

如第3圖所示,電漿200之離子穿過開口190,且衝擊至區段106a中的導電元件114。導電元件114可以是能夠傳導電流之任何金屬材料,例如為銅、鋁、不鏽鋼、碳、石墨、其他具導電性的金屬或合金。導電元件114可為法拉第杯,其形 狀如同杯子,當電漿200的離子以各種角度衝擊至導電元件114時,電漿離子所帶的電荷會中和而產生逸散的電荷,而導電元件114的內側側壁可捕獲上述逸散之電荷。導電元件114的內側側壁可為直角,亦可為非直角。 As shown in FIG. 3, ions of the plasma 200 pass through the opening 190 and impinge on the conductive elements 114 in the section 106a. Conductive element 114 can be any metallic material capable of conducting electrical current, such as copper, aluminum, stainless steel, carbon, graphite, other electrically conductive metals or alloys. The conductive element 114 can be a Faraday cup, the shape thereof Shaped like a cup, when the ions of the plasma 200 impinge on the conductive element 114 at various angles, the charge carried by the plasma ions neutralizes to generate an escaped charge, and the inner side wall of the conductive element 114 captures the above-mentioned escaped Charge. The inner side wall of the conductive element 114 may be a right angle or a non-right angle.

導電元件114可作為電路中的元件,當電漿200的離子衝擊至導電元件114時,導電元件114會產生電流118。電流118的大小與衝擊至區段106a中的導電元件114的離子數目及離子電荷相關。在一實施例中,電流118的大小與衝擊至區段106a中的導電元件114的離子數目及離子電荷成正相關。在一些實施例中,電流118的大小與衝擊至區段106a中的導電元件114的離子數目及離子電荷成負相關。此外,在一些實施例,區段106a亦具有磁性元件116,磁性元件116產生之磁場可避免二次電子逸散離開導電元件114。 The conductive element 114 can act as an element in the circuit, and when the ions of the plasma 200 impinge on the conductive element 114, the conductive element 114 produces a current 118. The magnitude of current 118 is related to the number of ions and ionic charge impinging on conductive element 114 in section 106a. In one embodiment, the magnitude of current 118 is positively correlated with the number of ions and ionic charge impinging on conductive element 114 in section 106a. In some embodiments, the magnitude of current 118 is inversely related to the number of ions and ionic charge impinging on conductive element 114 in section 106a. Moreover, in some embodiments, segment 106a also has a magnetic element 116 that generates a magnetic field that prevents secondary electrons from escaping away from conductive element 114.

在一些實施例中,導電元件114與支撐件104之間的間隙可利用絕緣材料加以充填,以將導電元件114固持定位。舉例而言,上述絕緣材料可為聚合物或環氧樹脂。此外,除了導電元件114與支撐件104之間的接著點以外,導電元件114與支撐件104之間的間隙亦可為開放空間。 In some embodiments, the gap between the conductive element 114 and the support 104 can be filled with an insulating material to hold the conductive element 114 in place. For example, the above insulating material may be a polymer or an epoxy resin. Moreover, in addition to the contiguous point between the conductive element 114 and the support member 104, the gap between the conductive element 114 and the support member 104 can also be an open space.

前述用來偵測電漿之離子的元件(例如導電元件114)可應用於任何各種利用電漿的半導體製程,例如離子佈植、電漿蝕刻、電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)、電漿增強原子層沉積(plasma enhanced atomic layer deposition,PEALD)及磊晶生長製程,但不限定於此。 The foregoing components for detecting plasma ions (for example, the conductive member 114) can be applied to any semiconductor process using plasma, such as ion implantation, plasma etching, plasma enhanced chemical vapor deposition. Deposition, PECVD, plasma enhanced atomic layer deposition (PEALD) and epitaxial growth processes, but are not limited thereto.

參閱第4圖,第4圖為本發明部分實施例中電漿反應系統100的電路連接示意圖。如第4圖所示,電漿反應系統100中每一個用來偵測電漿200之離子濃度的區段106a-106h分別與積分器120a-120h耦接。每一積分器120a-120h可包含多個各種主動元件或被動元件,其用來偵測對應區段中累積的電荷所產生電流。舉例而言,每一積分器120a-120h可包含安培計或電流計。每一積分器120a-120h根據接收的電流,產生對應區段106a-106h中的每一導電元件114所接收到的電漿之離子濃度的資訊(即離子濃度資訊)。 Referring to Fig. 4, Fig. 4 is a schematic diagram showing the circuit connection of the plasma reaction system 100 in some embodiments of the present invention. As shown in FIG. 4, each of the sections 106a-106h of the plasma reaction system 100 for detecting the ion concentration of the plasma 200 is coupled to the integrators 120a-120h, respectively. Each integrator 120a-120h can include a plurality of various active or passive components for detecting the current generated by the accumulated charge in the corresponding segment. For example, each integrator 120a-120h can include an ammeter or ammeter. Each integrator 120a-120h generates information (i.e., ion concentration information) of the ion concentration of the plasma received by each of the conductive elements 114 in the segments 106a-106h based on the received current.

參閱第5圖,第5圖為本發明部分實施例中電漿反應系統100的示意圖。值得注意的是,為了圖式簡潔,第5圖僅繪示3個區段106進行說明,然而,區段106、積分器120及電漿位移控制器112的數目並不限於第5圖所示的數量。 Referring to Figure 5, Figure 5 is a schematic illustration of a plasma reaction system 100 in accordance with some embodiments of the present invention. It should be noted that, for the sake of brevity, FIG. 5 only illustrates three sections 106, however, the number of sections 106, integrators 120 and plasma displacement controllers 112 are not limited to those shown in FIG. quantity.

在一些實施例,電漿反應系統100包含控制器122。控制器122係設置用以接收來自積分器120的離子濃度資訊,並且根據每一積分器120所提供的離子濃度資訊,決定電漿位移控制器112的輸出電壓,以調整電漿200之離子濃度的均勻度。在一些實施例,多個區段106排列在晶圓110邊緣的周圍,並偵測來自電漿200的離子而產生電流(例如電流118),而積分器120根據此電流大小,得出每一區段106所接收到之離子濃度資訊,控制器122接收來自積分器120的離子濃度資訊,並決定是否調整電漿位移控制器112的輸出電壓。當控制器122調整一或多個電漿位移控制器112的輸出電壓,則多個電漿位移控制器112間的壓差將於反應腔體102內產生偏壓,此偏壓將改 變電漿200中離子的行進方向,以補償離子濃度分布不均的偵測結果。在一些實施例,控制器122包含多個處理系統及/或邏輯,其用以接收來自積分器120的離子濃度資訊,並且比較每一對應的區段106之離子濃度,藉此決定電漿位移控制器112的輸出電壓。 In some embodiments, the plasma reaction system 100 includes a controller 122. The controller 122 is configured to receive ion concentration information from the integrator 120, and determine an output voltage of the plasma displacement controller 112 according to the ion concentration information provided by each integrator 120 to adjust the ion concentration of the plasma 200. Uniformity. In some embodiments, a plurality of segments 106 are arranged around the edge of the wafer 110 and detect ions from the plasma 200 to generate a current (eg, current 118), and the integrator 120 derives each based on the magnitude of the current. The controller 122 receives the ion concentration information from the integrator 120 and determines whether to adjust the output voltage of the plasma displacement controller 112. When the controller 122 adjusts the output voltage of the one or more plasma displacement controllers 112, the pressure difference between the plurality of plasma displacement controllers 112 will generate a bias voltage in the reaction chamber 102, and the bias voltage will be changed. The direction of travel of the ions in the plasma 200 is compensated for by the detection of uneven ion concentration distribution. In some embodiments, controller 122 includes a plurality of processing systems and/or logic for receiving ion concentration information from integrator 120 and comparing the ion concentration of each corresponding segment 106 to thereby determine plasma displacement The output voltage of the controller 112.

控制器122比較每一對應的區段106之離子濃度後,會得出離子濃度分布的均勻度。當離子濃度之間的差異大於一給定的臨界值,則控制器122可調整電漿位移控制器112的輸出電壓。舉例而言,參考第2圖及第5圖,若在區段106a-106h中,積分器120測得的最高離子濃度之區域為離子濃度最低區域的兩倍時,則控制器122可在電漿製程的期間,決定每一電漿位移控制器112a-112d的輸出電壓,藉此於反應腔體102內產生偏壓來調整離子濃度的分布的均勻度。舉例而言,當第2圖區段106a所偵測到的離子濃度較低時,則代表晶圓110的A部分受電漿之離子植入的數量較少。此時,控制器122調整電漿位移控制器112a-112d,以於反應腔體102內產生偏壓而改變電漿200之離子的行進方向。如此,使接下來的製程中,有更多的電漿200之離子衝擊至晶圓110的A部分。 After the controller 122 compares the ion concentrations of each corresponding segment 106, the uniformity of the ion concentration distribution is obtained. When the difference between the ion concentrations is greater than a given threshold, the controller 122 can adjust the output voltage of the plasma displacement controller 112. For example, referring to FIGS. 2 and 5, if the region of the highest ion concentration measured by the integrator 120 is twice the region of the lowest ion concentration in the segments 106a-106h, the controller 122 can be powered. During the pulping process, the output voltage of each of the plasma displacement controllers 112a-112d is determined, thereby generating a bias voltage within the reaction chamber 102 to adjust the uniformity of the distribution of ion concentrations. For example, when the ion concentration detected by the segment 106a of FIG. 2 is low, the amount of ion implantation of the A portion representing the wafer 110 by the plasma is small. At this time, the controller 122 adjusts the plasma displacement controllers 112a-112d to generate a bias in the reaction chamber 102 to change the traveling direction of the ions of the plasma 200. Thus, in the next process, more ions of the plasma 200 impinge on the A portion of the wafer 110.

參閱第6圖,第6圖為本發明部分實施例中沿第2圖所示的電漿反應系統100之B’-B’切線的剖面示意圖。此外,第6圖繪示當第1圖的電漿反應系統100的電漿位移控制器(例如電漿位移控制器112a和電漿位移控制器112b)運作後,電漿200之離子產生位移之示意圖。在一些實施例,當電漿反應系統100欲調整摻雜於晶圓110上的離子濃度時,電漿位移控制器112a 及電漿位移控制器112b個別提供不同的輸出電壓,使反應腔體102內產生一第二方向(例如為X方向)的第二偏壓E2,第二偏壓E2引導電漿200之離子沿第二方向產生位移。在一些實施例,電漿位移控制器112a及電漿位移控制器112b的輸出電壓可隨時間變化,使第二偏壓E2的方向及大小為隨時間變化之函數,藉此使電漿200之離子集中地衝擊至晶圓110的一區域。如第6圖所示,若晶圓110右方的區段106所測得的離子濃度較低時,藉由電漿位移控制器112a及電漿位移控制器112b產生的第二偏壓E2,能使得電漿200之離子向右方位移,集中植入晶圓110的右方邊緣。 Referring to Fig. 6, Fig. 6 is a cross-sectional view showing a line tangent to B'-B' of the plasma reaction system 100 shown in Fig. 2, which is a partial embodiment of the present invention. In addition, FIG. 6 illustrates that when the plasma displacement controller (eg, the plasma displacement controller 112a and the plasma displacement controller 112b) of the plasma reaction system 100 of FIG. 1 operates, the ions of the plasma 200 are displaced. schematic diagram. In some embodiments, when the plasma reaction system 100 is to adjust the ion concentration doped on the wafer 110, the plasma displacement controller 112a And the plasma displacement controller 112b separately provides different output voltages to generate a second bias E2 in the second direction (for example, the X direction) in the reaction chamber 102, and the second bias E2 guides the ion edge of the plasma 200. The second direction produces a displacement. In some embodiments, the output voltages of the plasma displacement controller 112a and the plasma displacement controller 112b may vary with time, such that the direction and magnitude of the second bias voltage E2 are a function of time, thereby causing the plasma 200 The ions collectively impact an area of the wafer 110. As shown in FIG. 6, if the ion concentration measured by the segment 106 on the right side of the wafer 110 is low, the second bias voltage E2 generated by the plasma displacement controller 112a and the plasma displacement controller 112b, The ions of the plasma 200 can be displaced to the right and concentrated on the right edge of the wafer 110.

在一些實施例,如第6圖所示,電漿位移控制器112a-112b位於反應腔體102外,並且圍繞一介於反應腔壁102a與支撐件104之間的空間132。當控制器122決定每一電漿位移控制器的輸出電壓及頻率後,可讓電漿200之離子以任何形式的圖案衝擊至晶圓110的表面。 In some embodiments, as shown in FIG. 6, the plasma displacement controllers 112a-112b are located outside of the reaction chamber 102 and surround a space 132 between the reaction chamber wall 102a and the support member 104. When the controller 122 determines the output voltage and frequency of each of the plasma displacement controllers, the ions of the plasma 200 can be impacted onto the surface of the wafer 110 in any pattern.

參閱第7A-7C圖,第7A-7C圖為根據一些實施例,電漿植入晶圓110的圖案之上視圖。如第7A-7C圖所示,定義電漿200之離子植入晶圓110的區域為第一區域110a,電漿200之離子未植入晶圓110的區域為第二區域110b。在一些實施例,經由電漿位移控制器112產生的第二偏壓E2,使得電漿200之離子只植入晶圓110的第一區域110a,同時電漿200之離子並未植入晶圓110的第二區域110b。第一區域110a的圖案可為方形、三角形、扇形等。值得注意的是,第一區域110a的圖案並不限於第7A-7C圖所示的圖案,亦可為其他不規則形狀之圖案。 Referring to Figures 7A-7C, Figures 7A-7C are top views of a pattern of plasma implanted wafers 110, in accordance with some embodiments. As shown in FIGS. 7A-7C, the region defining the ion implantation wafer 110 of the plasma 200 is the first region 110a, and the region where the ions of the plasma 200 are not implanted into the wafer 110 is the second region 110b. In some embodiments, the second bias E2 generated by the plasma displacement controller 112 causes the ions of the plasma 200 to be implanted only in the first region 110a of the wafer 110, while the ions of the plasma 200 are not implanted in the wafer. The second region 110b of 110. The pattern of the first region 110a may be a square, a triangle, a sector, or the like. It should be noted that the pattern of the first region 110a is not limited to the pattern shown in FIGS. 7A-7C, and may be other patterns of irregular shapes.

參閱第8圖,第8圖為根據一些實施例之電漿處理晶圓之方法300的流程圖。方法300可藉由如第1、2、3及6圖所示的電漿反應系統100而執行。值得注意的是,亦可在不脫離本發明實施例所述的實施例之範疇下,執行未被繪示的其他步驟。 Referring to Figure 8, Figure 8 is a flow diagram of a method 300 of plasma processing a wafer in accordance with some embodiments. Method 300 can be performed by plasma reaction system 100 as shown in Figures 1, 2, 3, and 6. It is to be noted that other steps not shown may be performed without departing from the embodiments of the embodiments of the invention.

方法300始於操作302,在此操作中,將晶圓設置於反應腔體內的支撐件上。舉例而言,如第1圖所示,將晶圓110置於位於反應腔體102內的支撐件104上。 The method 300 begins at operation 302, in which a wafer is placed on a support within a reaction chamber. For example, as shown in FIG. 1, wafer 110 is placed on support 104 located within reaction chamber 102.

接著,於操作304中,於反應腔體內產生電漿,並藉由一第一偏壓使電漿中之離子射向晶圓。舉例而言,作為一高頻射頻電源之第一射頻電源124輸送射頻電力供給射頻線圈組128,以產生並維持反應腔體102中的電漿200,且作為一低頻射頻電源之第二射頻電源136輸送射頻電力供給支撐件104。如此一來,頂部電極(環型電極134b與射頻線圈組128)和下方電極(支撐件104)間之一第一偏壓E1會沿第一方向(例如Y方向)在反應腔體102內形成電場,引導電漿200中的離子以第一方向衝擊置晶圓110的表面。電漿200可經由一或多種氣體流動,並且經由第一射頻電源124所提供的射頻電力而離子化而產生。藉由調整射頻電力之頻率及振幅可影響電漿參數,此外,亦可藉由調整氣體流速而調整電漿參數。電漿參數例如為電漿離子的能量。 Next, in operation 304, a plasma is generated in the reaction chamber, and ions in the plasma are directed toward the wafer by a first bias voltage. For example, the first RF power source 124, which is a high frequency RF power source, supplies RF power to the RF coil assembly 128 to generate and maintain the plasma 200 in the reaction chamber 102, and serves as a second RF power source for a low frequency RF power source. 136 delivers an RF power supply support 104. As a result, a first bias E1 between the top electrode (the ring electrode 134b and the RF coil group 128) and the lower electrode (the support member 104) is formed in the reaction chamber 102 in the first direction (for example, the Y direction). The electric field directs ions in the plasma 200 to impinge on the surface of the wafer 110 in a first direction. The plasma 200 can be generated via one or more gases and ionized via radio frequency power provided by the first RF power source 124. The plasma parameters can be influenced by adjusting the frequency and amplitude of the RF power. In addition, the plasma parameters can be adjusted by adjusting the gas flow rate. The plasma parameter is, for example, the energy of the plasma ion.

接著,於操作306中,分別在支撐件的周圍之第一位置及第二位置上偵測電漿的第一離子濃度及第二離子濃度。舉例而言,可在第2圖所示的區段106a以及與其相對的區 段106e偵測相對應的導電元件114所測得的電漿之離子的總電荷,再經由對應的積分器120a及120e接受由導電元件114產生的電流,得出衝擊至區段106a及區段106e的電漿之離子濃度(例如離子濃度資訊)。 Next, in operation 306, the first ion concentration and the second ion concentration of the plasma are detected at the first position and the second position around the support. For example, the segment 106a shown in FIG. 2 and the region opposite thereto The segment 106e detects the total charge of the plasma ions measured by the corresponding conductive element 114, and then receives the current generated by the conductive element 114 via the corresponding integrators 120a and 120e, resulting in an impact to the segment 106a and the segment. The ion concentration of the 106e plasma (eg ion concentration information).

接著,於操作308中,比較第一離子濃度及第二離子濃度。舉例而言,控制器122接受區段106a及區段106e的電漿之離子濃度(離子濃度資訊),並比較兩者差,以決定衝擊至晶圓110表面上的離子之均勻度。 Next, in operation 308, the first ion concentration and the second ion concentration are compared. For example, the controller 122 accepts the plasma ion concentration (ion concentration information) of the segments 106a and 106e and compares the differences to determine the uniformity of ions impinging on the surface of the wafer 110.

接著,於操作310中,當第一離子濃度與第二離子濃度的差異大於一臨界值,使用多個電漿位移控制器於反應腔體內產生第二偏壓,以改變電漿之行進方向。舉例而言,當區段106a及區段106e的之離子濃度差異大於一給定臨界值,藉由控制器122決定每一電漿位移控制器112a-112d的輸出電壓,藉此產生不同於第一方向之一第二方向的第二偏壓E2,使電漿200之離子往第二方向(例如X方向)位移。當區段106a偵測的離子濃度大於區段106e偵測的離子濃度,且離子濃度之差異大於臨界值時,藉由第二偏壓E2,可使得電漿200之離子由區段106a朝向區段106e的方向產生位移,藉此改善電漿製程的離子濃度之均勻度。在一些實施例,第二偏壓E2為交流或直流電壓。在一些實施例,電漿位移控制器更包含磁鐵,可利用上述磁鐵在空間132的邊緣處,對第二偏壓E2作微幅修正,以改善電漿製程的離子濃度之均勻度。在一些實施例,電漿位移控制器可以雙極性脈衝模式提供輸出電壓及頻率,以調整電漿200之離子之位移。 Next, in operation 310, when the difference between the first ion concentration and the second ion concentration is greater than a threshold, a plurality of plasma displacement controllers are used to generate a second bias voltage in the reaction chamber to change the traveling direction of the plasma. For example, when the difference in ion concentration between the segments 106a and 106e is greater than a given threshold, the output voltage of each of the plasma displacement controllers 112a-112d is determined by the controller 122, thereby generating a different The second bias voltage E2 in one of the directions in the second direction causes the ions of the plasma 200 to be displaced in the second direction (for example, the X direction). When the ion concentration detected by the segment 106a is greater than the ion concentration detected by the segment 106e, and the difference in ion concentration is greater than the critical value, the ion of the plasma 200 may be caused by the segment 106a toward the region by the second bias voltage E2. The direction of the segment 106e is displaced, thereby improving the uniformity of the ion concentration of the plasma process. In some embodiments, the second bias voltage E2 is an alternating current or direct current voltage. In some embodiments, the plasma displacement controller further includes a magnet, and the second bias E2 is slightly modified at the edge of the space 132 by the magnet to improve the uniformity of the ion concentration of the plasma process. In some embodiments, the plasma displacement controller can provide an output voltage and frequency in a bipolar pulse mode to adjust the displacement of ions of the plasma 200.

值得注意的是,上述方法300為簡潔地敘述電漿處理晶圓之流程,僅敘述偵測兩個區段106a及106e的離子濃度,但實際上可以偵測更多區段的離子濃度來決定離子濃度的均勻度。 It should be noted that the above method 300 succinctly describes the flow of the plasma processing wafer, and only describes the ion concentration of the two segments 106a and 106e, but actually can detect the ion concentration of more segments to determine Uniformity of ion concentration.

在製作半導體裝置的多個製程步驟中,可藉由上述電漿反應系統100執行電漿製程。形成半導體裝置的一些例示步驟繪示於第9圖及第10A-10E圖。在一些實施例中,利用電漿反應系統100執行輕摻雜汲極(lightly doped drain,LDD)製程。另外,在一些實施例,半導體裝置為鰭式場效電晶體裝置。值得注意的是,第9圖及第10A-10E圖所繪示的半導體裝置僅為此種裝置之範例,為了清楚表示輕摻雜汲極製程,而省略裝置的某些元件。亦即,半導體裝置可包含其他材料層,並且形成半導體裝置的方法亦可包含其他製程。 In a plurality of process steps of fabricating a semiconductor device, a plasma process can be performed by the plasma reaction system 100 described above. Some illustrative steps for forming a semiconductor device are shown in Figures 9 and 10A-10E. In some embodiments, a lightly doped drain (LDD) process is performed using the plasma reaction system 100. Additionally, in some embodiments, the semiconductor device is a fin field effect transistor device. It should be noted that the semiconductor device illustrated in FIG. 9 and FIGS. 10A-10E is only an example of such a device, and some components of the device are omitted for clarity of the lightly doped drain process. That is, the semiconductor device may include other material layers, and the method of forming the semiconductor device may also include other processes.

第9圖為根據一些實施例,半導體裝置沿X-Y平面之剖面圖。第10A-10E圖為根據一些實施例,沿第9圖中一個鰭片404之Y-Z平面的剖面圖。如第9圖所示,半導體裝置包含基底402。基底402可為半導體基底,例如矽基底。此外,上述半導體基底亦可為元素半導體,包括鍺(germanium);化合物半導體,包括碳化矽(silicon carbide)、砷化鎵(gallium arsenide)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)及/或銻化銦(indium antimonide);合金半導體,包括矽鍺合金(SiGe)、磷砷鎵合金(GaAsP)、砷鋁銦合金(AlInAs)、砷鋁鎵合金(AlGaAs)、砷銦鎵合金(GaInAs)、磷銦鎵合金(GaInP)及/或磷砷銦鎵合金(GaInAsP)或上述材料之組 合。此外,基底402也可以是絕緣層上覆半導體(semiconductor on insulator,SOI)基底。 Figure 9 is a cross-sectional view of the semiconductor device along the X-Y plane, in accordance with some embodiments. 10A-10E are cross-sectional views along the Y-Z plane of a fin 404 in Fig. 9, in accordance with some embodiments. As shown in FIG. 9, the semiconductor device includes a substrate 402. Substrate 402 can be a semiconductor substrate, such as a germanium substrate. In addition, the above semiconductor substrate may also be an elemental semiconductor, including germanium; a compound semiconductor including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide (indium phosphide) ), indium arsenide and/or indium antimonide; alloy semiconductors including bismuth alloy (SiGe), phosphorus arsenide alloy (GaAsP), arsenic aluminum indium alloy (AlInAs), arsenic aluminum gallium Alloy (AlGaAs), arsenic gallium alloy (GaInAs), indium gallium alloy (GaInP) and/or phosphorus indium gallium alloy (GaInAsP) or a group of the above materials Hehe. In addition, the substrate 402 may also be a semiconductor-on-insulator (SOI) substrate.

半導體裝置包含鰭片404,可利用沉積製程、微影製程、蝕刻製程、化學機械研磨(CMP)製程、清洗製程、或上述之組合在基底402上形成鰭片404。 The semiconductor device includes fins 404 that may be formed on the substrate 402 using a deposition process, a lithography process, an etch process, a chemical mechanical polishing (CMP) process, a cleaning process, or a combination thereof.

如第9圖所示,半導體裝置亦包含形成於鰭片404上的介電層406。此介電層406可為氧化矽、氮化矽、氮氧化矽、高介電常數(high-k)介電材料、或其它任何適合之介電材料、或上述之組合。此高介電常數(high-k)介電材料之材料可為金屬氧化物、金屬氮化物、金屬矽化物、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽化物、金屬的氮氧化物、金屬鋁酸鹽、鋯矽酸鹽、鋯鋁酸鹽。例如,此高介電常數(high-k)介電材料可為LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfO2、HfO3、HfZrO、HfLaO、HfSiO、HfSiON、LaSiO、AlSiO、HfTaO、HfTiO、HfTaTiO、HfAlON、(Ba,Sr)TiO3(BST)、Al2O3、其它適當材料之其它高介電常數介電材料、或上述組合。介電層406可藉由化學氣相沉積法(CVD)或旋轉塗佈法形成,此化學氣相沉積法例如可為低壓化學氣相沉積法(low pressure chemical vapor deposition,LPCVD)、低溫化學氣相沉積法(low temperature chemical vapor deposition,LTCVD)、快速升溫化學氣相沉積法(rapid thermal chemical vapor deposition,RTCVD)、電漿輔助化學氣相沉積法(plasma enhanced chemical vapor deposition,PECVD)、原子層化學氣相沉積法之原子層沉積法(atomic layer deposition,ALD)或其它常用的方法。 As shown in FIG. 9, the semiconductor device also includes a dielectric layer 406 formed on the fins 404. The dielectric layer 406 can be hafnium oxide, tantalum nitride, hafnium oxynitride, a high-k dielectric material, or any other suitable dielectric material, or a combination thereof. The material of the high-k dielectric material may be a metal oxide, a metal nitride, a metal halide, a transition metal oxide, a transition metal nitride, a transition metal telluride, a metal oxynitride, Metal aluminate, zirconium silicate, zirconium aluminate. For example, the high-k dielectric material may be LaO, AlO, ZrO, TiO, Ta 2 O 5 , Y 2 O 3 , SrTiO 3 (STO), BaTiO 3 (BTO), BaZrO, HfO. 2 , HfO 3 , HfZrO, HfLaO, HfSiO, HfSiON, LaSiO, AlSiO, HfTaO, HfTiO, HfTaTiO, HfAlON, (Ba, Sr)TiO 3 (BST), Al 2 O 3 , other high dielectric constants of other suitable materials Dielectric material, or a combination of the above. The dielectric layer 406 can be formed by chemical vapor deposition (CVD) or spin coating. The chemical vapor deposition method can be, for example, low pressure chemical vapor deposition (LPCVD) or low temperature chemical gas. Low temperature chemical vapor deposition (LTCVD), rapid thermal chemical vapor deposition (RTCVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer Atomic layer deposition (ALD) by chemical vapor deposition or other commonly used methods.

半導體裝置亦包含形成於介電層406上的閘極層408。閘極層408的材料包含非晶矽、多晶矽、一或多種金屬、金屬氮化物、導電金屬氧化物、或上述之組合。上述金屬可包含鉬(molybdenum)、鎢(tungsten)、鈦(titanium)、鉭(tantalum)、鉑(platinum)或鉿(hafnium)。上述金屬氮化物可包含氮化鉬(molybdenum nitride)、氮化鎢(tungsten nitride)、氮化鈦(titanium nitride)以及氮化鉭(tantalum nitride)或其他材料。上述導電金屬氧化物可包含釕金屬氧化物(ruthenium oxide)以及銦錫金屬氧化物(indium tin oxide)。閘極層408可藉由化學氣相沉積法、濺鍍法、電阻加熱蒸鍍法、電子束蒸鍍法、或其它任何適合的沈積方式形成。 The semiconductor device also includes a gate layer 408 formed over the dielectric layer 406. The material of the gate layer 408 comprises amorphous germanium, polycrystalline germanium, one or more metals, metal nitrides, conductive metal oxides, or combinations thereof. The above metal may comprise molybdenum, tungsten, titanium, tantalum, platinum or hafnium. The metal nitride may include molybdenum nitride, tungsten nitride, titanium nitride, and tantalum nitride or other materials. The above conductive metal oxide may include ruthenium oxide and indium tin oxide. The gate layer 408 can be formed by chemical vapor deposition, sputtering, resistance heating evaporation, electron beam evaporation, or any other suitable deposition method.

參閱第10A-10E圖,第10A-10E圖為根據一些實施例,在半導體裝置中執行輕摻雜汲極製程之各階段的示意圖。如第10A圖所示,半導體裝置亦包含隔離區410埋置於鰭片404中,且形成於相鄰的兩個閘極層408間。隔離區410可包含氧化矽、氮化矽、氮氧化矽、其他適合的材料及其組合。此外,半導體裝置具有第一區400A及第二區400B。在一些實施例,第一區400A為欲形成N型金屬氧化物半導體的區域,第二區400B為欲形成P型金屬氧化物半導體的區域。 Referring to Figures 10A-10E, Figures 10A-10E are schematic illustrations of various stages of performing a lightly doped gate process in a semiconductor device, in accordance with some embodiments. As shown in FIG. 10A, the semiconductor device also includes an isolation region 410 buried in the fin 404 and formed between the adjacent two gate layers 408. The isolation region 410 can comprise hafnium oxide, tantalum nitride, hafnium oxynitride, other suitable materials, and combinations thereof. Further, the semiconductor device has a first region 400A and a second region 400B. In some embodiments, the first region 400A is a region where an N-type metal oxide semiconductor is to be formed, and the second region 400B is a region where a P-type metal oxide semiconductor is to be formed.

接著,如第10B圖所示,形成間隔物層412於鰭片404及閘極層408上。間隔物412可包含氮化矽、氧化矽、氮氧化矽或其他介電材料,並且可藉由化學氣相沉積法或其他沉積方法形成。 Next, as shown in FIG. 10B, a spacer layer 412 is formed on the fin 404 and the gate layer 408. The spacers 412 may comprise tantalum nitride, hafnium oxide, hafnium oxynitride or other dielectric materials, and may be formed by chemical vapor deposition or other deposition methods.

接著,如第10C圖所示,形成間隔物層412後,沉積一介電材料層於間隔物層412上,並且藉由蝕刻製程圖案化此介電材料層,以形成一硬遮罩層414於第二區400B上。此時,硬遮罩層414並未覆蓋第一區400A上的間隔物層412。硬遮罩層414可包含二氧化矽、氮化矽、氮氧化矽或其他能作為硬遮罩之材料。圖案化製程包含微影製程及蝕刻製程。微影製程包含光阻塗佈(例如旋轉塗佈)、軟烤、光罩對位、曝光、曝後烤、將光阻顯影、沖洗、乾燥(例如硬烤)、其他合適的製程或前述之組合。另外,微影製程可由其他適當的方法,例如無遮罩微影、電子束寫入(electron-beam writing)及離子束寫入(ion-beam writing)進行或取代。蝕刻製程包含乾蝕刻、濕蝕刻或其他蝕刻方法。 Next, as shown in FIG. 10C, after the spacer layer 412 is formed, a dielectric material layer is deposited on the spacer layer 412, and the dielectric material layer is patterned by an etching process to form a hard mask layer 414. On the second zone 400B. At this time, the hard mask layer 414 does not cover the spacer layer 412 on the first region 400A. The hard mask layer 414 can comprise hafnium oxide, tantalum nitride, hafnium oxynitride or other materials that can act as a hard mask. The patterning process includes a lithography process and an etching process. The lithography process includes photoresist coating (eg, spin coating), soft baking, reticle alignment, exposure, post-exposure bake, development of photoresist, rinsing, drying (eg, hard bake), other suitable processes, or the foregoing. combination. Alternatively, the lithography process can be performed or replaced by other suitable methods, such as maskless lithography, electron-beam writing, and ion-beam writing. The etching process includes dry etching, wet etching, or other etching methods.

接著,如第10D圖所示,利用電漿製程,使電漿416植入半導體裝置的第一區400A。電漿416可為含砷氣體,例如AsH3,藉此在第一區400A提供N型摻雜質。在其他實施例,當第一區400A為欲形成P型金屬氧化物半導體的區域,第二區400B為欲形成N型金屬氧化物半導體的區域時,電漿416為含硼氣體,例如B2H6,藉此在第一區400A提供P型摻雜質。此外,電漿416通常與惰性氣體混合,惰性氣體例如為氦或氬。在一些實施例,上述電漿製程使用本發明實施例所述的電漿反應系統100來執行。使用本發明實施例所述的電漿反應系統100可控制位在晶圓表面不同區域的植入之離子濃度,例如,使用本發明實施例的電漿反應系統100,將使得位於晶圓邊緣的第一區400A與位於晶圓中心的第一區400A的兩者植入之離子濃度差 異減小。 Next, as shown in Fig. 10D, the plasma 416 is implanted into the first region 400A of the semiconductor device by a plasma process. Arsenic-containing gas plasma 416 may be, for example, AsH 3, thereby providing an N-type dopant in the first region 400A. In other embodiments, when the first region 400A is a region where a P-type metal oxide semiconductor is to be formed, and the second region 400B is a region where an N-type metal oxide semiconductor is to be formed, the plasma 416 is a boron-containing gas such as B 2 . H 6 , thereby providing P-type dopants in the first region 400A. Further, the plasma 416 is usually mixed with an inert gas such as helium or argon. In some embodiments, the plasma process described above is performed using the plasma reaction system 100 of the present invention. The plasma reaction system 100 of the embodiments of the present invention can control the ion concentration of implants located in different regions of the wafer surface. For example, using the plasma reaction system 100 of the embodiment of the present invention will result in the edge of the wafer. The difference in ion concentration between the first region 400A and the first region 400A at the center of the wafer is reduced.

最後,如第10E圖所示,使用蝕刻製程移除硬遮罩層414。此時,在半導體裝置的第一區400A的閘極層418兩側的鰭片404表面植入摻雜質,形成輕摻雜汲極區418。此摻雜步驟中,輕摻雜汲極區418的離子濃度分布之均勻度會影響半導體裝置間的效能差異,電漿摻雜製程的離子濃度分布之均勻度可就由上述一些實施例所述之電漿反應系統100來執行。 Finally, as shown in FIG. 10E, the hard mask layer 414 is removed using an etching process. At this time, dopants are implanted on the surface of the fins 404 on both sides of the gate layer 418 of the first region 400A of the semiconductor device to form a lightly doped drain region 418. In this doping step, the uniformity of the ion concentration distribution of the lightly doped drain region 418 may affect the difference in performance between the semiconductor devices, and the uniformity of the ion concentration distribution of the plasma doping process may be as described in some embodiments above. The plasma reaction system 100 is executed.

本發明實施例所述的電漿反應系統可使用於任何電漿製程,並不限於上述形成輕摻雜汲極區之製程。在這些電漿製程步驟的期間,可藉由多個電漿位移控制器調整電漿的行進方向,並且可在製程進行的期間,即時的(real time)調整離子濃度的均勻度,增進半導體裝置的良率。另外,使用本發明實施例的電漿反應系統可直接偵測並調整最終可成為產品之晶圓的表面離子濃度及其分佈之均勻度,因此可減少控片(control wafer)的浪費。此外,由於電漿位移控制器可在製程期間自動進行調整離子濃度,因此減少了機台在兩個批次(run)間,人為調整電漿參數的時間,機台的閒置時間(lost time)也因此減少,如此,可在單位時間內執行更多批次之製程,使形成半導體裝置的週期(cycle time)減少。 The plasma reaction system described in the embodiments of the present invention can be used in any plasma process, and is not limited to the above-described process of forming a lightly doped drain region. During these plasma processing steps, the traveling direction of the plasma can be adjusted by a plurality of plasma displacement controllers, and the uniformity of the ion concentration can be adjusted in real time during the process, and the semiconductor device can be improved. Yield. In addition, the plasma reaction system of the embodiment of the present invention can directly detect and adjust the uniformity of the surface ion concentration and the distribution of the wafer which can finally become a product, thereby reducing the waste of the control wafer. In addition, since the plasma displacement controller can automatically adjust the ion concentration during the process, the time for manually adjusting the plasma parameters between the two batches of the machine is reduced, and the idle time of the machine is lost. Therefore, it is reduced, so that more batch processes can be executed per unit time, and the cycle time for forming a semiconductor device is reduced.

根據一些實施例,提供一種電漿處理晶圓的方法。電漿處理晶圓的方法包括將晶圓設置於反應腔體內的支撐件上。於反應腔體內產生電漿,並藉由第一偏壓使電漿中之離子射向晶圓。分別在支撐件的周圍之第一位置及第二位置上偵測電漿的第一離子濃度及第二離子濃度。比較第一離子濃度及 第二離子濃度。當第一離子濃度與第二離子濃度的差異大於臨界值,使用多個電漿位移控制器於反應腔體內產生第二偏壓,以改變電漿中之離子的行進方向。 In accordance with some embodiments, a method of plasma processing a wafer is provided. The method of plasma processing a wafer includes disposing a wafer on a support in a reaction chamber. A plasma is generated in the reaction chamber, and ions in the plasma are directed toward the wafer by a first bias voltage. A first ion concentration and a second ion concentration of the plasma are detected at a first position and a second position around the support member, respectively. Comparing the first ion concentration and Second ion concentration. When the difference between the first ion concentration and the second ion concentration is greater than a critical value, a plurality of plasma displacement controllers are used to generate a second bias voltage in the reaction chamber to change the direction of travel of the ions in the plasma.

根據一些實施例,提供一種電漿處理晶圓的方法。電漿處理晶圓的方法包括提供支撐件於反應腔體內,其中支撐件的周圍設置有多個隔離的區段,並且每一隔離的區段上具有導電元件。藉由每一個導電元件偵測由第一方向射向晶圓之電漿的離子,以產生多個對應電流。根據上述對應電流,決定每一個隔離的區段之離子濃度。提供多個電漿位移控制器,以根據每一個隔離的區段之離子濃度,決定電漿之離子於第二方向上之位移,其中第一方向不同於第二方向。 In accordance with some embodiments, a method of plasma processing a wafer is provided. A method of plasma treating a wafer includes providing a support in a reaction chamber, wherein a plurality of isolated segments are disposed around the support and each of the isolated segments has a conductive element. The ions of the plasma that are directed toward the wafer from the first direction are detected by each of the conductive elements to generate a plurality of corresponding currents. The ion concentration of each isolated segment is determined based on the corresponding current described above. A plurality of plasma displacement controllers are provided to determine the displacement of the plasma ions in the second direction based on the ion concentration of each of the isolated segments, wherein the first direction is different from the second direction.

根據一些實施例,提供一種電漿反應系統。電漿反應系統包括位於反應腔體上方,且耦接至第一射頻電源的上電極組件。設置於反應腔體內,並耦接至第二射頻電源的支撐件。複數個設置於反應腔體外的電漿位移控制器,其圍繞反應腔體內介於支撐件與上電極組件之間的空間。 According to some embodiments, a plasma reaction system is provided. The plasma reaction system includes an upper electrode assembly positioned above the reaction chamber and coupled to the first RF power source. The utility model is disposed in the reaction chamber and coupled to the support of the second RF power source. A plurality of plasma displacement controllers disposed outside the reaction chamber surround the space between the support member and the upper electrode assembly in the reaction chamber.

以上概略說明了本發明實施例數個實施例的特徵,使所屬技術領域中具有通常知識者對於後續本發明實施例的詳細說明可更為容易理解。任何所屬技術領域中具有通常知識者應瞭解到本說明書可輕易作為其它結構或製程的變更或設計基礎,以進行相同於本發明實施例的目的及/或獲得相同的優點。任何所屬技術領域中具有通常知識者也可理解與上述等同的結構或製程並未脫離本發明實施例之精神和保護範圍內,且可在不脫離本發明實施例之精神和範圍內,當可作更 動、替代與潤飾。 The above is a brief description of the features of the embodiments of the present invention, and the detailed description of the embodiments of the present invention will be more readily understood by those of ordinary skill in the art. It will be appreciated by those of ordinary skill in the art that the present disclosure may be readily utilized as a variation or design basis for other structures or processes to achieve the same objectives and/or advantages of the embodiments of the invention. It is to be understood by those of ordinary skill in the art that the invention may be made without departing from the spirit and scope of the embodiments of the invention. Make more Movement, substitution and retouching.

Claims (9)

一種電漿處理晶圓的方法,包括:將一晶圓設置於一反應腔體內的一支撐件上;於該反應腔體內產生一電漿,並藉由一第一偏壓使該電漿中之離子射向該晶圓;分別在該支撐件的周圍之一第一位置及一第二位置上偵測該電漿的一第一離子濃度及一第二離子濃度;比較該第一離子濃度及該第二離子濃度;以及當該第一離子濃度與該第二離子濃度的差異大於一臨界值,使用複數個電漿位移控制器於該反應腔體內產生一第二偏壓,以改變該電漿中之離子的行進方向,其中該電漿中之離子藉由該第二偏壓植入該晶圓的一第一區域,但不植入該晶圓不同於該第一區域的一第二區域。 A method for plasma processing a wafer, comprising: disposing a wafer on a support member in a reaction chamber; generating a plasma in the reaction chamber, and causing the plasma in the first bias The ions are directed toward the wafer; a first ion concentration and a second ion concentration of the plasma are detected at a first position and a second position around the support member; and the first ion concentration is compared And the second ion concentration; and when the difference between the first ion concentration and the second ion concentration is greater than a threshold, a plurality of plasma displacement controllers are used to generate a second bias voltage in the reaction chamber to change the a direction of travel of ions in the plasma, wherein ions in the plasma are implanted into a first region of the wafer by the second bias, but not implanted in the wafer different from the first region Two areas. 如申請專利範圍第1項所述之電漿處理晶圓的方法,其中當該第一位置的離子濃度小於該第二位置的離子濃度,則該電漿中之離子藉由該第二偏壓朝向由該第二位置朝向該第一位置的方向位移。 The method of processing a wafer by the plasma according to claim 1, wherein when the ion concentration of the first position is less than the ion concentration of the second position, ions in the plasma are supported by the second bias Displacement toward the direction from the second position toward the first position. 如申請專利範圍第1項所述之電漿處理晶圓的方法,其中該電漿中之離子藉由該第一偏壓以一第一方向射向該晶圓,且該電漿中之離子藉由該第二偏壓而產生不同於該第一方向的一第二方向之位移。 The method of processing a wafer by the plasma according to claim 1, wherein the ions in the plasma are directed toward the wafer by the first bias in a first direction, and the ions in the plasma A displacement of a second direction different from the first direction is generated by the second bias. 如申請專利範圍第1項所述之電漿處理晶圓的方法,其中該些電漿位移控制器包括設置於該反應腔體外且圍繞該反應腔體之一第一電漿位移控制器及一第二電漿位移控制器, 且產生該第二偏壓的步驟包括:使用該第一電漿位移控制器產生一第一輸出電壓;使用該第二電漿位移控制器產生一第二輸出電壓;以及藉由該第一輸出電壓與該第二輸出電壓產生該第二偏壓。 The method for processing a wafer by the plasma according to claim 1, wherein the plasma displacement controller comprises a first plasma displacement controller disposed outside the reaction chamber and surrounding the reaction chamber, and a Second plasma displacement controller, And generating the second biasing force: generating a first output voltage by using the first plasma displacement controller; generating a second output voltage by using the second plasma displacement controller; and using the first output The voltage and the second output voltage generate the second bias voltage. 一種電漿控制方法,包括:提供一支撐件於一反應腔體內,其中該支撐件的周圍設置有複數個隔離的區段,並且每一該些隔離的區段上具有一導電元件;藉由每一該些導電元件偵測由一第一方向射向該支撐件的一電漿的離子,以產生複數個對應電流;根據該些對應電流,決定每一該些隔離的區段之離子濃度;以及提供一第一電漿位移控制器及一第二電漿位移控制器,根據每一該些隔離的區段之離子濃度,使用該第一電漿位移控制器產生一第一輸出電壓及該第二電漿位移控制器產生一第二輸出電壓,並藉由該第一輸出電壓與該第二輸出電壓產生一偏壓而決定該電漿之離子於一第二方向上之位移,其中該第一方向不同於該第二方向。 A plasma control method includes: providing a support member in a reaction chamber, wherein the support member is provided with a plurality of isolated segments around the support member, and each of the isolated segments has a conductive member; Each of the conductive elements detects ions of a plasma that is directed toward the support by a first direction to generate a plurality of corresponding currents; and determining an ion concentration of each of the isolated segments according to the corresponding currents And providing a first plasma displacement controller and a second plasma displacement controller, using the first plasma displacement controller to generate a first output voltage according to an ion concentration of each of the isolated segments The second plasma displacement controller generates a second output voltage, and determines a displacement of the plasma ions in a second direction by generating a bias voltage between the first output voltage and the second output voltage, wherein The first direction is different from the second direction. 如申請專利範圍第5項所述之電漿控制方法,其中該第一方向垂直於該第二方向。 The plasma control method of claim 5, wherein the first direction is perpendicular to the second direction. 一種電漿反應系統,包括:一上電極組件,位於一反應腔體上方,並耦接至一第一射頻電源;一支撐件,設置於該反應腔體內,並耦接至一第二射頻電 源;以及複數個電漿位移控制器,設置於該反應腔體外,且圍繞該反應腔體內介於該支撐件與該上電極組件之間之一空間。 A plasma reaction system comprising: an upper electrode assembly located above a reaction chamber and coupled to a first RF power source; a support member disposed in the reaction chamber and coupled to a second RF power And a plurality of plasma displacement controllers disposed outside the reaction chamber and surrounding a space between the support member and the upper electrode assembly. 如申請專利範圍第7項所述之電漿反應系統,其中每一該些電漿位移控制器包括一線圈。 The plasma reaction system of claim 7, wherein each of the plasma displacement controllers comprises a coil. 如申請專利範圍第7項所述之電漿反應系統,其中該些電漿位移控制器包括一第一電漿位移控制器及一第二電漿位移控制器,該第一電漿位移控制器與該第二電漿位移控制器設置於該反應腔體的相對兩側。 The plasma reaction system of claim 7, wherein the plasma displacement controller comprises a first plasma displacement controller and a second plasma displacement controller, the first plasma displacement controller And the second plasma displacement controller is disposed on opposite sides of the reaction cavity.
TW106118234A 2017-06-02 2017-06-02 Methods for plasma processing a wafer and plasma controlling, and a plasma reaction system TWI643261B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW106118234A TWI643261B (en) 2017-06-02 2017-06-02 Methods for plasma processing a wafer and plasma controlling, and a plasma reaction system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW106118234A TWI643261B (en) 2017-06-02 2017-06-02 Methods for plasma processing a wafer and plasma controlling, and a plasma reaction system

Publications (2)

Publication Number Publication Date
TWI643261B true TWI643261B (en) 2018-12-01
TW201903882A TW201903882A (en) 2019-01-16

Family

ID=65431964

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106118234A TWI643261B (en) 2017-06-02 2017-06-02 Methods for plasma processing a wafer and plasma controlling, and a plasma reaction system

Country Status (1)

Country Link
TW (1) TWI643261B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI774234B (en) * 2021-02-08 2022-08-11 台灣積體電路製造股份有限公司 Semiconductor deposition system and operation method thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201535467A (en) * 2014-02-19 2015-09-16 Taiwan Semiconductor Mfg Co Ltd Plasma apparatus, magnetic-field controlling method, and semiconductor manufacturing method
TW201711078A (en) * 2015-09-10 2017-03-16 台灣積體電路製造股份有限公司 Ion collector, plasma system controlling method, and method of plasma processing substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201535467A (en) * 2014-02-19 2015-09-16 Taiwan Semiconductor Mfg Co Ltd Plasma apparatus, magnetic-field controlling method, and semiconductor manufacturing method
TW201711078A (en) * 2015-09-10 2017-03-16 台灣積體電路製造股份有限公司 Ion collector, plasma system controlling method, and method of plasma processing substrate

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI774234B (en) * 2021-02-08 2022-08-11 台灣積體電路製造股份有限公司 Semiconductor deposition system and operation method thereof

Also Published As

Publication number Publication date
TW201903882A (en) 2019-01-16

Similar Documents

Publication Publication Date Title
US9293568B2 (en) Method of fin patterning
JP6371884B2 (en) recoding media
JP2018125519A (en) Adjustable expansion electrode for edge uniformity control
KR20200030642A (en) Substrate support with double buried electrodes
KR20140121368A (en) Internal plasma grid for semiconductor fabrication
US20130149852A1 (en) Method for forming a semiconductor device
US11581169B2 (en) Ion collector for use in plasma systems
US20200043795A1 (en) Elongated pattern and formation thereof
US20220181162A1 (en) Etching apparatus
US10008564B2 (en) Method of corner rounding and trimming of nanowires by microwave plasma
TWI643261B (en) Methods for plasma processing a wafer and plasma controlling, and a plasma reaction system
JP2010010417A (en) Plasma doping method and plasma doping device
CN108987227B (en) Method for processing wafer by plasma, plasma control method and reaction system
CN115527888A (en) Etching apparatus and method thereof
US20100068888A1 (en) Dry etching method
KR102208931B1 (en) Etching method
US8431495B2 (en) Stencil mask profile
KR20110098693A (en) Next generation nano-device etching apparature for lower-damage process
US11908754B2 (en) Method and system for controlling profile of critical dimension
CN113540225B (en) High-performance concave gate type tunneling field effect transistor based on quasi-broken band heterojunction and preparation method thereof
US20230369023A1 (en) Tunable plasma exclusion zone in semiconductor fabrication
US8293655B2 (en) Dry etching method
Tanjo et al. History of ion implanter and its future perspective
US10157981B1 (en) Structure and formation method of semiconductor device structure with well regions
CN107731684B (en) Method for forming semiconductor structure