TWI638228B - Metrology methods, metrology apparatus and device manufacturing method - Google Patents
Metrology methods, metrology apparatus and device manufacturing method Download PDFInfo
- Publication number
- TWI638228B TWI638228B TW106118983A TW106118983A TWI638228B TW I638228 B TWI638228 B TW I638228B TW 106118983 A TW106118983 A TW 106118983A TW 106118983 A TW106118983 A TW 106118983A TW I638228 B TWI638228 B TW I638228B
- Authority
- TW
- Taiwan
- Prior art keywords
- radiation
- metrology
- detection system
- band
- wavelength
- Prior art date
Links
- 238000000034 method Methods 0.000 title description 82
- 238000004519 manufacturing process Methods 0.000 title description 42
- 230000005855 radiation Effects 0.000 claims abstract description 199
- 238000001514 detection method Methods 0.000 claims abstract description 120
- 238000001228 spectrum Methods 0.000 claims abstract description 105
- 230000003595 spectral effect Effects 0.000 claims abstract description 79
- 230000010287 polarization Effects 0.000 claims abstract description 17
- 238000005286 illumination Methods 0.000 claims description 27
- 230000001678 irradiating effect Effects 0.000 claims description 3
- 238000005259 measurement Methods 0.000 abstract description 71
- 230000003993 interaction Effects 0.000 abstract description 6
- 239000000758 substrate Substances 0.000 description 129
- 238000001459 lithography Methods 0.000 description 46
- 238000012545 processing Methods 0.000 description 27
- 239000010410 layer Substances 0.000 description 25
- 230000003287 optical effect Effects 0.000 description 25
- 239000000047 product Substances 0.000 description 24
- 230000000737 periodic effect Effects 0.000 description 23
- 239000000463 material Substances 0.000 description 18
- 238000004458 analytical method Methods 0.000 description 17
- 230000008569 process Effects 0.000 description 14
- 238000002310 reflectometry Methods 0.000 description 14
- 238000009304 pastoral farming Methods 0.000 description 13
- 238000004364 calculation method Methods 0.000 description 12
- 238000005516 engineering process Methods 0.000 description 10
- 238000005530 etching Methods 0.000 description 9
- 238000000059 patterning Methods 0.000 description 9
- 239000004065 semiconductor Substances 0.000 description 9
- 230000006870 function Effects 0.000 description 8
- 238000013461 design Methods 0.000 description 7
- 235000012431 wafers Nutrition 0.000 description 7
- 238000000576 coating method Methods 0.000 description 6
- 230000008859 change Effects 0.000 description 5
- 239000011248 coating agent Substances 0.000 description 5
- 238000007667 floating Methods 0.000 description 5
- 239000000203 mixture Substances 0.000 description 5
- 238000004611 spectroscopical analysis Methods 0.000 description 5
- 238000012549 training Methods 0.000 description 5
- 230000008901 benefit Effects 0.000 description 4
- 238000012937 correction Methods 0.000 description 4
- 238000009472 formulation Methods 0.000 description 4
- 238000003384 imaging method Methods 0.000 description 4
- 238000000137 annealing Methods 0.000 description 3
- 238000011161 development Methods 0.000 description 3
- 230000009977 dual effect Effects 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000010801 machine learning Methods 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- 101150068888 MET3 gene Proteins 0.000 description 2
- 101100022915 Neurospora crassa (strain ATCC 24698 / 74-OR23-1A / CBS 708.71 / DSM 1257 / FGSC 987) cys-11 gene Proteins 0.000 description 2
- 101100022918 Schizosaccharomyces pombe (strain 972 / ATCC 24843) sua1 gene Proteins 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 230000002950 deficient Effects 0.000 description 2
- 230000018109 developmental process Effects 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 238000004049 embossing Methods 0.000 description 2
- 239000010408 film Substances 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 238000001341 grazing-angle X-ray diffraction Methods 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 230000002829 reductive effect Effects 0.000 description 2
- 238000000985 reflectance spectrum Methods 0.000 description 2
- 238000004626 scanning electron microscopy Methods 0.000 description 2
- 230000035945 sensitivity Effects 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 238000004088 simulation Methods 0.000 description 2
- 238000000235 small-angle X-ray scattering Methods 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 108010001267 Protein Subunits Proteins 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- 238000000333 X-ray scattering Methods 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 230000002238 attenuated effect Effects 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 238000012993 chemical processing Methods 0.000 description 1
- 230000001427 coherent effect Effects 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000004320 controlled atmosphere Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000002050 diffraction method Methods 0.000 description 1
- 230000005670 electromagnetic radiation Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000000572 ellipsometry Methods 0.000 description 1
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 1
- 239000000835 fiber Substances 0.000 description 1
- 239000003574 free electron Substances 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 238000013178 mathematical model Methods 0.000 description 1
- 238000000691 measurement method Methods 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 230000000414 obstructive effect Effects 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 238000004806 packaging method and process Methods 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 238000000053 physical method Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000000513 principal component analysis Methods 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 230000003252 repetitive effect Effects 0.000 description 1
- 238000004904 shortening Methods 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 239000013077 target material Substances 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000013519 translation Methods 0.000 description 1
- 238000012795 verification Methods 0.000 description 1
- 238000005303 weighing Methods 0.000 description 1
Classifications
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N21/00—Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
- G01N21/84—Systems specially adapted for particular applications
- G01N21/88—Investigating the presence of flaws or contamination
- G01N21/95—Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
- G01N21/956—Inspecting patterns on the surface of objects
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70625—Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/0002—Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/0005—Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2002—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
- G03F7/2004—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2002—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
- G03F7/2008—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the reflectors, diffusers, light or heat filtering means or anti-reflective means used
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70633—Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F9/00—Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
- G03F9/70—Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
- G03F9/7003—Alignment type or strategy, e.g. leveling, global alignment
- G03F9/7019—Calibration
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N21/00—Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
- G01N21/84—Systems specially adapted for particular applications
- G01N21/88—Investigating the presence of flaws or contamination
- G01N21/95—Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
- G01N21/956—Inspecting patterns on the surface of objects
- G01N2021/95676—Masks, reticles, shadow masks
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Health & Medical Sciences (AREA)
- Life Sciences & Earth Sciences (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Biochemistry (AREA)
- General Health & Medical Sciences (AREA)
- Immunology (AREA)
- Pathology (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Length Measuring Devices By Optical Means (AREA)
- Investigating Or Analysing Materials By Optical Means (AREA)
Abstract
一種度量衡裝置,其使用在一EUV波帶中之輻射(304)。一第一偵測系統(333)包括一光譜光柵(312)及一偵測器(313)以用於捕捉在與一目標(T)相互作用之後的該EUV輻射之一光譜。該目標之屬性係藉由分析該光譜來量測。該輻射(304)進一步包括在諸如VUV、DUV、UV、可見光及IR之其他波帶中的輻射。一第二偵測系統(352、372、382)經配置以接收由該第一光譜光柵反射之輻射(350)之至少一部分且捕捉該等其他波帶中之一或多者中的一光譜(SA)。該第二波帶光譜可用以增強基於該EUV光譜之該量測之準確度,及/或其可用於一不同量測。代替光譜光柵或除了該等光譜光柵以外,亦可使用諸如偏振之其他類型之偵測。A metrology device that uses radiation (304) in an EUV band. A first detection system (333) includes a spectral grating (312) and a detector (313) for capturing a spectrum of the EUV radiation after interaction with a target (T). The properties of the target are measured by analyzing the spectrum. The radiation (304) further includes radiation in other wavelength bands such as VUV, DUV, UV, visible light, and IR. A second detection system (352, 372, 382) is configured to receive at least a portion of the radiation (350) reflected by the first spectral grating and capture a spectrum of one or more of the other wavelength bands ( SA). The second band spectrum can be used to enhance the accuracy of the measurement based on the EUV spectrum, and/or it can be used for a different measurement. Instead of or in addition to the spectral gratings, other types of detection such as polarization may also be used.
Description
本發明係關於用於可用於例如藉由微影技術進行器件製造之度量衡方法及裝置,且係關於使用微影技術來製造器件之方法。將量測臨界尺寸(線寬)之方法描述為此度量衡之特定應用。亦描述量測諸如疊對之不對稱性相關參數之方法。 The present invention relates to metrology methods and apparatus useful for device fabrication, such as by lithography, and to methods of fabricating devices using lithography. The method of measuring the critical dimension (linewidth) is described as a specific application for this weighting. Methods of measuring asymmetry related parameters such as stacking are also described.
微影裝置為將所要圖案施加至基板上(通常施加至基板之目標部分上)之機器。微影裝置可用於例如積體電路(IC)之製造中。在彼情況下,圖案化器件(其被替代地稱作光罩或倍縮光罩)可用以產生待形成於IC之個別層上之電路圖案。可將此圖案轉印至基板(例如,矽晶圓)上之目標部分(例如,包括晶粒之一部分、一個晶粒或若干晶粒)上。 A lithography apparatus is a machine that applies a desired pattern onto a substrate, typically applied to a target portion of the substrate. The lithography apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that case, a patterned device (which is alternatively referred to as a reticle or pleated reticle) can be used to create a circuit pattern to be formed on individual layers of the IC. This pattern can be transferred to a target portion (eg, including a portion of a die, a die, or a plurality of dies) on a substrate (eg, a germanium wafer).
在微影程序中,需要頻繁地對所產生之結構進行量測,例如,用於程序控制及驗證。用於進行此等量測之各種工具為吾人所知,包括常常用以量測臨界尺寸(CD)之掃描電子顯微鏡(SEM)。其他特殊化工具用以量測與不對稱性相關之參數。此等參數中之一者為疊對(器件中之兩個層之對準準確度)。近來,已開發供微影領域中使用的各種形式之散射計。此等器件將輻射光束導向至目標上且量測散射輻射之一或多個屬性-例如,依 據波長而變化的在單一反射角下之強度;依據反射角而變化的在一或多個波長下之強度;或依據反射角而變化的偏振-以獲得可供判定目標之所關注屬性之「光譜」。可藉由各種技術來執行所關注屬性之判定:例如,藉由諸如嚴密耦合波分析或有限元素方法之反覆途徑而進行的目標結構之重新建構;庫搜尋;及主成份分析。相比於SEM技術,可在大比例或甚至所有產品單元上以高得多的產出率使用光學散射計。 In lithography procedures, the resulting structure needs to be measured frequently, for example, for program control and verification. Various tools for performing such measurements are known, including scanning electron microscopy (SEM), which is often used to measure critical dimensions (CD). Other specialization tools are used to measure parameters related to asymmetry. One of these parameters is a stacking (alignment accuracy of the two layers in the device). Recently, various forms of scatterometers have been developed for use in the field of lithography. These devices direct the radiation beam onto the target and measure one or more properties of the scattered radiation - for example, The intensity at a single angle of reflection that varies according to the wavelength; the intensity at one or more wavelengths that varies according to the angle of reflection; or the polarization that varies according to the angle of reflection - to obtain the attribute of interest that can be used to determine the target spectrum". The determination of the attribute of interest can be performed by various techniques: for example, reconstruction of the target structure by a repetitive approach such as tightly coupled wave analysis or finite element methods; library search; and principal component analysis. Compared to SEM technology, optical scatterometers can be used at much higher yields on a large scale or even on all product units.
由習知散射計使用之目標相對較大光柵,例如,40微米乘40微米,且量測光束產生小於光柵之光點(亦即,光柵填充不足)。為了將目標之大小縮減例如至10微米乘10微米或更小,例如因此可將其定位於產品特徵當中而非切割道中,已提議所謂的「小目標」度量衡,其中使光柵小於量測光點(亦即,光柵填充過度)。此等目標可小於照明光點且可由晶圓上之產品結構環繞。通常小目標係用於可自光柵結構中之不對稱性之量測導出的疊對及其他效能參數之量測。藉由將目標置放於產品特徵當中(「晶粒內目標」),有望增加量測之準確度。預期例如改良之準確度,此係因為晶粒內目標以與產品特徵更相似之方式受程序變化影響,且可需要較小內插值以判定在實際特徵位點處之程序變化的效應。疊對目標之此等光學量測已在改良大量生產中之疊對效能方面極成功。出於此目的而已使用所謂的暗場成像。可在國際專利申請案US20100328655A1及US2011069292A1中找到暗場成像度量衡之實例,該等國際專利申請案之文件的全文特此以引用方式併入。已公開之專利公開案US20110027704A、US20110043791A、US2011102753A1、US20120044470A、US20120123581A、US20130258310A、US20130271740A及US2015138523中已描述該技術之進一步開發。亦已 實施用於焦點效能及劑量效能之相似的小目標技術。所有此等先前申請案之內容係以引用方式併入本文中。 A relatively large target used by conventional scatterometers, for example, 40 microns by 40 microns, and the measurement beam produces a spot that is smaller than the grating (i.e., insufficient grating fill). In order to reduce the size of the target, for example to 10 microns by 10 microns or less, for example so that it can be positioned in the product features rather than in the scribe line, a so-called "small target" metrology has been proposed in which the grating is made smaller than the spot. (ie, the grating is overfilled). These targets can be smaller than the illumination spot and can be surrounded by the product structure on the wafer. Typically small targets are used for the measurement of overlays and other performance parameters derived from the measurement of asymmetry in the grating structure. By placing the target in the product characteristics ("in-grain target"), it is expected to increase the accuracy of the measurement. For example, the accuracy of the improvement is expected because the intra-grain targets are affected by program variations in a manner more similar to product features, and smaller interpolated values may be required to determine the effects of program variations at actual feature sites. These optical measurements of the overlay target have been extremely successful in improving stacking performance in mass production. So-called dark field imaging has been used for this purpose. Examples of dark field imaging metrology are found in the International Patent Application No. US-A-200100, 328, 655 A1, the disclosure of which is incorporated herein by reference. Further developments of this technology have been described in the published patent publications US20110027704A, US20110043791A, US2011102753A1, US20120044470A, US20120123581A, US20130258310A, US20130271740A and US2015138523. Also A similar small target technique for focus performance and dose performance is implemented. The contents of all such prior applications are hereby incorporated by reference.
然而,隨著技術發展,效能規格變得愈來愈嚴厲。此外,小目標技術尚未被發展用於諸如線寬或臨界尺寸(CD)之其他參數的量測。當前方法之另一侷限性在於其在光學波長比實際產品特徵之典型尺寸大得多的情況下進行。所關注特定參數為線寬(CD)。CD度量衡遭受低準確度、所關注參數之間的串擾及亦在所關注參數與其他隱藏參數之間的串擾(程序穩固性)。隨著微觀結構收縮且在幾何形狀上變得愈來愈複雜(例如,變為3-D結構),CD度量衡之已知技術努力提供準確度、精確度及速度。另一所關注參數為疊對。 However, as technology evolves, performance specifications become more stringent. In addition, small target technologies have not been developed for measurement of other parameters such as line width or critical dimension (CD). Another limitation of the current method is that it is performed with optical wavelengths that are much larger than typical dimensions of actual product features. The specific parameter of interest is the line width (CD). CD weights and measures suffer from low accuracy, crosstalk between parameters of interest, and crosstalk (program robustness) between the parameters of interest and other hidden parameters. As microstructures shrink and become more complex in geometry (eg, become 3-D structures), known techniques of CD metrology strive to provide accuracy, precision, and speed. Another parameter of interest is a pair of pairs.
用於改良較小特徵之量測的有吸引力的選項應為使用波長短於用於習知散射計中之波長的輻射。可使用被稱為以下各者之波帶的波帶中之輻射:紫外線(UV)、深紫外線(DUV)輻射、真空UV(VUV)、極UV(EUV)、軟x射線(SXR)及x射線。此等波帶當然簡單地被命名為連續電磁光譜之區,而非具有任何硬實體界定之區。該等波帶可由不同工作者以不同方式定義,且可彼此重疊。 An attractive option for improving the measurement of smaller features would be to use radiation having a wavelength that is shorter than the wavelength used in conventional scatterometers. Radiation in a wave band called a wave band of the following: ultraviolet (UV), deep ultraviolet (DUV) radiation, vacuum UV (VUV), extreme ultraviolet (EUV), soft x-ray (SXR) and x can be used. Rays. These bands are of course simply named as areas of continuous electromagnetic spectroscopy rather than areas defined by any hard entities. The bands can be defined differently by different workers and can overlap each other.
已知在掠入射下使用X射線(GI-XRS)及極紫外線(EUV)輻射之反射量測術技術用於量測基板上之膜及層堆疊之屬性。在一般反射量測術領域內,可應用測角計及/或光譜技術。在測角術中,量測具有不同入射角之經反射光束之變化。另一方面,光譜反射量測術量測在給定角度下反射之波長之光譜(使用寬頻帶輻射)。舉例而言,EUV反射量測術已在製造用於EUV微影中之倍縮光罩(圖案化器件)之前用於光罩基底之檢測。舉例而言,S Danylyuk等人已在「Multi-angle spectroscopic EUV reflectometry for analysis of thin films and interfaces」(Phys.Status Solidi C 12,3,第318至322頁(2015年))中描述對此等技術之研究。然而,此等量測不同於週期性結構中之CD之量測。此外,特別鑒於所涉及之極淺掠入射角,此等已知技術中無一者適合於對諸如晶粒內光柵之小目標之度量衡。 Reflectance measurements using X-ray (GI-XRS) and extreme ultraviolet (EUV) radiation under grazing incidence are known for measuring the properties of the film and layer stack on the substrate. In the field of general reflectometry, goniometers and/or spectroscopy techniques can be applied. In goniometry, changes in the reflected beam with different angles of incidence are measured. Spectral reflectometry, on the other hand, measures the spectrum of the wavelength of reflection at a given angle (using broadband radiation). For example, EUV reflectometry has been used for the detection of reticle substrates prior to fabrication of reticle (patterned devices) for use in EUV lithography. For example, S Danylyuk et al. have been in the "Multi-angle spectroscopic EUV reflectometry" A study of these techniques is described in for analysis of thin films and interfaces" (Phys. Status Solidi C 12, 3, pp. 318-322 (2015)). However, such measurements are different from the measurement of CDs in a periodic structure. Moreover, none of these known techniques are suitable for metrology of small targets such as intra-grain gratings, particularly in view of the extremely shallow grazing incidence angles involved.
在本發明之優先權日期時未公佈的申請中之國際專利申請案PCT/EP2016/056254中,提議使用EUV輻射量測諸如目標結構之CD及疊對之屬性,該EUV輻射為在約1奈米至約100奈米之波長範圍內之輻射。使用在零及/或高繞射階下散射之輻射執行光譜反射量測術。相比於在T-SAXS或GI-SAXS方法中,使用比可在x射線波長下使用之掠入射角高的掠入射角達成較小光點大小。藉由使用EUV光學系統與基板之間的圓錐形安裝台進一步加強繞射信號。此允許相對於目標結構之週期性方向之非零入射方位角。先前申請案之內容特此以引用方式併入本發明中。 In the international patent application PCT/EP2016/056254, which is hereby incorporated by reference in its entirety, the disclosure of the disclosure of the disclosure of the disclosure of the disclosure of the disclosure of Radiation in the wavelength range from meters to about 100 nm. Spectral reflectometry is performed using radiation scattered at zero and/or high diffraction orders. Compared to the T-SAXS or GI-SAXS method, a smaller spot size is achieved using a grazing incidence angle that is higher than the grazing incidence angle that can be used at x-ray wavelengths. The diffracted signal is further enhanced by using a conical mounting between the EUV optical system and the substrate. This allows for a non-zero incident azimuth relative to the periodic direction of the target structure. The content of the prior application is hereby incorporated by reference.
使用在極紫外線(EUV)波帶中之輻射之此等技術提供針對小度量衡目標之CD、疊對及其他屬性之度量衡的特定優點。方便地,此等小度量衡目標可再次具有週期性結構之形式。相比於通常實踐之光學散射量測,EUV射線將不受到底層特徵強影響,且結果週期性結構自身之模型化可更準確。為了獲得用於CD度量衡之足夠資訊,可量測橫越EUV波長範圍之光譜屬性。另一方面,使用在不同波長範圍內之輻射及/或使用該輻射之不同屬性進行目標結構之量測可有益。舉例而言,當以重新建構或相似方法組合使用在不同波帶中之輻射進行的量測時,所關注屬性之所計算量測之準確度可得以改良。當使用在單一波帶中之輻射時,結構之不同材料屬性及/或幾何形狀之間的交叉相關性可導致所計算之所關注屬性之誤差及/或不確定度。在使用來自額外波帶之資訊的情況下,可解析此等誤差及不 確定度中之一些。當然,在不同波長範圍內進行之量測亦可獨立地用以量測兩個不同屬性。 These techniques of using radiation in extreme ultraviolet (EUV) bands provide specific advantages for the measurement of CDs, overlays, and other attributes of small metrology targets. Conveniently, these small metrology targets can again have the form of a periodic structure. Compared to the usual practice of optical scattering measurements, EUV rays will not be strongly affected by the underlying features, and as a result, the modeling of the periodic structure itself can be more accurate. To obtain enough information for CD weights and measures, the spectral properties across the EUV wavelength range can be measured. On the other hand, it may be beneficial to use radiation in different wavelength ranges and/or to measure the target structure using different properties of the radiation. For example, when measuring in combination with radiation in different bands in a reconstituted or similar manner, the accuracy of the calculated measurements of the attributes of interest can be improved. When using radiation in a single waveband, the cross-correlation between different material properties and/or geometries of the structure can result in errors and/or uncertainties in the calculated properties of interest. In the case of using information from additional bands, these errors can be resolved and not Determine some of the degrees. Of course, measurements made in different wavelength ranges can also be used independently to measure two different properties.
在所提及之國際專利申請案中,提議混合度量衡之形式,其中使用EUV輻射量測具有類產品結構之較大目標,而使用在較習知光學波帶中起作用之角度解析散射計量測較小晶粒內目標。對若干基板之EUV量測之結果用以校準大容量製造中之光學量測。在本發明之優先權日期時亦未公佈的歐洲專利申請案15202273.7中,基於EUV光譜反射量測術之度量衡裝置及在(例如)光學波帶中起作用之角度解析散射計經組合於單一裝置中。該歐洲專利申請案之內容係以引用方式併入本文中。在此等先前申請案中,儘管在不同波長範圍下進行量測所需之裝置容納在一起且共用某些共同基礎設施,但仍然使用同一裝置內之分離源及光學系統進行不同量測。 In the international patent application referred to, a form of mixed metrology is proposed in which EUV radiation is used to measure a larger target having a product-like structure, and an angular resolution scatterometry that works in a more conventional optical band is used. Measure small intragranular targets. The results of EUV measurements on several substrates are used to calibrate optical measurements in high volume manufacturing. In the European Patent Application No. 15202273.7, which is also not published in the priority date of the present invention, a weight measuring device based on EUV spectral reflectometry and an angle resolved scatterometer acting in, for example, an optical band are combined in a single device. in. The content of this European patent application is incorporated herein by reference. In these prior applications, although the devices required for measurement at different wavelength ranges were housed together and shared some common infrastructure, different measurements were made using separate sources and optical systems within the same device.
本發明旨在提供用於判定在半導體製造中或別處所發現之類型的微觀結構之屬性之度量衡的替代方法及裝置。 The present invention is directed to an alternative method and apparatus for determining the weight of the properties of a microstructure found in semiconductor manufacturing or elsewhere.
在一第一態樣中,本發明提供一種用於量測一結構之一屬性之度量衡裝置,該度量衡裝置包含:一照明系統,其用於運用輻射輻照該結構;一第一偵測系統,其包含一第一光譜光柵及一第一偵測器,該第一光譜光柵經配置以接收在與該結構相互作用之後的該輻射,該第一偵測器經配置以藉由接收由該第一光譜光柵繞射之一或多個高階輻射來偵測一第一波帶中之一光譜;一第二偵測系統,其經配置以接收由該第一光譜光柵反射之零階輻射之至少一部分且分析一或多個其他波帶中的該零階輻射。 In a first aspect, the present invention provides a metrology apparatus for measuring an attribute of a structure, the weighting apparatus comprising: an illumination system for irradiating the structure with radiation; a first detection system a first spectral grating and a first detector configured to receive the radiation after interacting with the structure, the first detector configured to receive by the The first spectral grating diffracts one or more higher order radiation to detect a spectrum in a first wavelength band; a second detection system configured to receive zero order radiation reflected by the first spectral grating The zero-order radiation in one or more of the other bands is analyzed at least in part.
本發明人已認識到,可藉由在無需分離照明及分離度量衡定時的情況下利用一EUV輻射源之寬頻帶性質來進行在一或多個額外波帶中之量測。詳言之,本發明人已認識到,用以分析該第一波帶中之輻射之該光譜的一光譜光柵可遞送含有在一或多個不同波帶中的關於該目標結構之另外資訊之輻射作為一副產物。舉例而言,一光譜光柵可用以獲得一EUV波帶中之輻射之一光譜。同時,來自該光柵之零階反射輻射可含有關於該結構及例如,VUV、DUV、EUV及可見光波帶之更長波長波帶之資訊。在使用寬頻帶源之實施例中,可同時地獲得用於不同波帶資訊之光譜資訊,而不添加量測時間。裝置成本之增加亦可極適度的。 The inventors have recognized that measurement in one or more additional bands can be performed by utilizing the broadband nature of an EUV radiation source without the need to separate illumination and separate the metrology timing. In particular, the inventors have recognized that a spectral grating for analyzing the spectrum of radiation in the first band can deliver additional information about the target structure in one or more different bands. Radiation as a by-product. For example, a spectral grating can be used to obtain a spectrum of radiation in an EUV band. At the same time, the zero-order reflected radiation from the grating may contain information about the structure and, for example, longer wavelength bands of VUV, DUV, EUV, and visible light bands. In embodiments using a wideband source, spectral information for different band information can be obtained simultaneously without adding measurement time. The increase in device cost can also be extremely modest.
對自1奈米至100奈米之波長範圍的參考不欲意謂該裝置或方法應使用橫越彼整個波範圍之波長,或甚至能夠進行此操作。一個別實施可選擇運用遍及該範圍之僅一子集之波長而起作用。適當範圍將取決於合適源之可用性,及待量測之結構之尺寸。 Reference to a wavelength range from 1 nm to 100 nm is not intended to mean that the device or method should use wavelengths across the entire wave range, or even enable this. An alternative implementation may optionally function with wavelengths that span only a subset of the range. The appropriate range will depend on the availability of the appropriate source and the size of the structure to be measured.
在一特定實施中,該度量衡系統包括一基板支撐件,該基板支撐件經調適以自一自動化晶圓處置器收納半導體晶圓(例如,300毫米晶圓)的。 In a particular implementation, the metrology system includes a substrate support that is adapted to receive a semiconductor wafer (eg, a 300 mm wafer) from an automated wafer handler.
在本發明之一第二態樣中,提供一種量測藉由一微影程序製造之一結構之一屬性之方法,該方法包含以下步驟:(a)運用包括一第一波帶中之輻射及一第二波帶中之輻射的輻射來輻照該結構;(b)將在與該結構相互作用之後的該輻射之至少一部分導向至一第一光譜光柵;(c)使用由該第一光譜光柵繞射之一或多個高階輻射來偵測一第一波 帶中之一光譜;(d)分析該第二波帶中之由該第一光譜光柵反射的零階輻射之至少一部分。 In a second aspect of the present invention, a method of measuring an attribute of a structure by a lithography process is provided, the method comprising the steps of: (a) applying radiation comprising a first band And radiating radiation in a second band to irradiate the structure; (b) directing at least a portion of the radiation after interaction with the structure to a first spectral grating; (c) using the first The spectral grating diffracts one or more high-order radiations to detect a first wave One of the bands; (d) analyzing at least a portion of the zero-order radiation reflected by the first spectral grating in the second band.
本發明進一步提供一種器件製造方法,其包含:使用一微影程序將一圖案自一圖案化器件轉印至一基板上,該圖案界定至少一個結構;量測該結構之一或多個屬性以判定用於該微影程序之一或多個參數之一值;及根據該經量測屬性在該微影程序之後續操作中應用一校正,其中量測該結構之該等屬性的該步驟包括根據如上文所闡述之本發明之該第一態樣使用該度量衡裝置來量測一屬性。 The present invention further provides a device manufacturing method comprising: transferring a pattern from a patterned device to a substrate using a lithography process, the pattern defining at least one structure; measuring one or more properties of the structure to Determining a value for one or more parameters of the lithography program; and applying a correction in subsequent operations of the lithography program based on the measured property, wherein the step of measuring the attributes of the structure includes The metrology device is used to measure an attribute in accordance with the first aspect of the invention as set forth above.
本發明進一步提供一種器件製造方法,其包含:使用一微影程序將一圖案自一圖案化器件轉印至一基板上,該圖案界定至少一個結構;量測該結構之一或多個屬性以判定用於該微影程序之一或多個參數之一值;及根據該經量測屬性在該微影程序之後續操作中應用一校正,其中量測該結構之該等屬性的該步驟包括根據如上文所闡述之本發明之該第二態樣的該方法來量測一屬性。 The present invention further provides a device manufacturing method comprising: transferring a pattern from a patterned device to a substrate using a lithography process, the pattern defining at least one structure; measuring one or more properties of the structure to Determining a value for one or more parameters of the lithography program; and applying a correction in subsequent operations of the lithography program based on the measured property, wherein the step of measuring the attributes of the structure includes An attribute is measured in accordance with the method of the second aspect of the invention as set forth above.
下文參考隨附圖式來詳細地描述本發明之其他特徵及優點,以及本發明之各種實施例之結構及操作。應注意,本發明不限於本文中所描述之特定實施例。本文中僅出於說明性目的而呈現此等實施例。基於本文中含有之教示,額外實施例對於熟習相關技術者將顯而易見。 Further features and advantages of the present invention, as well as the structure and operation of various embodiments of the present invention, are described in detail herein. It should be noted that the invention is not limited to the specific embodiments described herein. These embodiments are presented herein for illustrative purposes only. Additional embodiments will be apparent to those skilled in the art in view of the teachings herein.
200‧‧‧微影裝置LA/微影工具 200‧‧‧ lithography device LA / lithography tools
202‧‧‧量測站MEA 202‧‧‧Measuring station MEA
204‧‧‧曝光站EXP 204‧‧‧Exposure Station EXP
206‧‧‧微影裝置控制單元LACU 206‧‧‧ lithography device control unit LACU
208‧‧‧塗佈裝置 208‧‧‧ Coating device
210‧‧‧烘烤裝置 210‧‧‧ baking device
212‧‧‧顯影裝置 212‧‧‧Developing device
220‧‧‧經圖案化基板 220‧‧‧ patterned substrate
222‧‧‧處理裝置/蝕刻裝置 222‧‧‧Processing device/etching device
224‧‧‧處理裝置/退火裝置 224‧‧‧Processing device/annealing device
226‧‧‧處理裝置/步驟 226‧‧‧Processing device/step
230‧‧‧基板 230‧‧‧Substrate
232‧‧‧基板 232‧‧‧Substrate
234‧‧‧基板 234‧‧‧Substrate
240‧‧‧混合度量衡系統/度量衡裝置 240‧‧‧Mixed Weights and Measures System/Metrics
242‧‧‧度量衡結果/第一度量衡裝置/極紫外線(EUV)度量衡裝置 242‧‧‧Metrics and Weights / First Weights and Measures / Extreme Ultraviolet (EUV) Weights and Measures
244‧‧‧第二度量衡裝置 244‧‧‧Second weight measuring device
246‧‧‧度量衡處理系統 246‧‧‧ Weights and Measures Processing System
248‧‧‧第一光譜資料 248‧‧‧First Spectral Data
250‧‧‧第二光譜資料 250‧‧‧Second spectrum data
252‧‧‧量測 252‧‧‧Measure
300‧‧‧極紫外線(EUV)度量衡裝置 300‧‧‧Extreme ultraviolet (EUV) weighing and measuring device
302‧‧‧X-Y平面 302‧‧‧X-Y plane
304‧‧‧入射射線 304‧‧‧ incident ray
306‧‧‧偵測器/平面 306‧‧‧Detector/plane
308‧‧‧反射射線 308‧‧‧reflecting rays
310‧‧‧光譜 310‧‧‧Spectrum
312‧‧‧掠入射繞射光柵/第一光譜光柵 312‧‧‧ grazing incidence diffraction grating/first spectral grating
313‧‧‧偵測器 313‧‧‧Detector
314‧‧‧第二偵測器/參考光譜偵測器 314‧‧‧Second detector/reference spectrum detector
316‧‧‧源輻射 316‧‧‧ source radiation
318‧‧‧繞射光柵/光譜光柵 318‧‧‧Diffractive grating/spectral grating
320‧‧‧一階繞射射線/參考光譜 320‧‧‧first-order diffraction ray/reference spectrum
330‧‧‧第一輻射源 330‧‧‧First source of radiation
332‧‧‧照明系統/照明光學件 332‧‧‧Lighting system / lighting optics
333‧‧‧第一偵測系統 333‧‧‧First Detection System
334‧‧‧定位系統 334‧‧‧ Positioning System
336‧‧‧可移動支撐件 336‧‧‧ movable support
340‧‧‧處理器 340‧‧‧ processor
350‧‧‧零階輻射光束/零階反射輻射 350‧‧‧Zero-order radiation beam/zero-order reflected radiation
352‧‧‧第二偵測系統 352‧‧‧Second detection system
354‧‧‧第二光譜光柵 354‧‧‧Second Spectral Grating
356‧‧‧第二偵測器 356‧‧‧Second detector
358‧‧‧第二光譜 358‧‧‧Second spectrum
360‧‧‧波長選擇濾光器 360‧‧‧wavelength selection filter
362‧‧‧分析器 362‧‧‧Analyzer
370‧‧‧零階反射輻射 370‧‧‧zero-order reflected radiation
372‧‧‧第三偵測系統 372‧‧‧The third detection system
380‧‧‧零階反射輻射 380‧‧‧zero-order reflected radiation
382‧‧‧第四偵測系統 382‧‧‧Four detection system
500‧‧‧偏振分析器 500‧‧‧Polarization Analyzer
502‧‧‧偵測器 502‧‧‧Detector
504‧‧‧波長選擇性濾光器 504‧‧‧ Wavelength selective filter
616‧‧‧基板支撐件 616‧‧‧Substrate support
630‧‧‧泵雷射 630‧‧ ‧ pump laser
632‧‧‧高階諧波產生(HHG)氣胞 632‧‧‧High-order harmonic generation (HHG) air cells
634‧‧‧氣體供應件 634‧‧‧ gas supply parts
636‧‧‧電源 636‧‧‧Power supply
640‧‧‧第一輻射光束 640‧‧‧First radiation beam
642‧‧‧光束 642‧‧‧ Beam
654‧‧‧元件 654‧‧‧ components
656‧‧‧經聚焦光束 656‧‧‧ focused beam
900‧‧‧度量衡裝置 900‧‧‧Metrics and scales
902‧‧‧操作 902‧‧‧ operation
904‧‧‧第一腔室 904‧‧‧ first chamber
906‧‧‧第二腔室 906‧‧‧ second chamber
908‧‧‧第三腔室 908‧‧‧ third chamber
910‧‧‧第一窗口 910‧‧‧ first window
912‧‧‧第二窗口 912‧‧‧ second window
1300‧‧‧度量衡裝置 1300‧‧‧Metrics and scales
1304‧‧‧入射射線 1304‧‧‧ incident ray
1307‧‧‧傾斜平面 1307‧‧‧Sloping plane
1308‧‧‧反射射線 1308‧‧‧reflecting rays
1330‧‧‧輻射源 1330‧‧‧radiation source
1332‧‧‧照明系統 1332‧‧‧Lighting system
1333‧‧‧第一偵測系統 1333‧‧‧First detection system
1334‧‧‧定位系統 1334‧‧‧ Positioning system
1352‧‧‧第二偵測系統 1352‧‧‧Second detection system
D‧‧‧目標結構之週期性方向 D‧‧‧The periodic direction of the target structure
MA‧‧‧圖案化器件/倍縮光罩 MA‧‧‧patterned device / doubling mask
MET3‧‧‧度量衡裝置 MET3‧‧‧Metrics and Weights
N‧‧‧方向 N‧‧‧ direction
R‧‧‧配方資訊 R‧‧‧Formulation Information
S‧‧‧輻射光點 S‧‧‧radiation spot
S11‧‧‧步驟 S11‧‧ steps
S12‧‧‧步驟 Step S12‧‧‧
S13‧‧‧步驟 S13‧‧‧ steps
S14‧‧‧步驟 S14‧‧‧ steps
S15‧‧‧步驟 S15‧‧‧ steps
S16‧‧‧步驟 S16‧‧ steps
S17‧‧‧步驟 S17‧‧‧ steps
S18‧‧‧步驟 S18‧‧‧ steps
S19‧‧‧步驟 S19‧‧‧Steps
S20‧‧‧步驟 S20‧‧‧ steps
S21‧‧‧步驟 S21‧‧‧ steps
S22‧‧‧步驟 S22‧‧‧ steps
S23‧‧‧步驟 S23‧‧‧Steps
S24‧‧‧步驟 S24‧‧‧Steps
SA‧‧‧額外信號 SA‧‧‧ extra signal
SA2‧‧‧額外信號 SA2‧‧‧ extra signal
SA3‧‧‧額外信號 SA3‧‧‧ extra signal
SCS‧‧‧監督控制系統 SCS‧‧‧Supervisory Control System
SR‧‧‧參考光譜信號 SR‧‧‧ reference spectral signal
ST‧‧‧極紫外線(EUV)光譜信號 ST‧‧‧Ultraviolet (EUV) spectral signal
T‧‧‧度量衡目標/目標結構/光柵目標 T‧‧‧Metrics target/target structure/grating target
W‧‧‧基板/基板支撐件 W‧‧‧Substrate/substrate support
W'‧‧‧基板 W'‧‧‧ substrate
現在將參考隨附圖式作為實例來描述本發明之實施例,在該等圖式中:圖1描繪微影裝置連同其他裝置,其形成用於半導體器件之生產設施且包括根據本發明之實施例之度量衡裝置;圖2說明相對於用於在圖1之度量衡裝置之一項實施例中執行EUV光譜反射量測術的光柵目標及第一偵測系統之入射射線及反射射線之幾何形狀;圖3示意性地說明度量衡裝置之組件,其執行圖2之EUV度量衡方法且展示添加之第二偵測系統;圖4示意性地說明圖3之裝置之部分,其包括第二偵測系統之一個實例之更多細節且視情況包括第三及第四偵測系統;圖5示意性地說明圖3之裝置之部分,其包括第二偵測件之替代實例之更多細節;圖6示意性地說明圖3之度量衡裝置之一項實施例中的寬頻帶照明系統之組件;圖7說明容納圖3之裝置之組件且部分地形成於不同真空或接近真空及/或低壓環境中的原理;圖8說明在根據本發明之一實施例之度量衡方法中相對於光柵目標之入射射線及反射射線的幾何形狀,其中使用非零方位角;圖9示意性地說明執行圖8之方法的度量衡裝置之組件;圖10為說明根據本發明之實施例之度量衡方法的流程圖;及圖11為說明使用藉由圖1至圖10之方法及裝置進行的量測來控制度量衡方法及/或微影製造程序之效能之方法的流程圖。 Embodiments of the present invention will now be described with reference to the accompanying drawings, in which: FIG. 1 depicts a lithographic apparatus along with other apparatus that form a production facility for a semiconductor device and includes an implementation in accordance with the present invention Example of a metrology device; FIG. 2 illustrates the geometry of incident and reflected rays relative to a grating target and a first detection system for performing EUV spectral reflectometry in an embodiment of the metrology device of FIG. 1; 3 schematically illustrates components of a metrology apparatus that performs the EUV metrology method of FIG. 2 and shows an added second detection system; FIG. 4 schematically illustrates a portion of the apparatus of FIG. 3, including a second detection system More details of an example and optionally include third and fourth detection systems; FIG. 5 schematically illustrates a portion of the apparatus of FIG. 3, including more details of an alternative example of the second detection member; FIG. Illustratively illustrates components of a broadband illumination system in an embodiment of the metrology apparatus of FIG. 3; FIG. 7 illustrates components that house the apparatus of FIG. 3 and are partially formed at different vacuums or near vacuum and/or low Principles in the Environment; FIG. 8 illustrates the geometry of incident and reflected rays relative to a grating target in a metrology method in accordance with an embodiment of the present invention, wherein a non-zero azimuth is used; FIG. 9 schematically illustrates the execution of FIG. FIG. 10 is a flow chart illustrating a method for measuring weights according to an embodiment of the present invention; and FIG. 11 is a diagram illustrating a method for controlling weights and measures using measurements performed by the method and apparatus of FIGS. 1 through 10. And/or a flow chart of the method of lithography manufacturing process performance.
在詳細地描述本發明之實施例之前,有指導性的是呈現可供實施本發明之實施例之實例環境。 Before describing the embodiments of the present invention in detail, it is intended to present an example environment in which embodiments of the invention may be practiced.
圖1在200處將微影裝置LA展示為實施大容量微影製造程序之工業設施之部件。在本實例中,製造程序經調適以用於在諸如半導體晶圓之基板上之半導體產品(積體電路)之製造。熟習此項技術者將瞭解,可藉由以此程序之變體處理不同類型之基板來製造廣泛多種產品。半導體產品之生產純粹用作現今具有大商業意義之實例。 Figure 1 shows the lithography apparatus LA as part of an industrial facility implementing a high volume lithography manufacturing process at 200. In this example, the fabrication process is adapted for use in the fabrication of semiconductor products (integrated circuits) on substrates such as semiconductor wafers. Those skilled in the art will appreciate that a wide variety of products can be manufactured by processing different types of substrates with variations of this procedure. The production of semiconductor products is purely used as an example of today's commercial significance.
在微影裝置(或簡言之,「微影工具(litho tool)200)內,在202處展示量測站MEA且在204處展示曝光站EXP。在206處展示控制單元LACU。在此實例中,每一基板造訪量測站及曝光站以被施加圖案。舉例而言,在光學微影裝置中,投影系統係用以使用經調節輻射及投影系統將產品圖案自圖案化器件MA轉印至基板上。此轉印係藉由在輻射敏感抗蝕劑材料層中形成圖案影像來進行。 Within the lithography apparatus (or in short, "litho tool 200"), the metrology station MEA is shown at 202 and the exposure station EXP is shown at 204. The control unit LACU is shown at 206. In this example Each substrate accesses the measurement station and the exposure station to be applied with a pattern. For example, in an optical lithography apparatus, the projection system is used to transfer a product pattern from a patterned device MA using an adjusted radiation and projection system. To the substrate, the transfer is performed by forming a pattern image in the layer of radiation-sensitive resist material.
本文中所使用之術語「投影系統」應被廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的任何類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。圖案化器件MA可為將圖案賦予至由圖案化器件透射或反射之輻射光束的光罩或倍縮光罩。熟知操作模式包括步進模式及掃描模式。眾所周知,投影系統可以多種方式與用於基板及圖案化器件之支撐件及定位系統合作,以將所要圖案施加至橫越基板之許多目標部分。可使用可程式化圖案化器件來代替具有固定圖案之倍縮光罩。輻射例如可包括深紫外線(DUV)或極紫外線(EUV)波帶中之電磁輻射。本發明亦適用於其 他類型之微影程序,例如,壓印微影及直寫微影,例如,藉由電子束。 The term "projection system" as used herein shall be interpreted broadly to encompass any type of projection system suitable for the exposure radiation used or for other factors such as the use of a immersion liquid or the use of a vacuum, including refraction, Reflective, catadioptric, magnetic, electromagnetic, and electrostatic optical systems, or any combination thereof. The patterned device MA can be a reticle or pleated reticle that imparts a pattern to a radiation beam that is transmitted or reflected by the patterned device. Well-known operating modes include step mode and scan mode. As is known, projection systems can cooperate with supports and positioning systems for substrates and patterned devices in a variety of ways to apply desired patterns across a plurality of target portions of the substrate. Instead of a reticle with a fixed pattern, a programmable patterning device can be used. The radiation may, for example, include electromagnetic radiation in deep ultraviolet (DUV) or extreme ultraviolet (EUV) bands. The invention is also applicable to His type of lithography program, for example, embossing lithography and direct lithography, for example, by electron beam.
微影裝置控制單元LACU控制各種致動器及感測器之所有移動及量測以收納基板W及倍縮光罩MA且實施圖案化操作。LACU亦包括用以實施與裝置之操作相關之所要計算的信號處理及資料處理能力。實務上,控制單元LACU將被實現為許多子單元之系統,每一子單元處置裝置內之一子系統或組件之即時資料獲取、處理及控制。 The lithography apparatus control unit LACU controls all movements and measurements of various actuators and sensors to accommodate the substrate W and the reticle MA and perform a patterning operation. The LACU also includes signal processing and data processing capabilities to perform the calculations associated with the operation of the device. In practice, the control unit LACU will be implemented as a system of many sub-units, each sub-unit handling real-time data acquisition, processing and control of one of the subsystems or components within the device.
在曝光站EXP處將圖案施加至基板之前,在量測站MEA處處理基板,使得可進行各種預備步驟。該等預備步驟可包括使用位階感測器來映射基板之表面高度,及使用對準感測器來量測基板上之對準標記之位置。對準標記係以規則柵格圖案標稱地配置。然而,歸因於產生標記之不準確度且亦歸因於基板之貫穿其處理而發生的變形,標記偏離理想柵格。因此,除了量測基板之位置及定向以外,對準感測器實務上亦必須詳細地量測橫越基板區域之許多標記之位置(在裝置將以極高準確度印刷正確部位處之產品特徵的情況下)。裝置可屬於具有兩個基板台之所謂的雙載物台類型,每一基板台具有受到控制單元LACU控制之一定位系統。當在曝光站EXP處曝光一個基板台上之一個基板時,可在量測站MEA處將另一基板裝載至另一基板台上,使得可進行各種預備步驟。因此,對準標記之量測極耗時,且提供兩個基板台會實現裝置之產出率的相當大增加。若在基板台處於量測站處以及處於曝光站處時位置感測器IF不能夠量測基板台之位置,則可提供第二位置感測器以使得能夠在兩個站處追蹤基板台之位置。微影裝置LA可例如屬於所謂的雙載物台類型,其具有兩個基板台WTa及WTb以及兩個站-曝光站及量測站-在該兩個站之間可交換基板台。 Prior to applying the pattern to the substrate at the exposure station EXP, the substrate is processed at the metrology station MEA so that various preliminary steps can be performed. The preliminary steps can include using a level sensor to map the surface height of the substrate and an alignment sensor to measure the position of the alignment marks on the substrate. The alignment marks are nominally configured in a regular grid pattern. However, the mark deviates from the ideal grid due to the inaccuracy of the mark and also due to the deformation of the substrate through its processing. Therefore, in addition to measuring the position and orientation of the substrate, the alignment sensor must also measure the position of many marks across the substrate area in detail (the product features at the correct position where the device will print with extremely high accuracy) in the case of). The device may be of the so-called dual stage type with two substrate stages, each substrate stage having a positioning system controlled by the control unit LACU. When one substrate on one substrate stage is exposed at the exposure station EXP, another substrate can be loaded onto another substrate stage at the measurement station MEA, so that various preliminary steps can be performed. Therefore, the measurement of the alignment marks is extremely time consuming, and providing two substrate stages results in a considerable increase in the yield of the device. If the position sensor IF is unable to measure the position of the substrate table while the substrate stage is at the measurement station and at the exposure station, a second position sensor can be provided to enable tracking of the substrate table at both stations position. The lithography apparatus LA can, for example, belong to the so-called dual stage type, which has two substrate stages WTa and WTb and two station-exposure stations and measuring stations - between which the substrate table can be exchanged.
在生產設施內,裝置200形成「微影製造單元」或「微影叢集」之部 分,該「微影製造單元」或「微影叢集」亦含有塗佈裝置208以用於將感光性抗蝕劑及其他塗層施加至基板W以用於由裝置200進行圖案化。在裝置200之輸出側處,提供烘烤裝置210及顯影裝置212以用於將經曝光圖案顯影成實體抗蝕劑圖案。在所有此等裝置之間,基板處置系統負責支撐基板且將基板自一件裝置轉移至下一裝置。常常被集體地稱作「塗佈顯影系統」之此等裝置係在塗佈顯影系統控制單元之控制下,塗佈顯影系統控制單元自身受到監督控制系統SCS控制,監督控制系統SCS亦經由微影裝置控制單元LACU而控制微影裝置。因此,不同裝置可經操作以最大化產出率及處理效率。監督控制系統SCS接收配方資訊R,配方資訊R極詳細地提供待執行以產生每一經圖案化基板之步驟的定義。 In the production facility, the device 200 forms a "micro-shadow manufacturing unit" or a "micro-shadow cluster" The "lithographic fabrication unit" or "micro-shadow cluster" also includes a coating device 208 for applying a photosensitive resist and other coatings to the substrate W for patterning by the device 200. At the output side of the device 200, a bake device 210 and a developing device 212 are provided for developing the exposed pattern into a solid resist pattern. Between all such devices, the substrate handling system is responsible for supporting the substrate and transferring the substrate from one piece to the next. These devices, which are often collectively referred to as "coating and developing systems", are under the control of the coating and developing system control unit. The coating and developing system control unit itself is controlled by the supervisory control system SCS, and the supervisory control system SCS is also via lithography. The device control unit LACU controls the lithography device. Thus, different devices can be operated to maximize yield and processing efficiency. The supervisory control system SCS receives the recipe information R, which provides a detailed definition of the steps to be performed to produce each patterned substrate.
一旦已在微影製造單元中施加並顯影圖案,即將經圖案化基板220轉印至諸如在222、224、226處說明之其他處理裝置。廣泛範圍之處理步驟係由典型製造設施中之各種裝置來實施。出於實例起見,此實施例中之裝置222為蝕刻站,且裝置224執行蝕刻後退火步驟。將另外物理及/或化學處理步驟應用於另外裝置226等等。可需要眾多類型之操作以製造實際器件,諸如材料沈積、表面材料特性改質(氧化、摻雜、離子植入等等)、化學機械拋光(CMP)等等。實務上,裝置226可表示在一或多個裝置中執行之一系列不同處理步驟。 Once the pattern has been applied and developed in the lithography fabrication unit, the patterned substrate 220 is transferred to other processing devices such as those illustrated at 222, 224, 226. A wide range of processing steps are performed by various devices in a typical manufacturing facility. For the sake of example, device 222 in this embodiment is an etching station and device 224 performs a post-etch annealing step. Additional physical and/or chemical processing steps are applied to the additional device 226 and the like. Numerous types of operations may be required to fabricate actual devices, such as material deposition, surface material property modification (oxidation, doping, ion implantation, etc.), chemical mechanical polishing (CMP), and the like. In practice, device 226 can represent a series of different processing steps performed in one or more devices.
眾所周知,半導體器件之製造涉及此處理之許多重複,以在基板上逐層地建置具有適當材料及圖案之器件結構。因此,到達微影叢集之基板230可為新近製備之基板,或其可為先前已在此叢集中或在另一裝置中完全地被處理之基板。相似地,取決於所需處理,離開裝置226上之基板232可經返回以用於同一微影叢集中之後續圖案化操作,其可經指定以用 於不同叢集中之圖案化操作,或其可為待發送以用於切塊及封裝之成品。 It is well known that the fabrication of semiconductor devices involves many iterations of this process to build device structures with appropriate materials and patterns layer by layer on the substrate. Thus, the substrate 230 that reaches the lithography cluster can be a newly prepared substrate, or it can be a substrate that has been previously processed in this cluster or completely in another device. Similarly, depending on the desired processing, the substrate 232 on the exit device 226 can be returned for subsequent patterning operations in the same lithography cluster, which can be designated for use. Patterning operations in different clusters, or they may be finished products to be sent for dicing and packaging.
產品結構之每一層需要程序步驟之不同集合,且用於每一層處之裝置226可在類型方面完全不同。另外,即使在待由裝置226應用之處理步驟標稱地相同的情況下,在大設施中亦可存在並行地工作以對不同基板執行步驟226之若干假設相同機器。此等機器之間的設置之小差異或缺陷可意謂其以不同方式影響不同基板。即使對於每一層相對而言為共同的步驟,諸如蝕刻(裝置222)亦可由標稱地相同但並行地工作以最大化產出率之若干蝕刻裝置來實施。此外,實務上,不同層根據待蝕刻之材料的細節需要不同蝕刻程序,例如,化學蝕刻、電漿蝕刻,且需要特殊要求,諸如,各向異性蝕刻。 Each layer of the product structure requires a different set of program steps, and the means 226 for each layer can be completely different in type. Additionally, even where the processing steps to be applied by device 226 are nominally the same, there may be several machines in parallel that operate in parallel to perform steps 226 on different substrates. Small differences or defects in the settings between such machines may mean that they affect different substrates in different ways. Even though the steps are relatively common for each layer, such as etching (device 222) may be performed by several etching devices that are nominally identical but operate in parallel to maximize yield. Moreover, in practice, the different layers require different etching procedures depending on the details of the material to be etched, for example, chemical etching, plasma etching, and require special requirements such as anisotropic etching.
可在如剛才所提及之其他微影裝置中執行先前及/或後續程序,且可甚至在不同類型之微影裝置中執行先前及/或後續程序。舉例而言,器件製造程序中之在諸如解析度及疊對之參數上要求極高的一些層相比於要求較不高之其他層可在更進階微影工具中來執行。因此,一些層可曝光於浸潤型微影工具中,而其他層曝光於「乾式」工具中。一些層可曝光於在DUV波長下工作之工具中,而其他層係使用EUV波長輻射來曝光。 Previous and/or subsequent procedures may be performed in other lithography apparatus as just mentioned, and previous and/or subsequent procedures may be performed even in different types of lithography apparatus. For example, some of the layers in the device fabrication process that are extremely demanding on parameters such as resolution and overlays can be performed in more advanced lithography tools than other layers that are less demanding. Therefore, some layers can be exposed to the infiltrated lithography tool while the other layers are exposed to the "dry" tool. Some layers can be exposed to tools that operate at DUV wavelengths, while others are exposed using EUV wavelength radiation.
為了正確且一致地曝光由微影裝置曝光之基板,需要檢測經曝光基板以量測諸如後續層之間的疊對誤差、線厚度、臨界尺寸(CD)等等之屬性。因此,經定位有微影製造單元LC之製造設施亦包括收納已在微影製造單元中被處理之基板W中之一些或全部的度量衡系統240。將度量衡結果直接地或間接地提供至監督控制系統SCS。若偵測到誤差,則可對後續基板之曝光進行調整,尤其是在可足夠迅速地且快速地進行度量衡以使得同一批次之其他基板仍待曝光的情況下。又,已經曝光之基板可被剝離及 重工以改良良率或被捨棄,藉此避免對已知有缺陷之基板執行進一步處理。在基板之僅一些目標部分有缺陷的狀況下,可僅對良好的彼等目標部分執行另外曝光。 In order to properly and consistently expose the substrate exposed by the lithography apparatus, it is necessary to detect the exposed substrate to measure properties such as overlay error, line thickness, critical dimension (CD), and the like between subsequent layers. Accordingly, the manufacturing facility positioned with the lithography fabrication unit LC also includes a metrology system 240 that houses some or all of the substrates W that have been processed in the lithographic fabrication unit. The metrology results are provided directly or indirectly to the supervisory control system SCS. If an error is detected, the exposure of the subsequent substrate can be adjusted, especially if the weights can be measured quickly and quickly enough to allow other substrates of the same batch to remain exposed. Moreover, the exposed substrate can be peeled off and Rework is done to improve yield or be discarded, thereby avoiding further processing of known defective substrates. In the case where only some of the target portions of the substrate are defective, additional exposure may be performed only for good target portions.
在使用度量衡系統240的情況下,可判定例如:諸如疊對或臨界尺寸(CD)之重要效能參數不滿足經顯影抗蝕劑中之指定準確度要求。在蝕刻步驟之前,存在經由微影叢集剝離經顯影抗蝕劑且重新處理基板220的機會。亦眾所周知,藉由監督控制系統SCS及/或控制單元LACU 206隨著時間推移進行小調整,可使用來自裝置240之度量衡結果242以維持微影叢集中之圖案化操作之準確效能,藉此最小化製得不合格產品且需要重工之風險。當然,可應用混合度量衡系統240及/或其他度量衡裝置(圖中未繪示)以量測經處理基板232、234及傳入基板230之屬性。 Where metrology system 240 is used, it can be determined, for example, that important performance parameters such as stacking or critical dimension (CD) do not meet the specified accuracy requirements in the developed resist. Prior to the etching step, there is an opportunity to strip the developed resist via the lithography cluster and reprocess the substrate 220. It is also known that by making small adjustments over time by the supervisory control system SCS and/or the control unit LACU 206, the metrology results 242 from the device 240 can be used to maintain the accurate performance of the patterning operation of the lithography cluster, thereby minimizing The risk of producing unqualified products and requiring heavy work. Of course, the hybrid metrology system 240 and/or other metrology devices (not shown) may be utilized to measure the properties of the processed substrates 232, 234 and the incoming substrate 230.
每一代微影製造技術(通常被稱作技術「節點」)具有對諸如CD之效能參數之較嚴厲規範。度量衡中之主要挑戰中之一者為度量衡目標大小亦要求小於通常與度量衡裝置240一起使用之目標。舉例而言,目前目標應為使用具有5微米×5微米之大小或更小的目標。此等小大小將准許更廣泛使用所謂的「晶粒內」或「產品上」度量衡,其中目標位於產品特徵當中(代替被限制於產品區域之間的切割道區域中)。 Each generation of lithography manufacturing technology (often referred to as a "node" of technology) has a more stringent specification of performance parameters such as CD. One of the major challenges in metrology is that the metrology target size also requires less than the target typically used with the metrology device 240. For example, the current goal should be to use targets having a size of 5 microns x 5 microns or less. These small sizes will allow for the wider use of so-called "in-grain" or "on-product" metrology, where the target is located in the product features (instead of being confined to the area of the kerf between product areas).
在度量衡系統240內,提供第一度量衡裝置242(MET1)及第二度量衡裝置244(MET2)且視情況提供另外裝置(MET3等等)以用於對在製造程序中之所要階段處的產品之參數進行量測。現代微影生產設施中之度量衡裝置之常見實例為散射計,例如,角度解析散射計或光譜散射計,且其可經應用以在裝置222中之蝕刻之前量測在220處之經顯影基板之屬性。藉由提供多個度量衡裝置242、244等等,可執行多個類型之量測以獲得對 給定目標結構之一或若干所關注參數的較佳總量測,或當然獲得不同所關注參數之分離量測。度量衡裝置242、244等等可被提供為供裝載及卸載基板及替代地針對每一類型之量測卸載基板的分離單元,此等度量衡裝置中之兩者或多於兩者可整合成一混合度量衡裝置,使得其可對共同基板起作用。以上所提及之全文以引用方式併入本文中之歐洲專利申請案15202273.7中揭示此混合度量衡裝置之實例。 Within the metrology system 240, a first metrology device 242 (MET1) and a second metrology device 244 (MET2) are provided and additional devices (MET3, etc.) are provided as appropriate for the product at the desired stage in the manufacturing process The parameters are measured. A common example of a metrology device in a modern lithography production facility is a scatterometer, such as an angular resolution scatterometer or a spectral scatterometer, and which can be applied to measure the developed substrate at 220 prior to etching in device 222. Attributes. By providing a plurality of metrology devices 242, 244, etc., multiple types of measurements can be performed to obtain a pair A preferred total measurement of one or several of the parameters of interest is given for a given target structure, or of course separation measurements of different parameters of interest are obtained. The metrology devices 242, 244, etc. can be provided as separate units for loading and unloading substrates and alternatively for each type of measurement unloading substrate, two or more of which can be integrated into a hybrid metrology The device is such that it can act on a common substrate. An example of such a hybrid metrology apparatus is disclosed in European Patent Application No. 15202273.7, which is incorporated herein by reference in its entirety.
度量衡裝置242、244中之每一者可具有用於具有特定特性之輻射的一特定照明系統。下文將給出可經組合的裝置之類型之更詳細的實例。在每一狀況下,度量衡處理系統246自第一度量衡裝置242內之第一偵測系統接收第一光譜資料248且自第二度量衡裝置244內之第二偵測系統接收第二光譜資料250。度量衡處理系統246以混合計算組合此等光譜以獲得經報告給監督控制系統SCS之CD或其他參數之量測252。在一些實施例中,度量衡處理系統246亦控制度量衡裝置242、244中之一或多者之操作以基於自度量衡裝置中之另一者接收之光譜資料變化其操作之參數。 Each of the metrology devices 242, 244 can have a particular illumination system for radiation having particular characteristics. A more detailed example of the types of devices that can be combined is given below. In each case, the metrology processing system 246 receives the first spectral data 248 from the first detection system within the first metrology device 242 and the second spectral data 250 from the second detection system within the second metrology device 244. The metrology processing system 246 combines the spectra in a hybrid calculation to obtain a measurement 252 of the CD or other parameters reported to the supervisory control system SCS. In some embodiments, the metrology processing system 246 also controls the operation of one or more of the metrology devices 242, 244 to vary the parameters of its operation based on spectral data received from the other of the metrology devices.
度量衡裝置中之一者,例如第二度量衡裝置244,可經設計為運用處於可見光或UV波長之輻射操作,而裝置中之另一者,例如第一度量衡裝置242,可經設計為運用EUV輻射操作。在其他實施例中,第一及第二度量衡裝置兩者可經設計為運用具有相同或不同波長之EUV輻射操作。裝置中之一者可經設計為運用掠入射操作,而另一者經設計為運用正入射或近正入射操作。裝置中之一者可經設計為獲得由目標結構散射之輻射之頻率解析光譜,而裝置中之另一者經設計為獲得角度解析光譜。度量衡裝置中之一者,例如第二度量衡裝置244,可為角度解析散射計、光譜散射計、光譜橢偏儀及/或光譜米勒(Mueller)橢偏儀。此等及其他變體可用於混合 系統中以獲得關於結構之較多資訊,且因此給出所關注參數之較準確量測。三個或多於三個度量衡裝置可設置於度量衡系統240內,且此處僅出於方便起見而標註第一及第二度量衡裝置。此等額外度量衡裝置可皆一起使用以進行一個量測,或其可用於不同子組合中。在以上所提及之混合度量衡系統之實例中,一些共同硬體可用以實施此等類型之度量衡裝置中之多於一者。 One of the metrology devices, such as the second metrology device 244, can be designed to operate with radiation at visible or UV wavelengths, while the other of the devices, such as the first metrology device 242, can be designed to utilize EUV radiation. operating. In other embodiments, both the first and second metrology devices can be designed to operate with EUV radiation having the same or different wavelengths. One of the devices can be designed to operate with a grazing incidence while the other is designed to operate with a normal incidence or near normal incidence. One of the devices can be designed to obtain a frequency resolved spectrum of the radiation scattered by the target structure, while the other of the devices is designed to obtain an angular resolution spectrum. One of the metrology devices, such as the second metrology device 244, can be an angular resolution scatterometer, a spectral scatterometer, a spectroscopic ellipsometer, and/or a spectral Mueller ellipsometer. These and other variants can be used for mixing The system obtains more information about the structure and thus gives a more accurate measure of the parameter of interest. Three or more than three metrology devices can be disposed within the metrology system 240, and the first and second metrology devices are labeled herein for convenience only. These additional metrology devices can all be used together to make a measurement, or they can be used in different sub-combinations. In the example of the hybrid metrology system mentioned above, some common hardware may be used to implement more than one of these types of metrology devices.
在根據本發明之混合度量衡系統240之實施例中,提議在度量衡裝置中之至少一者中使用用於度量衡之EUV波長。在一些實施例中,EUV反射量測術(特別為光譜EUV反射量測術)係用作用於未來技術節點之CD度量衡解決方案之部分。在以上所提及之申請中之國際專利申請案PCT/EP2016/056254中,示範EUV反射量測術提供高敏感度之益處,該高敏感度相對於程序變化穩固且對於所關注參數係選擇性的。 In an embodiment of the hybrid metrology system 240 in accordance with the present invention, it is proposed to use EUV wavelengths for metrology in at least one of the metrology devices. In some embodiments, EUV reflectometry (particularly for spectral EUV reflectometry) is used as part of the CD metrology solution for future technology nodes. In the above-referenced International Patent Application No. PCT/EP2016/056254, the exemplary EUV reflectometry provides a high sensitivity benefit that is robust to program changes and selective for the parameter of interest. of.
類似於用於當今生產設施中之光學散射計,EUV度量衡裝置可用以量測在微影製造單元內處理之抗蝕劑材料內之結構(被稱為「顯影後檢測」或ADI),及/或在結構已以較硬材料形成之後量測該等結構(被稱為「蝕刻後檢測」或AEI)。舉例而言,可在已藉由顯影裝置212、蝕刻裝置222、退火裝置224及/或其他裝置226處理基板之後使用EUV度量衡裝置242來檢測該等基板。相比之下,X射線技術通常將限於AEI且不能用以量測僅形成於抗蝕劑中之結構。此情形限定在基板檢測不合格的情況下重工基板之可能性。 Similar to optical scatterometers used in today's production facilities, EUV metrology devices can be used to measure structures within resist materials processed in lithographic fabrication units (referred to as "post-development detection" or ADI), and / Or to measure the structure after it has been formed of a harder material (referred to as "post-etch detection" or AEI). For example, the EUV metrology device 242 can be used to detect the substrates after they have been processed by the developing device 212, the etching device 222, the annealing device 224, and/or other devices 226. In contrast, X-ray technology will generally be limited to AEI and cannot be used to measure structures that are only formed in the resist. This situation limits the possibility of reworking the substrate in the event that the substrate is rejected.
圖2說明EUV度量衡方法,而圖3說明EUV度量衡裝置300。該裝置可用作用於量測在圖1之生產設施中處理之基板W之參數的第一度量衡裝 置242或第二度量衡裝置244之實例。 FIG. 2 illustrates an EUV metrology method, while FIG. 3 illustrates an EUV metrology apparatus 300. The apparatus can be used as a first metric for measuring the parameters of the substrate W processed in the production facility of FIG. An example of setting 242 or second metrology device 244.
在圖2中,將目標T示意性地表示為包含球形參考座標系之原點處之一維光柵結構。相對於目標定義軸X、Y及Z。(當然,原則上可定義任何任意座標系統,且每一組件可具有其自有的可相對於所展示之參考座標系而定義的局域參考座標系)。將目標結構之週期性方向D與X軸對準。此一維光柵結構採取光柵中之一系列週期性線之形狀,但僅為許多可能目標結構的一個實例。其他實例包括呈2-D陣列之特徵,類似於器件圖案中之接觸孔或導柱。在其他狀況下,目標結構可為在X或Y上無變化的多層結構。 In Fig. 2, the target T is schematically represented as a one-dimensional grating structure at the origin including the spherical reference coordinate system. The axes X, Y, and Z are defined relative to the target. (Of course, any arbitrary coordinate system can be defined in principle, and each component can have its own local reference coordinate system that can be defined relative to the displayed reference coordinate system). The periodic direction D of the target structure is aligned with the X axis. This one-dimensional grating structure takes the shape of a series of periodic lines in the grating, but is only one example of many possible target structures. Other examples include features in a 2-D array, similar to contact holes or pillars in device patterns. In other cases, the target structure can be a multi-layer structure that has no change in X or Y.
該圖式並非真實透視圖,而僅為示意性說明。X-Y平面為目標及基板之平面,且為了清楚起見被展示為朝向檢視者傾斜,其由圓圈302之傾斜視圖表示。Z方向界定垂直於基板之方向N。在圖2中,入射射線中之一者被標註為304且具有掠入射角α。在此實例中,入射射線304(及形成輻射光點S之所有入射射線)實質上處於平行於X-Z平面之平面中,該平面為由方向D及N界定且由圓圈306表示之平面。並未由目標T之週期性結構散射之反射射線308在圖解中朝向目標之右側以仰角α出射。 This illustration is not a true perspective view but is merely illustrative. The X-Y plane is the plane of the target and the substrate, and is shown tilted toward the viewer for clarity, which is represented by an oblique view of the circle 302. The Z direction defines a direction N perpendicular to the substrate. In Figure 2, one of the incident rays is labeled 304 and has a grazing incidence angle a. In this example, incident ray 304 (and all incident rays that form radiation spot S) are substantially in a plane parallel to the X-Z plane, which is a plane defined by directions D and N and represented by circle 306. The reflected ray 308, which is not scattered by the periodic structure of the target T, is emitted in the illustration toward the right side of the target at an elevation angle a.
為了執行光譜反射量測術,使射線308及其他反射射線分解成包含不同波長之射線的光譜310。舉例而言,可使用掠入射繞射光柵312來產生光譜。光譜310包含由光柵312繞射之一或多個高階輻射且由偵測器313偵測。可例如為具有像素陣列之CCD影像偵測器的此偵測器係用以將光譜變換成電信號且最終變換成數位資料以供分析。 To perform spectral reflectometry, the ray 308 and other reflected rays are resolved into a spectrum 310 comprising rays of different wavelengths. For example, grazing incidence diffraction grating 312 can be used to generate the spectrum. The spectrum 310 includes one or more higher order radiations that are diffracted by the grating 312 and detected by the detector 313. The detector, which may be, for example, a CCD image detector with a pixel array, is used to transform the spectrum into electrical signals and ultimately into digital data for analysis.
在實務系統中,照明輻射(亦即,包括入射射線304之輻射)之光譜可經受時間變化,此將干擾後續分析。為了正規化相對於此等變化之所偵測 到之光譜,使一參考光譜由第二偵測器314捕捉。為了產生參考光譜,使源輻射316由另一繞射光柵318繞射。光柵318之零階反射射線形成入射射線304,而光柵318之一階繞射射線320形成由參考光譜偵測器314偵測之參考光譜。獲得表示參考光譜之電信號及資料以用於分析。 In a practical system, the spectrum of illumination radiation (i.e., radiation including incident ray 304) can undergo time variations that would interfere with subsequent analysis. In order to normalize the detection of such changes To the spectrum, a reference spectrum is captured by the second detector 314. To generate a reference spectrum, source radiation 316 is diffracted by another diffraction grating 318. The zero-order reflected rays of the grating 318 form the incident ray 304, and the one-step diffracted ray 320 of the grating 318 forms the reference spectrum detected by the reference spectral detector 314. Electrical signals and data representing the reference spectrum are obtained for analysis.
可自針對入射角α之一或多個值而獲得之經量測光譜計算目標結構T之屬性之量測。在度量衡系統240中,可藉由使用所偵測到之光譜結合同一目標結構上之由其他度量衡裝置偵測的一或多個光譜來獲得此量測。以上所提及之歐洲專利申請案15202273.7中進一步描述進行此組合之不同方式。 The measurement of the properties of the target structure T can be calculated from the measured spectra obtained for one or more values of the angle of incidence a. In the metrology system 240, this measurement can be obtained by using the detected spectrum in combination with one or more spectra detected by other metrology devices on the same target structure. Different ways of making this combination are further described in the above-referenced European Patent Application No. 15202273.7.
轉向圖3,提供EUV度量衡裝置300以用於藉由圖2之方法量測形成於基板W上之度量衡目標T之屬性。示意性地表示各種硬體組件。可由熟習相關技術者根據熟知設計原理應用現有組件及經特殊設計組件之混合來執行此等組件之實務實施。提供支撐件(未詳細地展示)以用於將基板固持於相對於待描述之其他組件之所要位置及定向。輻射源330將輻射提供至照明系統332。照明系統332提供由射線304表示之EUV輻射光束,該EUV輻射光束在目標T上形成經聚焦輻照光點。照明系統332亦將參考光譜320提供至偵測器314。可方便地將組件312、313等等認為是偵測系統333。 Turning to FIG. 3, an EUV metrology apparatus 300 is provided for measuring the properties of the metrology target T formed on the substrate W by the method of FIG. Various hardware components are schematically represented. The practical implementation of such components can be performed by a skilled artisan applying a mixture of existing components and specially designed components in accordance with well-known design principles. Supports (not shown in detail) are provided for holding the substrate in a desired position and orientation relative to other components to be described. Radiation source 330 provides radiation to illumination system 332. Illumination system 332 provides an EUV radiation beam, represented by ray 304, which forms a focused irradiance spot on target T. Lighting system 332 also provides reference spectrum 320 to detector 314. Components 312, 313, etc. are conveniently considered to be detection system 333.
此實例中之基板W安裝於具有定位系統334之可移動支撐件上使得可調整射線304之入射角α。在此實例中,按照便利性選擇為傾斜基板W以改變入射角,同時源330及照明系統332保持靜止。為了捕獲反射射線308,偵測系統333具備一另外可移動支撐件336,使得該可移動支撐件相對於靜止照明系統移動達角度2α,或相對於基板移動達角度α。在EUV反射量測術之掠入射體系中,方便的是藉由參考基板之平面而界定入射角α,如 所展示。當然,入射角可同樣被定義為入射射線I之入射方向與垂直於基板之方向N之間的角度。 The substrate W in this example is mounted on a movable support having a positioning system 334 such that the angle of incidence a of the ray 304 can be adjusted. In this example, the substrate W is selected to tilt the incident angle as conveniently, while the source 330 and illumination system 332 remain stationary. To capture the reflected ray 308, the detection system 333 is provided with an additional movable support 336 such that the movable support moves at an angle 2α relative to the stationary illumination system or at an angle a relative to the substrate. In the grazing incidence system of EUV reflectometry, it is convenient to define the angle of incidence α by reference to the plane of the substrate, such as Shown. Of course, the angle of incidence can likewise be defined as the angle between the direction of incidence of the incident ray I and the direction N perpendicular to the substrate.
提供額外致動器(圖中未繪示)以將每一目標T帶入至經定位有經聚焦輻射光點S之位置中。(從另一方面看,將光點帶至經定位有目標之位置)。在實務應用中,在單一基板上可存在待量測之一連串個別目標或目標部位,且在一連串基板上亦可存在待量測之一連串個別目標或目標部位。原則上,並不重要的是,當照明系統及偵測器保持靜止時基板及目標是否移動及再定向、或當照明系統及偵測器移動時基板是否保持靜止,或藉由此等技術之組合是否達成具有相對移動之不同組件。本發明涵蓋所有此等變體。 Additional actuators (not shown) are provided to bring each target T into position where the focused radiation spot S is positioned. (On the other hand, bring the spot to the position where the target is located). In practical applications, there may be a series of individual targets or target sites to be measured on a single substrate, and a series of individual targets or target sites to be measured may also exist on a series of substrates. In principle, it is not important whether the substrate and the target move and reorient when the illumination system and the detector remain stationary, or whether the substrate remains stationary when the illumination system and the detector move, or by such techniques. Whether the combination achieves a different component with relative movement. The present invention covers all such variations.
如已經參考圖2所描述,由目標T及基板W反射之輻射在其照射於偵測器313上之前由光柵312分裂成具有不同波長之射線之光譜310。偵測器313包含例如位置敏感EUV偵測器,其通常為偵測器元件陣列。該陣列可為線性陣列,但實務上可提供元件(像素)之2維陣列。偵測器313可為例如電荷耦合器件(CCD)影像感測器。 As has been described with reference to Figure 2, the radiation reflected by target T and substrate W is split by grating 312 into a spectrum 310 of rays having different wavelengths before it is illuminated onto detector 313. The detector 313 includes, for example, a position sensitive EUV detector, which is typically an array of detector elements. The array can be a linear array, but in practice a 2-dimensional array of components (pixels) can be provided. The detector 313 can be, for example, a charge coupled device (CCD) image sensor.
可為度量衡處理系統246之部分或度量衡裝置300本端之子系統的處理器340自偵測器313及314接收信號。詳言之,來自偵測器313之信號ST表示目標光譜,且來自偵測器314之信號SR表示參考光譜。處理器340可自目標光譜減去參考光譜,以含有目標之反射光譜,該反射光譜相對於源光譜中之變化而正規化。針對一或多個入射角之所得反射光譜係用於處理器340中以間接計算例如CD或疊對之目標屬性之量測。 The processor 340, which may be part of the metrology processing system 246 or the subsystem of the metrology device 300, receives signals from the detectors 313 and 314. In detail, the signal ST from the detector 313 represents the target spectrum, and the signal SR from the detector 314 represents the reference spectrum. Processor 340 can subtract the reference spectrum from the target spectrum to contain a reflection spectrum of the target that is normalized with respect to changes in the source spectrum. The resulting reflectance spectra for one or more angles of incidence are used in processor 340 to indirectly calculate measurements of target properties such as CDs or overlays.
實務上,可以一系列短脈衝提供來自源330之輻射,且可針對每一脈衝一起捕捉信號SR及ST。在每一個別脈衝聚集成在此入射角下用於此目 標的總反射光譜之前,計算用於該每一個別脈衝之差信號。以此方式,脈衝之間的源光譜之不穩定性得以校正。脈衝速率可為每秒數千或甚至數萬(赫茲)。經聚集以量測一個反射光譜之脈衝之數目可為例如數十個、數百個或數千個。即使在具有如此多脈衝的情況下,實體量測亦只花費幾分之一秒。 In practice, the radiation from source 330 can be provided by a series of short pulses, and signals SR and ST can be captured together for each pulse. Each individual pulse is aggregated at this angle of incidence for this purpose Before the target total reflection spectrum, the difference signal for each individual pulse is calculated. In this way, the instability of the source spectrum between the pulses is corrected. The pulse rate can be thousands or even tens of thousands (Hz) per second. The number of pulses that are aggregated to measure a reflectance spectrum can be, for example, tens, hundreds, or thousands. Even with so many pulses, physical measurements take only a fraction of a second.
光柵312及偵測器313可一起被視為圖3之裝置中之第一偵測系統333。第一偵測系統333可進一步包括產生參考光譜信號SR之光柵318及偵測器314。光譜310中所包括之EUV輻射可被視為第一波帶中之輻射。並未繞射成在偵測器313上形成光譜310的輻射形成零階輻射光束350,如圖2及圖3中所說明。在來自源330及照明系統332之照明輻射包括比由光柵312繞射之EUV輻射長得多的波長範圍的情況下,此等輻射實際上將包括於零階輻射350中。此係因為光柵312之光柵間距經調諧為第一波帶中之短得多之波長,且過短而未能由第二波帶之較長波長「看到」。根據本發明之原理,第二偵測系統352及/或另外偵測系統經配置以接收此零階輻射,且在第二波帶及/或另外波帶中執行進一步分析。 Raster 312 and detector 313 can be considered together as the first detection system 333 in the apparatus of FIG. The first detection system 333 can further include a grating 318 and a detector 314 that generate a reference spectral signal SR. EUV radiation included in spectrum 310 can be considered as radiation in the first band. The radiation that forms the spectrum 310 on the detector 313 is not diffracted to form a zero-order radiation beam 350, as illustrated in Figures 2 and 3. Where the illumination radiation from source 330 and illumination system 332 includes a wavelength range that is much longer than the EUV radiation diffracted by grating 312, such radiation will actually be included in zero order radiation 350. This is because the grating pitch of the grating 312 is tuned to a much shorter wavelength in the first band and is too short to be "seen" by the longer wavelength of the second band. In accordance with the principles of the present invention, the second detection system 352 and/or the additional detection system is configured to receive the zero-order radiation and perform further analysis in the second and/or additional bands.
第二偵測系統352提供一或多個額外信號SA,如稍後將更詳細地描述。在源330及照明系統332可同時地遞送包括第一及第二波帶中之輻射之寬頻帶輻射的實例中,可與獲得信號ST及SR同時地獲得額外信號SA,而未必招致任何額外曝光時間或調整。即使在依序地而非同時地產生第一及第二波帶中之輻射的狀況下,由第一光譜光柵312反射之輻射350亦提供便利資訊源,從而避免在目標T附近對額外光學系統之需要。 The second detection system 352 provides one or more additional signals SA, as will be described in more detail later. In instances where source 330 and illumination system 332 can simultaneously deliver broadband radiation including radiation in the first and second bands, additional signals SA can be obtained simultaneously with obtaining signals ST and SR without necessarily incurring any additional exposure. Time or adjustment. The radiation 350 reflected by the first spectral grating 312 also provides a convenient source of information, even in the case of sequentially and not simultaneously generating radiation in the first and second bands, thereby avoiding additional optical systems near the target T. Need.
來自第二及/或另外偵測系統352之額外信號SA可由處理器340使用以 增強自EUV光譜信號ST計算之量測之量測準確度。在僅使用輻射之單一波帶的情況下,所達成之準確度受到材料之光學屬性與目標結構(多層及/或光柵)之幾何屬性之間的交叉相關性限制。藉由增加資料中之分集(特別在波長方面),可改良諸如CD及疊對之參數之量測的準確度。替代地或另外,如以虛線所展示,出於完全分離量測之目的而將額外信號SA由處理器340使用或在該處理器中使用。 Additional signals SA from the second and/or additional detection system 352 may be used by the processor 340 to The measurement accuracy of the measurement calculated from the EUV spectral signal ST is enhanced. In the case of using only a single band of radiation, the accuracy achieved is limited by the cross-correlation between the optical properties of the material and the geometric properties of the target structure (multilayer and/or grating). By increasing the diversity in the data (especially in terms of wavelength), the accuracy of measurements such as CD and overlay parameters can be improved. Alternatively or additionally, as shown by the dashed lines, the additional signal SA is used by or in the processor 340 for the purpose of complete separation measurements.
在所說明之實例中,第一波帶包括EUV輻射,且第一偵測系統為EUV光譜反射計(包括光譜光柵312)。在此EUV-SR至半導體製造中之度量衡的應用中,可使用小光柵目標。舉例而言,此等光柵目標可在每一方向X及Y上具有小於10微米之尺寸。使用偵測器313及314來捕捉多個繞射光譜,同時將掠入射角α設定成各種不同值。在使用目標結構之經偵測光譜及數學模型的情況下,可執行重新建構計算以獲得CD及/或其他所關注參數之量測。下文所描述之圖10中說明實例重新建構方法。在為本發明之主題的度量衡系統中,重新建構方法可經修改成考量由在不同波帶中操作的兩個或多於兩個偵測系統偵測之光譜或其他分析資訊,而不僅考量由信號ST表示的第一波帶中之光譜。 In the illustrated example, the first waveband includes EUV radiation and the first detection system is an EUV spectral reflectometer (including spectral grating 312). In this application of EUV-SR to metrology in semiconductor manufacturing, small grating targets can be used. For example, such grating targets can have dimensions less than 10 microns in each direction X and Y. The detectors 313 and 314 are used to capture a plurality of diffraction spectra while setting the grazing incidence angle α to various values. Where the detected spectra and mathematical models of the target structure are used, the reconstructive calculations can be performed to obtain measurements of the CD and/or other parameters of interest. An example reconfiguration method is illustrated in Figure 10, described below. In a metrology system that is the subject of the present invention, the reconstruction method can be modified to take into account spectral or other analytical information detected by two or more detection systems operating in different bands, not only by The spectrum in the first band represented by signal ST.
在簡要考慮目標自身的情況下,線及空間之尺寸將取決於目標設計,但結構之週期可例如小於100奈米、小於50奈米、小於20奈米,甚至小於10奈米及降至5奈米。光柵結構之線可具有與基板之產品區域中之產品特徵相同的尺寸及間距。僅僅出於度量衡之目的,光柵結構之線事實上可為產品結構之線,而非形成於專用目標區域內之目標結構之線。此等小特徵可例如在EUV微影程序中藉由壓印微影或藉由直寫方法而形成。亦可使用現代DUV微影藉由所謂的雙重圖案化程序(通常為多重圖案化)來形成 此等小特徵。此類別中之技術包括例如藉由後段製程(back end-of the line,BEOL)層中之微影-蝕刻-微影-蝕刻(LELE)及自對準雙鑲嵌之間距加倍。出於解釋之目的,將在以下實例中假定CD為所關注參數。然而,在存在形成於彼此之頂部上之兩個光柵的情況下,另一所關注參數可為疊對。可基於EUV-SR繞射階中之不對稱性來量測此疊對。多重圖案化程序中之特徵之不同群體之間的任何非故意之位置偏移可被視為疊對之形式,且可藉由與用以量測層之間的疊對之技術類似的技術來量測。另外,關於底層或上覆層中之特徵之疊對可在特徵之多個群體形成於單一層中時針對每一群體而不同,且可視需要分離地量測關於此等群體中之每一者之疊對。以上所提及之歐洲專利申請案15202273.7中進一步描述用於量測此等類型之疊對之技術。可在必要時加大入射角以達成EUV輻射至下部結構之適當穿透。 In the case of a brief consideration of the target itself, the size of the line and space will depend on the target design, but the period of the structure can be, for example, less than 100 nm, less than 50 nm, less than 20 nm, or even less than 10 nm and down to 5 Nano. The lines of the grating structure can have the same dimensions and spacing as the product features in the product area of the substrate. For the purposes of weights and measures only, the line of the grating structure may in fact be the line of the product structure, rather than the line of the target structure formed within the dedicated target area. Such small features can be formed, for example, by embossing lithography or by direct writing in an EUV lithography program. Modern DUV lithography can also be formed by a so-called double patterning process (usually multi-patterning) These small features. Techniques in this category include, for example, doubling the distance between lithography-etch-lithography-etch (LELE) and self-aligned dual damascene in a back end-of the line (BEOL) layer. For purposes of explanation, the CD will be assumed to be the parameter of interest in the following examples. However, in the case where there are two gratings formed on top of each other, another parameter of interest may be a superposition. This stack pair can be measured based on the asymmetry in the EUV-SR diffraction order. Any unintentional positional offset between different groups of features in the multi-patterning process can be considered in the form of a stack and can be performed by techniques similar to those used to measure the overlay between layers. Measure. Additionally, overlapping pairs of features in the underlying layer or overlying layer may be different for each population when multiple populations of features are formed in a single layer, and each of such groups may be separately measured as needed Stacked pairs. The technique for measuring pairs of these types is further described in the above-referenced European Patent Application No. 15202273.7. The angle of incidence can be increased as necessary to achieve proper penetration of EUV radiation into the substructure.
圖4更詳細地說明可經配置以接收圖3之裝置中之零階反射輻射350的第二偵測系統352之實例。在此實例中,額外信號SA表示第二波帶中之由目標T反射的輻射之光譜,其經假定為含有波長長於EUV輻射的光譜310,該光譜310由偵測器313偵測。第二偵測系統352具有與第一偵測系統極相似之形式,其包含第二光譜光柵354及第二偵測器356。第二光譜光柵354繞射第二波帶中之輻射之一部分以形成第二光譜358,該第二光譜358係由第二偵測器356偵測。額外信號表示此第二光譜。 4 illustrates an example of a second detection system 352 that can be configured to receive zero-order reflected radiation 350 in the apparatus of FIG. 3 in more detail. In this example, the additional signal SA represents the spectrum of the radiation reflected by the target T in the second band, which is assumed to contain a spectrum 310 having a wavelength longer than the EUV radiation, which spectrum 310 is detected by the detector 313. The second detection system 352 has a form very similar to the first detection system, and includes a second spectral grating 354 and a second detector 356. The second spectral grating 354 diffracts a portion of the radiation in the second wavelength band to form a second spectrum 358 that is detected by the second detector 356. An additional signal indicates this second spectrum.
第二偵測系統352可包括額外組件,諸如用於選擇特定偏振之輻射之波長選擇濾光器360及分析器362,但此等組件純粹係選用的。 The second detection system 352 can include additional components, such as a wavelength selective filter 360 and an analyzer 362 for selecting radiation of a particular polarization, but such components are purely optional.
在第二偵測系統352包括光譜光柵354之狀況下,此光柵除了輸出第二光譜358之外亦輸出零階反射輻射370。以與可在第二偵測系統中使用 來自第一光譜光柵312之零階輻射350相同的方式,因此可將來自第二光譜光柵354之零階輻射370遞送至第三偵測系統372。另外額外信號SA2可由此第三偵測系統產生,且單獨地或結合已經由處理器340接收之另外信號來使用,以改良量測準確度及/或允許進行不同量測。另外,在第三偵測系統372內,可存在將零階反射輻射380遞送至第四偵測系統382之光譜光柵等等。另外額外信號SA3可由此第四偵測系統產生,且單獨地或結合已經由處理器340接收之另外信號來使用,以改良量測準確度及/或允許進行不同量測。 In the case where the second detection system 352 includes the spectral grating 354, the grating outputs zero-order reflected radiation 370 in addition to the second spectrum 358. And can be used in the second detection system The zero order radiation 350 from the first spectral grating 312 is in the same manner so that the zero order radiation 370 from the second spectral grating 354 can be delivered to the third detection system 372. Additionally additional signal SA2 may be generated by the third detection system and used alone or in combination with additional signals that have been received by processor 340 to improve measurement accuracy and/or allow for different measurements. Additionally, within the third detection system 372, there may be a spectral grating that delivers zero-order reflected radiation 380 to the fourth detection system 382, and the like. Further additional signal SA3 may be generated by the fourth detection system and used alone or in combination with additional signals that have been received by processor 340 to improve measurement accuracy and/or to allow for different measurements.
不同波帶之選擇係按照設計選擇及實用性。純粹出於實例起見,在本發明之實例中,假定第一波帶包含EUV輻射,例如包括在1奈米至100奈米之範圍中的一些或全部中之波長。每一另外波帶(諸如,第二波帶、第三波帶等等)可例如長於第一波帶。舉例而言,第二波帶可包括EUV波帶之較長波長部分,或其可包含長於100奈米之波長。舉例而言,第二波帶可包含在100奈米至300奈米之範圍內之波長,包括所謂的真空UV及/或深UV輻射。替代地,第二波帶可包含長於300奈米之波長,例如在UV及/或可見光及/或紅外線波長中的輻射。相似地,在分析第三波帶中之輻射的情況下,此第三波帶中之波長可長於第二波帶中之波長,且可包含例如紫外線、可見光及/或紅外線波長。 The choice of different bands is based on design choices and practicality. Purely by way of example, in the examples of the present invention, it is assumed that the first wave band contains EUV radiation, for example including wavelengths in some or all of the range of 1 nm to 100 nm. Each additional band (such as a second band, a third band, etc.) may be, for example, longer than the first band. For example, the second band may comprise a longer wavelength portion of the EUV band, or it may comprise a wavelength longer than 100 nm. For example, the second waveband can comprise wavelengths in the range of from 100 nanometers to 300 nanometers, including so-called vacuum UV and/or deep UV radiation. Alternatively, the second waveband may comprise wavelengths longer than 300 nanometers, such as radiation in the UV and/or visible and/or infrared wavelengths. Similarly, in the case of analyzing the radiation in the third band, the wavelength in the third band may be longer than the wavelength in the second band and may include, for example, ultraviolet, visible, and/or infrared wavelengths.
原則上,遍及第一、第二、第三偵測系統等等之波帶之序列無需在波長方面不斷增長,但在實務系統中,此很可能為最便利的配置。此情形之一個原因在於:在偵測系統包括光譜繞射光柵的情況下,經形成為繞射一個波帶中之輻射之光柵線通常將對輻射及更長波帶不可見,使得可在光譜光柵之零階反射輻射中發現彼等更長波帶。此外,產生橫越若干波帶之 寬頻帶輻射之輻射源常常在較長波長中比在短波長中產生更大數目個光子。結果,相比於諸如紫外線、可見光及紅外線之較長波長,諸如EUV波帶之短波長波帶中之光子傾向於更「稀有」。由於此等光子之某部分將在每一光學元件處損失,故需要將例如,EUV及/或VUV光子之較不冗餘光子處理成在總光學路徑中儘可能接近於目標。吾人可容許較冗餘的較長波長光子在其在總光學系統之下游部分中經偵測之前有一些損失。另外,處理處於最短波長(諸如,EUV及VUV)之光子之光學系統可需要容納於特殊環境中,諸如低壓(近真空)氛圍中。藉由將用於此等較短波長之偵測系統定位於用於較長波長之偵測系統上游,可將用於較長波長之偵測系統容納於特殊環境外部,從而實質上縮減裝置之成本及大小。 In principle, the sequence of bands throughout the first, second, and third detection systems does not need to be wavelength-increasing, but in a practical system, this is likely to be the most convenient configuration. One reason for this is that in the case where the detection system includes a spectral diffraction grating, the grating lines formed to diffract the radiation in one of the bands will generally be invisible to the radiation and the longer band, making it possible to spectrally Their longer wavelength bands are found in the zero-order reflected radiation. In addition, there are several bands that traverse Radiation sources of broadband radiation often produce a greater number of photons in longer wavelengths than in shorter wavelengths. As a result, photons in short wavelength bands such as EUV bands tend to be more "rare" than longer wavelengths such as ultraviolet, visible, and infrared. Since some portion of such photons will be lost at each optical component, it is desirable to process less redundant photons of, for example, EUV and/or VUV photons as close as possible to the target in the total optical path. We can allow more redundant longer wavelength photons to have some loss before they are detected in the downstream portion of the total optical system. Additionally, optical systems that process photons at the shortest wavelengths (such as EUV and VUV) may need to be housed in a particular environment, such as a low pressure (near vacuum) atmosphere. By locating the detection system for such shorter wavelengths upstream of the detection system for longer wavelengths, the detection system for longer wavelengths can be housed outside of the special environment, thereby substantially reducing the device Cost and size.
在圖4之實例中,假定第二、第三及第四偵測系統中之每一者係包含光譜光柵及偵測器的光譜偵測系統。必要時,可使在參考信號SR或額外參考信號中包括其他波帶中之一或多者中之參考信號。在一些狀況下,源可經良好地特性化使得藉由量測第一(EUV)波帶之波動,處理器340可推導用於另一波帶之參考光譜。然而,必要時,在目標結構之上游添加額外光柵及偵測器(圖中未繪示)。可謹慎使得此光柵不誘發EUV輻射之不當損失。 In the example of FIG. 4, it is assumed that each of the second, third, and fourth detection systems includes a spectral detection system of spectral gratings and detectors. If necessary, reference signals in one or more of the other bands may be included in the reference signal SR or the additional reference signal. In some cases, the source can be well characterized such that by measuring the fluctuations of the first (EUV) band, the processor 340 can derive a reference spectrum for the other band. However, if necessary, add additional rasters and detectors (not shown) upstream of the target structure. Care can be taken that this grating does not induce undue loss of EUV radiation.
然而,光譜法僅為可在每一波帶中進行之分析之類型的一個實例。本發明不限於將光譜法作為在每一偵測系統中之分析之唯一形式。 However, spectroscopy is only one example of the type of analysis that can be performed in each band. The invention is not limited to the use of spectroscopy as the only form of analysis in each detection system.
圖5說明例如第二偵測系統352之替代形式,其中該第二偵測系統經設計以用於橢圓偏振量測。偏振分析器500自第一偵測系統之光譜圖形光柵312接收零階輻射350,且濾出此輻射之預定偏振。亦可提供波長選擇性濾光器504及/或其他濾光器元件。經濾光輻射係由偵測器502偵測,以 產生額外信號SA以供處理器340使用。如前所述,額外信號SA可結合來自第一偵測系統之信號SR及ST來使用,以增強CD、疊對及其他參數之所計算量測的準確度。替代地或另外,額外量測嘗試可用於分離的計算。來自偵測器502之輻射強度之單一值並不可能得到許多資訊。然而,與入射角α之變化組合,就可獲得較多資訊資料。 FIG. 5 illustrates an alternative form of, for example, a second detection system 352 that is designed for ellipsometry. Polarization analyzer 500 receives zero order radiation 350 from spectral pattern grating 312 of the first detection system and filters out the predetermined polarization of the radiation. Wavelength selective filters 504 and/or other filter elements may also be provided. The filtered radiation is detected by the detector 502 to An additional signal SA is generated for use by the processor 340. As previously mentioned, the additional signal SA can be used in conjunction with the signals SR and ST from the first detection system to enhance the accuracy of the calculated measurements of CDs, overlays and other parameters. Alternatively or additionally, additional measurement attempts can be used for separate calculations. A single value of the intensity of the radiation from detector 502 is not likely to yield much information. However, in combination with the change in the angle of incidence α, more information is available.
圖6說明可用於圖3至圖5之裝置中的輻射源330之特定形式。可使用任何類型之輻射源,其限制條件為:其提供所要波帶中之輻射之適當品質。原則上,可依序提供第一波帶、第二波帶等等中之輻射,但在不同波帶中之輻射同時地存在於照明輻射中的情況下將獲得裝置之最大產出率可自不同個別源組合此輻射,或可自圖6中所說明的類型之單一寬頻帶源獲得此輻射。可考慮的輻射源之類型包括逆康普頓源(ICS)、小型同步加速器源、雷射產生電漿源(LPP)、放電產生電漿源(DPP)及高階諧波產生器源(HHG)。 Figure 6 illustrates a particular form of radiation source 330 that may be used in the apparatus of Figures 3 through 5. Any type of radiation source can be used with the proviso that it provides the appropriate quality of the radiation in the desired band. In principle, the radiation in the first wave band, the second wave band or the like may be sequentially provided, but in the case where the radiation in the different wave bands is simultaneously present in the illumination radiation, the maximum yield of the device may be obtained from This radiation is obtained by a different individual source, or may be obtained from a single broadband source of the type illustrated in FIG. Types of radiation sources that may be considered include an inverse Compton source (ICS), a small synchrotron source, a laser generated plasma source (LPP), a discharge generated plasma source (DPP), and a high order harmonic generator source (HHG). .
圖6之實例中之輻射源330為用於基於高階諧波產生(HHG)技術而產生EUV輻射的HHG源。此等源可購自例如美國Boulder Colorado之KMLabs(http://www.kmlabs.com/)。輻射源之主要組件為泵雷射630及HHG氣胞632。氣體供應件634將合適氣體供應至氣胞,在該氣胞中,該合適氣體視情況由電源636離子化。泵雷射可例如為具有光學放大器之以光纖為基礎之雷射,從而產生每脈衝持續小於1ns(1奈秒)的紅外線輻射之脈衝,其中脈衝重複率視需要達至若干兆赫茲。波長可為例如大約1μm(1微米)。將雷射脈衝作為第一輻射光束640遞送至HHG氣胞632,其中將輻射之一部分轉換成較高頻率。自HHG氣胞632出射光束642,光束642包括具有所要波長之相干輻射。 The radiation source 330 in the example of FIG. 6 is an HHG source for generating EUV radiation based on high order harmonic generation (HHG) technology. Such sources are commercially available, for example, from KMLabs (http://www.kmlabs.com/), Boulder Colorado, USA. The main components of the radiation source are pump laser 630 and HHG gas cell 632. Gas supply 634 supplies a suitable gas to the air cells where it is ionized by power source 636 as appropriate. The pump laser can be, for example, a fiber-based laser with an optical amplifier to produce pulses of infrared radiation that last less than 1 ns (1 nanosecond) per pulse, with pulse repetition rates as large as several megahertz as needed. The wavelength can be, for example, about 1 [mu]m (1 micron). The laser pulse is delivered as a first radiation beam 640 to the HHG gas cell 632 where a portion of the radiation is converted to a higher frequency. Beam 642 is emitted from HHG gas cell 632, which includes coherent radiation having a desired wavelength.
輻射可含有多個波長。若該輻射亦為單色的,則可簡化量測計算(重新建構),但在運用HHG的情況下較易於產生具有若干波長之輻射。此等情形為設計選擇問題,且甚至可為同一裝置內之可選擇選項。不同波長將例如在對不同材料之結構成像時提供不同等級之對比度。舉例而言,為了檢測金屬結構或矽結構,可將不同波長選擇為用於成像(碳基)抗蝕劑之特徵或用於偵測此等不同材料之污染的波長。可提供一或多個濾光器件(圖中未繪示)。舉例而言,諸如鋁(Al)薄膜之濾光器可用以截止(cut)基諧IR輻射以免進一步傳遞至檢測裝置中。可提供光柵以自氣胞中產生之波長當中選擇一或多個特定諧波波長。在真空環境內可含有光束路徑中之一些或全部,應記住,EUV輻射在空氣中行進時會被吸收。輻射源330及照明光學件332之各種組件可為可調整的以在同一裝置內實施不同度量衡「配方」。舉例而言,可使不同波長及/或偏振為可選擇的。 Radiation can contain multiple wavelengths. If the radiation is also monochromatic, the measurement calculation (reconstruction) can be simplified, but in the case of HHG, it is easier to generate radiation having several wavelengths. These situations are design choice issues and can even be a selectable option within the same device. Different wavelengths will provide different levels of contrast, for example, when imaging structures of different materials. For example, to detect a metal structure or germanium structure, different wavelengths can be selected for use in imaging (carbon based) resist features or for detecting wavelengths of contamination of such different materials. One or more filter devices (not shown) may be provided. For example, a filter such as an aluminum (Al) film can be used to cut the fundamental IR radiation from further transfer into the detection device. A grating may be provided to select one or more specific harmonic wavelengths from among the wavelengths produced in the gas cell. Some or all of the beam paths may be included in a vacuum environment, keeping in mind that EUV radiation is absorbed as it travels through the air. The various components of radiation source 330 and illumination optics 332 can be adjustable to implement different metrology "formulations" within the same device. For example, different wavelengths and/or polarizations can be made selectable.
對於大容量製造應用,合適源之選擇將由成本及硬體大小導引,不僅僅是由理論能力導引,且此處將HHG源選擇為實例。原則上可應用的其他類型之源亦為可得的或在開發中。實例為同步加速器源、自由電子雷射(FEL)源,及所謂的x射線雷射。亦可使用基於逆康普頓散射之源。諸如DPP源及LPP源之以電漿為基礎之源亦提供在多個波帶中(包括EUV)之輻射。用於產生EUV輻射之電漿源包括基於例如錫(Sn)之源,而且包括基於Xe或Ar或Kr或Ne或N或其任何組合之源。 For high volume manufacturing applications, the choice of the appropriate source will be guided by cost and hardware size, not just by theoretical capabilities, and the HHG source is chosen here as an example. Other types of sources that are applicable in principle are also available or under development. Examples are synchrotron sources, free electron laser (FEL) sources, and so-called x-ray lasers. Sources based on inverse Compton scattering can also be used. Plasma-based sources such as DPP sources and LPP sources also provide radiation in multiple wavelength bands, including EUV. Plasma sources for generating EUV radiation include sources based on, for example, tin (Sn), and include sources based on Xe or Ar or Kr or Ne or N, or any combination thereof.
經濾光光束642自第一輻射源330進入檢測腔室,在檢測腔室中,包括所關注結構之基板W由基板支撐件616固持以用於檢測。所關注結構經標註為T。檢測腔室1050內之氛圍係由真空泵1052維持為接近真空,使得EUV輻射可在無不當衰減的情況下傳遞通過該氛圍。照明系統332包括用 於將輻射聚焦成經聚焦光束656之元件654,且可包含例如二維曲線鏡面或一系列一維曲線鏡面,如以上所提及之先前申請案中所描述。可視需要將諸如光譜光柵312及318之繞射光柵與此等鏡面組合。執行聚焦以在投影至所關注結構上時達成直徑低於10微米之圓形或橢圓形光點。基板支撐件616包含例如X-Y平移載物台及旋轉載物台,藉由X-Y平移載物台及旋轉載物台,可使基板W之任何部分在所要定向上到達光束之焦點。因此,輻射光點S形成於所關注結構上。 The filtered beam 642 enters the detection chamber from the first radiation source 330, and in the detection chamber, the substrate W including the structure of interest is held by the substrate support 616 for detection. The structure of interest is labeled T. The atmosphere within the detection chamber 1050 is maintained by the vacuum pump 1052 to near vacuum so that EUV radiation can pass through the atmosphere without undue attenuation. Lighting system 332 includes The radiation is focused into an element 654 of the focused beam 656 and may comprise, for example, a two-dimensional curved mirror or a series of one-dimensional curved mirrors as described in the prior application mentioned above. Diffractive gratings such as spectral gratings 312 and 318 can be combined with such mirrors as desired. Focusing is performed to achieve a circular or elliptical spot having a diameter of less than 10 microns when projected onto the structure of interest. The substrate support 616 includes, for example, an X-Y translation stage and a rotating stage. By X-Y translating the stage and rotating the stage, any portion of the substrate W can be brought to the focus of the beam in the desired orientation. Therefore, the radiation spot S is formed on the structure of interest.
圖5中亦展示經標註為MET2之第二度量衡裝置之輪廓。此輪廓說明所說明之佈局在度量衡裝置240內允許用於對同一目標結構起作用之第二照明系統及第二偵測系統之空間所在之處。 The outline of the second metrology device labeled MET2 is also shown in FIG. This profile illustrates where the illustrated layout allows for the space of the second and second detection systems for the same target structure to be utilized within the metrology device 240.
在引言中所提及之申請中之國際專利申請案PCT/EP2016/056254中,呈現實驗結果及模擬以說明可用於此裝置中的波長之選擇及可用於此裝置中的入射角之選擇。特別在15奈米至40奈米及超過40奈米之波長範圍內,看到,所關注若干材料之反射保持實質上甚至高達10度、20度及30度之角度。再次參看圖4及圖5,入射角之此範圍允許實施達成所要小輻射光點之光學設計,即使在使用可用EUV光學技術之掠入射下。該申請中之國際專利申請案進一步解釋在使用「圓錐形安裝台」配置的情況下可如何藉由不僅在極性方向上而且在方位角方向上相對於目標T之週期性方向來變化照明之入射角從而獲得改良之準確度。 Experimental results and simulations are presented to illustrate the choice of wavelengths that can be used in the device and the choice of angle of incidence that can be used in the device, in International Patent Application No. PCT/EP2016/056254, the disclosure of which is incorporated herein by reference. Particularly in the wavelength range of 15 nm to 40 nm and over 40 nm, it is seen that the reflection of several materials of interest remains substantially as high as 10, 20 and 30 degrees. Referring again to Figures 4 and 5, this range of angles of incidence allows for the implementation of an optical design that achieves a desired small spot of radiation, even under grazing incidence using available EUV optics. The international patent application in this application further explains how the illumination can be incident with respect to the periodic direction of the target T not only in the polar direction but also in the azimuthal direction in the case of a "conical mounting" configuration. Angles for improved accuracy.
圖7示意性地說明容納可為圖3及圖4之裝置的度量衡裝置900之不同部分。此容納配置具有特別在大容量製造環境中用以促進裝置內之真空及低壓氛圍之管理之特徵。如已經參考圖16所解釋,除非在真空或低壓環境內含有光束路徑,否則EUV輻射信號將被嚴重減弱。同時,若待在大容量 製造環境中使用該裝置,則將頻繁地執行在902處示意性地表示之操作以運用新基板W'交換當前在該裝置內之基板W。 FIG. 7 schematically illustrates different portions of a metrology device 900 that can accommodate the devices of FIGS. 3 and 4. This containment configuration features features that are particularly useful in facilitating the management of vacuum and low pressure atmospheres within the device in a high volume manufacturing environment. As already explained with reference to Figure 16, the EUV radiation signal will be severely attenuated unless the beam path is included in a vacuum or low pressure environment. At the same time, if you stay in a large capacity Using the device in a manufacturing environment, the operations schematically represented at 902 will be performed frequently to exchange the substrate W currently in the device with the new substrate W'.
在實例度量衡裝置900中,在不同腔室904、906、908中含有EUV光學系統之不同部件。合適壁界定此等腔室,而窗口910及912准許EUV輻射在該等腔室之間傳遞。每一窗口910、912可包含一實體隔膜,或可包含簡單孔隙,其經差動抽汲以維持該窗口之任一側上之所要大氣條件。第一腔室904含有源330及照明系統332。在腔室904中藉由合適抽汲及控制系統(圖中未繪示)維持第一大氣條件,例如,高真空。第一窗口910准許入射光束304進入第二腔室906,其中在基板W上支撐目標。在第二腔室906中,維持環繞目標之第二大氣條件。舉例而言,第二大氣條件可為低壓氣態氛圍。以此方式,當通過某種形式之氣鎖機構交換基板W與W'時,可相對快速地建立及重新建立所需大氣條件,且無不當成本。雖然第二大氣條件中之透射損失可比在高真空中大一個數量級,但為了有限行進距離及操作生產率,可容許此等損失。 In the example metrology apparatus 900, different components of the EUV optical system are contained in different chambers 904, 906, 908. Suitable walls define such chambers, while windows 910 and 912 permit EUV radiation to pass between the chambers. Each window 910, 912 can comprise a solid diaphragm or can comprise a simple aperture that is differentially twitched to maintain a desired atmospheric condition on either side of the window. The first chamber 904 contains a source 330 and an illumination system 332. A first atmospheric condition, such as a high vacuum, is maintained in chamber 904 by a suitable pumping and control system (not shown). The first window 910 permits the incident beam 304 to enter the second chamber 906 where the target is supported on the substrate W. In the second chamber 906, a second atmospheric condition around the target is maintained. For example, the second atmospheric condition can be a low pressure gaseous atmosphere. In this manner, when the substrates W and W' are exchanged by some form of air lock mechanism, the desired atmospheric conditions can be established and re-established relatively quickly, without undue cost. Although the transmission loss in the second atmospheric condition can be an order of magnitude greater than in high vacuum, such losses can be tolerated for limited travel distances and operational productivity.
在此實例中,第一偵測系統333之組件(諸如,光柵312及偵測器313)位於第三腔室908中,該第三腔室908經維持處於第三大氣條件。舉例而言,該第三大氣條件可為高真空。第二窗口912准許反射射線308進入腔室908中之偵測系統,其攜載關於基板上之目標之光譜資訊。 In this example, components of first detection system 333, such as grating 312 and detector 313, are located in third chamber 908, which is maintained in a third atmospheric condition. For example, the third atmospheric condition can be a high vacuum. The second window 912 permits the reflected ray 308 to enter a detection system in the chamber 908 that carries spectral information about the target on the substrate.
可注意,若窗口910及912具有有限範圍,則此實例中之裝置900之幾何形狀極大地限定可使用之入射角α之範圍。在申請中之國際專利申請案PCT/EP2016/056254中,說明圖7裝置之變體,其中此問題係由額外鏡面組件解決。亦可考慮其他途徑,例如,藉由提供適於不同入射角之數個離散窗口,及/或藉由將偵測系統之至少一些組件容納於與樣本同一個腔室 906內使得其可在不損失其通過一窗口之視線的情況下移動。 It may be noted that if windows 910 and 912 have a limited range, the geometry of device 900 in this example greatly defines the range of incident angles a that may be used. A variant of the device of Figure 7 is illustrated in the International Patent Application No. PCT/EP2016/056254, the entire disclosure of which is incorporated herein by reference. Other approaches may also be considered, for example, by providing a plurality of discrete windows suitable for different angles of incidence, and/or by accommodating at least some components of the detection system in the same chamber as the sample Within 906 it is allowed to move without losing its view through a window.
向度量衡裝置之擁有者及建置者及操作員提供受控大氣環境內之空間的成本及暗示。因此,針對第二偵測系統352、第三偵測系統372及第四偵測系統382中之每一者,可決定該偵測系統是應位於低壓環境中抑或位於標準大氣壓下之(更便宜)環境或其類似者中。在圖7中所說明之實例中,可例如經配置以獲得真空UV波帶中之輻射之光譜的第二偵測系統352位於腔室908之受控環境內部。相反,第三偵測系統372及第四偵測系統382位於腔室908外部。因此,舉例而言,偵測系統可經配置以獲得接近UV波帶中之輻射之光譜,而第四偵測系統382經配置以獲得可見光波帶中之輻射之光譜。在其中例如僅存在第二偵測系統以用於分析UV及/或可見光波帶中之輻射的替代實例中,第二偵測系統352可位於腔室908外部。 The cost and implication of the space within the controlled atmosphere environment is provided to the owner of the metrology device and the builder and operator. Therefore, for each of the second detection system 352, the third detection system 372, and the fourth detection system 382, it can be determined whether the detection system should be located in a low pressure environment or at a standard atmospheric pressure (less expensive) ) Environment or the like. In the example illustrated in FIG. 7, second detection system 352, which may be configured, for example, to obtain a spectrum of radiation in a vacuum UV band, is located inside a controlled environment of chamber 908. In contrast, the third detection system 372 and the fourth detection system 382 are located outside of the chamber 908. Thus, for example, the detection system can be configured to obtain a spectrum of radiation in the vicinity of the UV band, and the fourth detection system 382 is configured to obtain a spectrum of the radiation in the visible band. In an alternative example in which, for example, only a second detection system is present for analyzing radiation in the UV and/or visible light bands, the second detection system 352 can be external to the chamber 908.
圖8說明經修改度量衡方法,且圖9說明對應度量衡裝置1300。除非另有提及,否則此等實例中之被標註為「13xx」之組件應被認為與圖3之裝置中之被標註為「3xx」之組件相同。因此,舉例而言,該經修改裝置包括照明系統1330、照明系統1332、第一偵測系統1333及第二偵測系統1352。然而,相比於圖2之裝置,定位系統1334可操作以使得入射射線1304之入射角可不僅在掠入射角α方面變化,而且在此處被標註為φ之方位角方面變化。 FIG. 8 illustrates a modified metrology method, and FIG. 9 illustrates a corresponding metrology device 1300. Unless otherwise mentioned, components labeled "13xx" in these examples shall be considered identical to the components labeled "3xx" in the device of Figure 3. Thus, for example, the modified device includes a lighting system 1330, an illumination system 1332, a first detection system 1333, and a second detection system 1352. However, compared to the apparatus of Figure 2, the positioning system 1334 is operable such that the angle of incidence of the incident ray 1304 can vary not only in terms of the grazing incidence angle a, but also in the azimuth angle labeled φ herein.
再次,相對於基板來界定X,Y,Z座標系統。再次,假定目標T包含具有平行於基板之X軸的週期性方向D之一維光柵。再次,可使基板及目標傾斜以變化入射角。然而,允許非零入射方位角φ。相對於光柵目標T之週期性方向D來定義方位角φ。(在二維週期性目標之狀況下,D可為主週期性方向中之任一者)。亦即,當入射方向投影至基板之平面上時,入 射射線與週期性方向D之間的方位角φ為非零,且可極大。亦即,輻照方向處於由週期性方向D及垂直於基板之方向N界定之平面外。實情為,入射光線在與週期性方向D傾斜之平面中行進。該傾斜平面係由圓圈1307表示,其正交於基板之平面但傾斜於週期性方向及X-Z平面。應理解,雖然平面及軸線之標籤的選擇係任意的,但參考目標之週期性結構之實體屬性來定義掠入射角及方位角。本發明人已認識到,當使用非零方位角時可實質上增加不同繞射階之繞射效率。此情形又對反射(零階)射線1308之光譜有影響。 Again, the X, Y, Z coordinate system is defined relative to the substrate. Again, assume that the target T contains a one-dimensional grating having a periodic direction D parallel to the X-axis of the substrate. Again, the substrate and target can be tilted to vary the angle of incidence. However, a non-zero incident azimuth angle φ is allowed. The azimuth angle φ is defined with respect to the periodic direction D of the grating target T. (D can be either the main periodic direction in the case of a two-dimensional periodic target). That is, when the incident direction is projected onto the plane of the substrate, The azimuth angle φ between the ray and the periodic direction D is non-zero and can be extremely large. That is, the irradiation direction is outside the plane defined by the periodic direction D and the direction N perpendicular to the substrate. The reality is that the incident ray travels in a plane that is inclined with respect to the periodic direction D. The oblique plane is represented by a circle 1307 which is orthogonal to the plane of the substrate but oblique to the periodic direction and the X-Z plane. It should be understood that although the selection of the labels for the plane and the axis is arbitrary, the grazing incidence angle and azimuth are defined with reference to the physical properties of the periodic structure of the target. The inventors have recognized that the diffraction efficiency of different diffraction orders can be substantially increased when non-zero azimuth angles are used. This situation in turn has an effect on the spectrum of the reflected (zero order) ray 1308.
在實施裝置1300時,定位系統之不同配置可用以達成非零方位角。元件符號1334指示具有用於圍繞基板之X軸及Y軸旋轉之致動器的定位子系統。對於掠入射角α與方位角φ之所要組合,計算適當命令值Rx及Ry以造成基板在兩個維度中傾斜以達成所要角度。在另一實施中,可提供致動器以用於旋轉及傾斜,而直接驅動角度α及φ。如自圖8應瞭解,旋轉Rz直接對應於所要方位角φ,且在此狀況下,可自所要量測角度更直接地產生命令值。 When the device 1300 is implemented, different configurations of the positioning system can be used to achieve a non-zero azimuth. Element symbol 1334 indicates a positioning subsystem having an actuator for rotation about the X and Y axes of the substrate. For the desired combination of the grazing incidence angle a and the azimuth angle φ, the appropriate command values Rx and Ry are calculated to cause the substrate to tilt in two dimensions to achieve the desired angle. In another implementation, an actuator may be provided for rotation and tilting to directly drive the angles a and φ. As can be appreciated from Figure 8, the rotation Rz corresponds directly to the desired azimuth angle φ, and in this case, the command value can be generated more directly from the desired measurement angle.
在其他度量衡區域中,變化掠入射(極)角及方位角兩者所需之安裝之類型被稱為「圓錐形安裝台」,且亦可在此EUV反射量測術裝置中採用彼術語。一般而言,熟習此項技術者應瞭解,任何形式之命令及任何形式之致動機構可用以實施此實例,其限制條件為:其適合於達成已知非零入射方位角。亦應理解,重要的是入射方向與目標之相對定向(及(當然)目標相對於輻射光點S之正確X-Y定位)。 In other metrology regions, the type of installation required to vary both the grazing incidence (polar) angle and the azimuth is referred to as a "conical mounting table" and may also be used in this EUV reflectometry device. In general, those skilled in the art will appreciate that any form of command and any form of actuation mechanism can be used to implement this example with the proviso that it is suitable for achieving a known non-zero incident azimuth. It should also be understood that what is important is the relative orientation of the incident direction to the target (and (of course) the correct X-Y positioning of the target relative to the radiation spot S).
如上文所提及,相比於圖2及圖3之配置,使用非零方位角可允許使用圖8及圖9之圓錐形安裝台增強繞射效率。此情形又可提供較強信號以用 於特定屬性之量測,從而縮減量測時間及/或增加量測準確度。藉由與圖3比較,在圖8中已經可看到使用非零方位角之另一益處。注意,光點S(若其由於傾斜之入射角而變得伸長)在由方位角界定之方向上伸長。因此,光點之最長尺寸係與目標之對角方向對準。在大部分目標將為矩形形狀的情況下,事實上光點之此對角伸長允許較大光點整體待配合於目標區域內。因此,針對給定照明強度,可在目標處導向量測輻射之較大總功率,且因此偵測器處之信號將成比例地增加。此效應單獨地可允許量測時間之稍微縮短。替代地或另外,可放寬聚焦容許度,此亦縮短量測時間。 As mentioned above, the use of a non-zero azimuth allows for the use of the conical mounting of Figures 8 and 9 to enhance the diffraction efficiency compared to the configurations of Figures 2 and 3. This situation can provide a stronger signal to use Measure the specific attributes, thereby reducing the measurement time and/or increasing the measurement accuracy. Another benefit of using a non-zero azimuth can already be seen in Figure 8 by comparison with Figure 3. Note that the spot S (if it becomes elongated due to the angle of incidence of the tilt) is elongated in the direction defined by the azimuth. Therefore, the longest dimension of the spot is aligned with the diagonal direction of the target. In the case where most of the targets will be rectangular in shape, in fact this diagonal elongation of the spot allows the larger spot to be integrated into the target area as a whole. Thus, for a given illumination intensity, a larger total power of the radiation can be measured at the target, and thus the signal at the detector will increase proportionally. This effect alone allows for a slight shortening of the measurement time. Alternatively or additionally, the focus tolerance can be relaxed, which also shortens the measurement time.
在以上所提及先前PCT申請案中給出關於在EUV度量衡中圓錐形安裝台之使用的更多資訊。上文參考圖2至圖7所論述之所有解釋及變化可應用於圖8及圖9之方法及裝置中。圖8及圖9之方法及裝置可應用於下文所提及之應用實例中的任一者中。 More information on the use of conical mountings in EUV metrology is given in the aforementioned PCT application. All of the explanations and variations discussed above with reference to Figures 2 through 7 are applicable to the methods and apparatus of Figures 8 and 9. The method and apparatus of Figures 8 and 9 can be applied to any of the application examples mentioned below.
如先前所提及,由第二、第三偵測系統等等獲得之額外信號SA、SA2、SA3等等可以多種方式與由第一偵測系統333獲得之光譜組合。先前歐洲專利申請案15202273.7呈現多種應用實例,且此處將不重複所有此等應用實例。取而代之,吾人在此處呈現單一實例,其中以重新建構方法類型將額外資訊與EUV光譜組合。 As mentioned previously, the additional signals SA, SA2, SA3, etc. obtained by the second, third detection system, etc., can be combined with the spectra obtained by the first detection system 333 in a variety of ways. A number of application examples are presented in the prior European patent application 15202273.7, and all such application examples will not be repeated here. Instead, we present a single instance here where the additional information is combined with the EUV spectrum in a reconstructed method type.
圖10為使用例如上述度量衡裝置240來量測目標結構之參數之方法的流程圖。如以上所描述,目標結構可在諸如半導體晶圓之基板上。此目標結構將常常採取光柵中之一系列週期性線之形狀,或2-D陣列中之結構之形狀。度量衡技術之目的應為藉由自所觀測之與輻射相互作用進行計算而量測該形狀之一或多個參數。在本文中所揭示之重新建構技術中,有效地 使用嚴密繞射理論以計算此等參數之哪些值將引起特定所觀測之繞射光譜。換言之,針對諸如臨界尺寸(critical dimension,CD)及疊對之參數來獲得目標形狀資訊。疊對度量衡為供量測兩個目標之疊對以便判定基板上之兩個層是否對準的量測技術。CD(或臨界尺寸)為「書寫」於基板上之物件之寬度,且為微影裝置實體地能夠在基板上書寫之極限。在一些情形下,所關注參數可為CD均一性,而非CD自身之絕對量測。亦可視需要量測其他參數,諸如,邊緣置放誤差(EPE)、層高度(厚度)及側壁角(SWA)。原則上可以此方式量測對光譜有影響的形狀之任何參數。所關注參數亦可包括與結構內之材料之屬性有關的參數,而非與結構之形狀有關的參數。 10 is a flow chart of a method of measuring parameters of a target structure using, for example, the metrology apparatus 240 described above. As described above, the target structure can be on a substrate such as a semiconductor wafer. This target structure will often take the shape of a series of periodic lines in the grating, or the shape of the structure in the 2-D array. The purpose of the metrology technique should be to measure one or more parameters of the shape by calculation from the observed interaction with the radiation. In the reconstruction technique disclosed herein, effectively The use of rigorous diffraction theory to calculate which values of these parameters will result in a particular observed diffraction spectrum. In other words, target shape information is obtained for parameters such as critical dimension (CD) and overlay. The stack-to-weight measure is a measurement technique that measures the stacking of two targets to determine if the two layers on the substrate are aligned. The CD (or critical dimension) is the width of the object "written" on the substrate and is the limit at which the lithographic apparatus can be physically written on the substrate. In some cases, the parameter of interest may be CD uniformity rather than the absolute measurement of the CD itself. Other parameters such as edge placement error (EPE), layer height (thickness), and sidewall angle (SWA) can also be measured as needed. In principle, any parameter of the shape that has an influence on the spectrum can be measured in this way. The parameters of interest may also include parameters related to the properties of the material within the structure, rather than parameters related to the shape of the structure.
使用來自度量衡裝置240之結果結合結構之模型化及其繞射屬性,可以數種方式執行該結構之形狀及其他參數之量測。參看例如圖3或圖9之實例,可自第一、第二偵測系統等等獲得信號,其表示不同波帶中之由目標T反射的輻射之光譜。在由圖10表示之第一類型之程序中,計算基於目標形狀之第一估計(第一候選結構)之光譜且將其與所觀測光譜進行比較。接著系統地變化模型之參數且以一系列反覆重新計算繞射,以產生新候選結構且因此獲得最佳擬合。在第二類型之程序中,提前計算用於許多不同候選結構之光譜以產生光譜之「庫」。接著,比較自量測目標觀測之光譜與經計算光譜之庫以找到最佳擬合。兩種方法可一起使用:可自庫獲得粗略擬合,接著進行反覆程序以找到最佳擬合。 The measurement of the shape and other parameters of the structure can be performed in a number of ways using the modeling of the resulting combined structure from the metrology device 240 and its diffraction properties. Referring to, for example, the example of FIG. 3 or FIG. 9, signals may be obtained from the first and second detection systems, etc., which represent spectra of radiation reflected by the target T in different bands. In the first type of program represented by Fig. 10, the spectrum based on the first estimate of the target shape (first candidate structure) is calculated and compared to the observed spectrum. The parameters of the model are then systematically varied and the diffraction is recalculated in a series of iterations to produce a new candidate structure and thus a best fit. In the second type of procedure, the spectra for many different candidate structures are calculated in advance to produce a "library" of spectra. Next, compare the spectra of the self-measured target observations with the calculated spectra to find the best fit. Two methods can be used together: a rough fit can be obtained from the library, followed by a repeat procedure to find the best fit.
此內容背景中之術語「光譜(spectrum/spectra)」可指圖2及圖3之光譜散射計中之頻率解析光譜。不同波帶中之由不同偵測系統獲得的光譜可被同樣處理為所觀測或所計算之較大光譜之部分,或其可個別地用於計算 程序之不同部分中。因此,舉例而言,可同時使用可見光波帶光譜及EUV波帶光譜以約束模型之所有浮動參數,或可首先使用可見光波帶光譜以約束某些參數,該等參數接著在處理EUV光譜時被視為固定的。在任一狀況下,自第二、第三偵測系統等等可得到之額外資訊可改良結果之準確度。 The term "spectrum/spectra" in this context may refer to the frequency resolved spectrum in the spectral scatterometer of Figures 2 and 3. Spectra obtained by different detection systems in different bands can be treated as part of the larger spectrum observed or calculated, or they can be used individually for calculation In different parts of the program. Thus, for example, visible light band spectra and EUV band spectra can be used simultaneously to constrain all floating parameters of the model, or visible band spectra can be used first to constrain certain parameters, which are then processed while processing the EUV spectrum. Treated as fixed. In either case, additional information available from the second and third detection systems, etc., can improve the accuracy of the results.
第三類型之程序省略模型化結構及其與檢測輻射相互作用之步驟,且應用機器學習以使所觀測光譜之特徵與該結構之參數相關。機器學習可基於自實際結構觀測之光譜之訓練集,其與結構之在未來量測中將為未知參數的參數之直接量測耦合。機器學習亦可基於如在以上所描述之「庫」程序中所使用的藉由與數學上模型化結構之相互作用之模型化(模擬)而獲得的光譜之訓練集。可視需要將基於模擬之訓練資料及基於實際觀測之訓練資料組合為較大訓練集。 The third type of procedure omits the modeled structure and its steps of interacting with the detected radiation, and applies machine learning to correlate the characteristics of the observed spectrum with the parameters of the structure. Machine learning can be based on a training set of spectra from actual structural observations that are coupled to direct measurements of the parameters of the structure that will be unknown parameters in future measurements. Machine learning may also be based on a training set of spectra obtained by modeling (simulating) interactions with mathematically modeled structures as used in the "Library" program described above. The training materials based on the simulation and the training data based on the actual observations can be combined into a larger training set as needed.
返回至第一類型之程序,純粹作為實例,將參考圖4簡短地描述可使用角度解析散射計進行目標形狀及/或材料屬性之量測之方式。執行以下步驟。將在此處列出步驟,且接著對其進行更詳細解釋: Returning to the first type of procedure, purely by way of example, the manner in which the target shape and/or material properties can be measured using an angle resolved scatterometer will be briefly described with reference to FIG. Perform the following steps. The steps will be listed here and then explained in more detail:
S11:收納具有目標之基板 S11: accommodating the substrate with the target
S12:定義量測配方 S12: Define the measurement formula
S13:量測光譜 S13: Measurement spectroscopy
S14:界定模型配方 S14: Defining the model formula
S15:估計形狀參數 S15: Estimating shape parameters
S16:計算模型光譜 S16: Calculating model spectra
S17:比較經量測圖案與所計算圖案 S17: comparing the measured pattern with the calculated pattern
S18:計算優質化函數 S18: Calculating the quality function
S19:產生經修訂形狀參數 S19: Generate revised shape parameters
S20:報告最終形狀參數 S20: Report final shape parameters
在S11處,收納上方具有一或多個度量衡目標結構T之基板W。對於此描述,將假定目標結構在僅一個方向上係週期性的(1-D結構)。在目標在兩個方向上係週期性的(2維結構)或並非完全週期性的狀況下,將相應地調適處理。在S12處,定義量測配方。該配方可定義待用於特定應用中的照明及偵測設定之任何數目個參數。該配方亦可指定用於入射輻射之波長及偏振之一或多個組合。該配方可定義用於照明及偵測之特定角度分佈。該配方可指定入射輻射之強度及曝光時間。亦舉例而言,源之相位或相干性可為量測配方之部分。 At S11, a substrate W having one or more metrology target structures T thereon is housed. For this description, it will be assumed that the target structure is periodic (1-D structure) in only one direction. In the case where the target is periodic (2-dimensional structure) or not completely periodic in both directions, the processing will be adapted accordingly. At S12, a measurement recipe is defined. The recipe defines any number of parameters to be used for illumination and detection settings in a particular application. The formulation may also specify one or more combinations of wavelengths and polarizations for incident radiation. This recipe defines a specific angular distribution for illumination and detection. This formulation specifies the intensity of the incident radiation and the exposure time. Also for example, the phase or coherence of the source can be part of a measurement recipe.
在S13處,在具有定位於光點S處之目標結構的情況下,使用圖2及圖3或圖8及圖9中所說明之一般類型之裝置來量測基板上之結構之光譜。經量測光譜係由偵測器313、356等等捕捉且經轉遞至處理器340內之計算系統。為了經由重新建構獲得穩固量測,可運用不同子配方捕捉同一目標之若干光譜。以此方式捕捉之光譜構成可供判定目標結構之屬性(不論是直接地抑或間接地)之觀測資料。 At S13, in the case of having a target structure positioned at spot S, the spectrum of the structure on the substrate is measured using the apparatus of the general type illustrated in Figures 2 and 3 or Figures 8 and 9. The measured spectra are captured by detectors 313, 356, etc. and passed to a computing system within processor 340. In order to obtain a robust measurement via reconfiguration, several sub-recipes can be used to capture several spectra of the same target. The spectra captured in this manner constitute observational data that can be used to determine the properties of the target structure, whether directly or indirectly.
應注意,可將觀測資料處理為詳細光譜,或可將觀測資料在用於計算中之前簡化為參數之集合。作為一特定實例,可將光譜簡單地縮減至識別光譜中之一或多個波峰之波長及高度的值之集合。 It should be noted that the observations can be processed as a detailed spectrum, or the observations can be reduced to a collection of parameters before being used in the calculation. As a specific example, the spectrum can be simply reduced to a collection of values that identify the wavelength and height of one or more peaks in the spectrum.
在S14處,建立「模型配方」,其依據數個參數pi(p1、p2、p3等等)而定義目標結構之經參數化模型。在1-D週期性結構中,此等參數可表示例如側壁之角度、特徵之高度或深度、特徵之寬度。目標材料及底層之屬性亦由諸如折射率(在存在於檢測輻射光束中之特定波長下)之參數表示。重要的是,雖然目標結構可由描述其形狀及材料屬性之許多參數定義,但 出於以下程序步驟之目的,模型配方將定義此等參數中之許多參數具有固定值,而其他參數將為可變或「浮動」參數。出於描述圖4之目的,僅可變參數被認為是參數pi。可變參數通常將包括所關注參數(待量測之屬性)以及所謂的「有礙」參數。此等參數為與所關注參數相關之參數且亦可影響所觀測光譜。在先前技術中,例如在US20120123748中,描述最佳化固定及浮動參數之選擇夫人自動化方法。 At S14, a "model recipe" is established that defines a parametric model of the target structure based on a number of parameters p i (p 1 , p 2 , p 3 , etc.). In a 1-D periodic structure, such parameters may represent, for example, the angle of the sidewall, the height or depth of the feature, and the width of the feature. The properties of the target material and the underlying layer are also represented by parameters such as the refractive index (at a particular wavelength present in the detected radiation beam). Importantly, although the target structure can be defined by many parameters describing its shape and material properties, for the purposes of the following program steps, the model recipe will define many of these parameters with fixed values, while others will be variable. Or "floating" parameters. For the purposes of describing Figure 4, only the variable parameters are considered to be the parameters p i . The variable parameters will typically include the parameters of interest (the attributes to be measured) and the so-called "obstructive" parameters. These parameters are parameters related to the parameter of interest and may also affect the observed spectrum. In the prior art, for example in US20120123748, a selection of automated methods for selecting fixed and floating parameters is described.
通常,假定結構模型之參數(甚至是可變參數)遍及運用檢測輻射之曝光過程並不變化。另一方面,根據本發明之原理,此假定可並非在所有狀況下皆有效。下文將進一步論述方法之修改,其考量在曝光期間之參數之變化。首先將描述方法之習知步驟。 In general, it is assumed that the parameters of the structural model (even variable parameters) do not change throughout the exposure process using the detected radiation. On the other hand, this assumption may not be effective in all situations in accordance with the principles of the present invention. Modifications of the method will be further discussed below, taking into account changes in parameters during exposure. The conventional steps of the method will first be described.
在S15處,藉由設定用於浮動參數之初始值pi(0)(亦即,p1(0)、p2(0)、p3(0)等等)來估計模型目標形狀。每一浮動參數可在具有如在配方中所定義之某些約束的情況下產生。 At S15, the model target shape is estimated by setting an initial value p i (0) for the floating parameter (ie, p 1 (0), p 2 (0), p 3 (0), etc.). Each floating parameter can be generated with certain constraints as defined in the recipe.
在S16處,例如使用先前技術中所描述的嚴密光學繞射方法或馬克士威(Maxwell)方程式之其他求解程序使用表示所估計形狀連同模型中之不同材料之屬性的參數以計算散射屬性。此計算給出針對波長、偏振、角度分佈等等之給定組合的所估計目標形狀之所估計或模型光譜。 At S16, parameters that represent the estimated shape along with the properties of the different materials in the model are used to calculate the scattering properties, for example, using the rigorous optical diffraction method described in the prior art or other solvers of Maxwell's equations. This calculation gives an estimate or model spectrum of the estimated target shape for a given combination of wavelength, polarization, angular distribution, and the like.
在S17及S18處,接著比較經量測光譜與模型光譜,且使用經量測光譜與模型光譜之相似性及差以計算用於模型目標形狀之「優質化函數」。 At S17 and S18, the measured spectrum and the model spectrum are then compared, and the similarity and difference between the measured spectrum and the model spectrum are used to calculate a "quality function" for the shape of the model target.
假定優質化函數指示在模型準確地表示實際目標形狀之前需要改良模型,控制轉至步驟S19,其中估計新參數p1(1)、p2(1)、p3(1)等等且將該等新參數反覆地回饋至步驟S16中。重複步驟S16至S18。為了輔助搜尋,步驟S16中之計算進一步產生優質化函數之偏導數,在參數空間之此 特定區中,該等偏導數指示增加或減低參數將增加或減低優質化函數之敏感度。優質化函數之計算及導數之使用在此項技術中通常為吾人所知,且此處將不對其進行詳細描述。 Assuming that the quality function indicates that the model needs to be improved before the model accurately represents the actual target shape, control passes to step S19, in which new parameters p 1 (1), p 2 (1), p 3 (1), etc. are estimated and The new parameters are repeatedly fed back to step S16. Steps S16 to S18 are repeated. To aid in the search, the calculation in step S16 further produces a partial derivative of the quality function, which in the particular region of the parameter space indicates that increasing or decreasing the parameter will increase or decrease the sensitivity of the quality function. The calculation of the quality function and the use of the derivative are generally known in the art and will not be described in detail herein.
當優質化函數指示此反覆程序已以所要準確度收斂於一解時,控制轉至步驟S20,且將當前所估計參數(例如,CD值)報告為實際目標結構之量測。 When the quality function indicates that the repeated program has converge to a solution with the desired accuracy, control passes to step S20, and the current estimated parameter (e.g., CD value) is reported as the measurement of the actual target structure.
一旦已計算用於一個目標之值,就可在不改變量測配方的情況下使用相同步驟S13等等量測在同一基板或相似基板上之新目標。在待量測不同類型之基板或目標之情況下,或在需要改變量測配方之任何狀況下,控制代替地轉至步驟S11或S12。 Once the value for one target has been calculated, the new target on the same substrate or similar substrate can be measured using the same step S13 or the like without changing the measurement recipe. In the case where different types of substrates or targets are to be measured, or in any situation where it is necessary to change the measurement recipe, control is instead transferred to step S11 or S12.
圖11說明在微影製造系統之管理中應用量測方法(例如,圖2至圖10)之方法中之任一者)。將在此處列出步驟,且接著對其進行更詳細解釋: Figure 11 illustrates any of the methods of applying a metrology method (e.g., Figures 2 through 10) in the management of a lithography manufacturing system. The steps will be listed here and then explained in more detail:
S21:處理晶圓以在基板上產生結構 S21: processing the wafer to create a structure on the substrate
S22:橫越基板量測CD及/或其他參數 S22: Measuring CD and/or other parameters across the substrate
S23:更新度量衡配方 S23: Update the weights and measures formula
S24:更新微影及/或程序配方 S24: Update lithography and / or program recipes
在步驟S21處,使用微影製造系統橫越基板產生結構。在S22處,使用具有第一、第二偵測系統等等之度量衡裝置240以量測橫越基板之結構之屬性。在步驟S23處,視情況,鑒於所獲得之量測結果更新EUV度量衡裝置244及/或其他度量衡裝置240之度量衡配方及校準。舉例而言,在EUV度量衡裝置244具有比光學度量衡裝置240低之產出率的情況下,使用EUV輻射之若干準確量測可用以針對特定基板設計及程序改良使用光學度量衡裝置而進行之量測之計算。 At step S21, a structure is created across the substrate using a lithography manufacturing system. At S22, a metrology device 240 having a first, second detection system, etc., is used to measure the properties of the structure across the substrate. At step S23, the metrology recipe and calibration of the EUV metrology device 244 and/or other metrology device 240 are updated, as appropriate, in view of the obtained measurement results. For example, where the EUV metrology device 244 has a lower yield than the optical metrology device 240, some accurate measurements using EUV radiation can be used to measure the use of optical metrology devices for specific substrate designs and procedures. Calculation.
在步驟S24處,比較CD或其他參數之量測值與所要值,且使用CD或其他參數之該等量測值以更新微影製造系統內之微影裝置及/或其他裝置之設定。藉由提供作為度量衡系統之部分之EUV度量衡裝置,可改良產出率及/或準確度且可改良整個微影生產設施之效能。即使在最小技術節點處,亦可直接量測產品特徵及/或類產品特徵,且可提供及量測晶粒內目標而不損失過多區域。 At step S24, the measured values of the CD or other parameters are compared to the desired values, and the measurements of the CD or other parameters are used to update the settings of the lithography apparatus and/or other devices within the lithography manufacturing system. By providing an EUV metrology device as part of a metrology system, yield and/or accuracy can be improved and the performance of the entire lithography production facility can be improved. Even at the smallest technology node, product features and/or product-like features can be measured directly, and intra-grain targets can be provided and measured without losing too much area.
在以上步驟中,假定量測橫越一基板及橫越多個基板之足夠目標使得可導出程序之統計上可靠模型。無需將CD及其他參數之剖面完全表達為橫越基板之變化。舉例而言,可將CD及其他參數之剖面表達為為所有場(在基板W上之不同部位處使用圖案化器件MA之圖案化之每一個例)所共有之場內剖面及重複地疊置場內變化之低階場間變化。步驟S24中調整之微影程序之設定可包括場內設定以及場間設定。該等設定可適用於裝置之所有操作,或特定用於一特定產品層。 In the above steps, it is assumed that measuring a sufficient target across a substrate and across multiple substrates allows a statistically reliable model of the program to be derived. It is not necessary to fully express the profile of the CD and other parameters as a change across the substrate. For example, the cross-section of CD and other parameters can be expressed as an intra-field profile and a repeated overlay field common to all fields (each of which is patterned using patterned device MA at different locations on substrate W). Low-order inter-field variation of internal variation. The setting of the lithography program adjusted in step S24 may include an in-field setting and an inter-field setting. These settings can be applied to all operations of the device or to a particular product layer.
本文中所揭示之技術允許獲得關於所關注結構之增加之資訊,而未必招致分離的量測步驟及分離的照明系統。詳言之,可使用來自在第一波帶中操作之第一偵測系統之「殘餘」輻射而同時地或依序地獲得關於第二波帶之光譜或其他資訊。 The techniques disclosed herein allow for information on the increase in structure of interest without necessarily inferring separate measurement steps and separate illumination systems. In particular, the spectrum or other information about the second band can be obtained simultaneously or sequentially using "residual" radiation from the first detection system operating in the first band.
儘管上文已描述方法及裝置之特定實例,但應瞭解,可以與所描述之方式不同的其他方式來實踐本發明。度量衡裝置之設計自由地選擇不同波帶及偵測系統之配置之數目以在空間及成本之約束內達成所要功能性。自經偵測信號計算結構之形狀及材料之量測之方法亦可自由地自廣泛多種可獲得的技術選擇,而不管是在此項技術中已知抑或在未來設計。 Although specific examples of the methods and apparatus have been described above, it will be appreciated that the invention may be practiced otherwise than as described. The design of the metrology device is free to select the number of configurations of different bands and detection systems to achieve the desired functionality within the constraints of space and cost. The method of measuring the shape and material of the structure from the detected signal can also be freely selected from a wide variety of available techniques, whether known in the art or in the future.
術語「透鏡」在內容背景允許時可指各種類型之光學組件中之任一者或其組合,包括折射、反射、磁性、電磁及靜電光學組件。 The term "lens", as the context of the context allows, can refer to any or a combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic, and electrostatic optical components.
在以下編號條項中提供根據本發明之另外實施例: Further embodiments in accordance with the present invention are provided in the following numbered items:
1.一種用於量測一結構之一屬性之度量衡裝置,該度量衡裝置包含:一照明系統,其用於運用輻射輻照該結構;一第一偵測系統,其包含一第一光譜光柵及一第一偵測器,該第一光譜光柵經配置以接收在與該結構相互作用之後的該輻射,該第一偵測器經配置以藉由接收由該第一光譜光柵繞射之一或多個高階輻射來偵測一第一波帶中之一光譜;一第二偵測系統,其經配置以接收由該第一光譜光柵反射之零階輻射之至少一部分且分析一或多個其他波帶中的該零階輻射。 CLAIMS 1. A metrology apparatus for measuring an attribute of a structure, the weighting apparatus comprising: an illumination system for irradiating the structure with radiation; a first detection system comprising a first spectral grating and a first detector, the first spectral grating configured to receive the radiation after interacting with the structure, the first detector configured to receive one of the first spectral grating diffractions or a plurality of higher order radiation to detect a spectrum in a first band; a second detection system configured to receive at least a portion of the zero order radiation reflected by the first spectral grating and to analyze one or more other This zero-order radiation in the band.
2.如條項1之度量衡裝置,其中該第二偵測系統包括一第二光譜光柵及一第二偵測器,該第二光譜光柵經配置以自該第一光譜光柵接收該零階輻射,該第二偵測器經配置以藉由接收由該第二光譜光柵繞射之一或多個高階輻射來偵測一第二波帶中之一光譜。 2. The metrology apparatus of clause 1, wherein the second detection system comprises a second spectral grating and a second detector, the second spectral grating configured to receive the zero order radiation from the first spectral grating The second detector is configured to detect a spectrum in a second band by receiving one or more higher order radiations that are diffracted by the second spectral grating.
3.如條項1或2之度量衡裝置,其中該第二偵測系統包括一偏振分析器,該第二偵測器經配置以接收藉由偏振而選擇之該第二波帶中之輻射。 3. The metrology apparatus of clause 1 or 2, wherein the second detection system comprises a polarization analyzer, the second detector configured to receive radiation in the second wavelength band selected by polarization.
4.如任一前述條項之度量衡裝置,其中該第一波帶包含在1奈米至100奈米之範圍內之波長,且該第二波帶包含長於100奈米之波長。 4. The metrology apparatus of any preceding clause, wherein the first waveband comprises a wavelength in the range of from 1 nanometer to 100 nanometers and the second wavelengthband comprises a wavelength longer than 100 nanometers.
5.如條項4之度量衡裝置,其中該第二波帶包含長於300奈米之波長。 5. The metrology apparatus of clause 4, wherein the second wavelength band comprises a wavelength longer than 300 nanometers.
6.如條項4之度量衡裝置,其中該第二波帶包含短於300奈米之波長。 6. The metrology apparatus of clause 4, wherein the second wavelength band comprises a wavelength shorter than 300 nanometers.
7.如任一前述條項之度量衡裝置,其中在操作中,該第一偵測系統容納於一低壓環境中且該第二偵測系統容納於實質上在大氣壓下之一環境中。 7. The metrology apparatus of any preceding clause, wherein in operation, the first detection system is housed in a low pressure environment and the second detection system is housed in an environment substantially at atmospheric pressure.
8.如任一前述條項之度量衡裝置,其中該第二偵測系統經進一步配置以將除該第一波帶及該第二波帶之外的一或多個波帶中之該零階輻射之至少一部分導向至一第三偵測系統,該第三偵測系統經配置以用於分析一第三波帶中之輻射。 8. The metrology apparatus of any preceding clause, wherein the second detection system is further configured to divide the zero order in one or more of the bands other than the first band and the second band At least a portion of the radiation is directed to a third detection system configured to analyze the radiation in a third band.
9.如條項8之度量衡裝置,其中該第二偵測系統包括一第二光譜光柵,且其中導向至該第三偵測系統之輻射之該部分包含由該第二光譜光柵反射之零階輻射之至少部分。 9. The metrology apparatus of clause 8, wherein the second detection system comprises a second spectral grating, and wherein the portion of the radiation directed to the third detection system comprises a zero order reflected by the second spectral grating At least part of the radiation.
10.如條項8或9之度量衡裝置,其中該第三偵測系統包括一第三光譜光柵及一第三偵測器,該第三偵測器經配置以藉由接收由該第三光譜光柵繞射之一或多個高階輻射來偵測一第三波帶中之一光譜。 10. The metrology apparatus of clause 8 or 9, wherein the third detection system comprises a third spectral grating and a third detector, the third detector configured to receive by the third spectrum The grating diffracts one or more high order radiation to detect a spectrum in a third band.
11.如條項8、9或10之度量衡系統,其中該第三偵測系統包括一偏振分析器,該第三偵測器經配置以接收藉由偏振而選擇之該第三波帶中之輻射。 11. The metrology system of clause 8, 9 or 10, wherein the third detection system comprises a polarization analyzer, the third detector configured to receive the third wavelength band selected by polarization radiation.
12.如條項8至11中任一項之度量衡裝置,其中該第一波帶包含在1奈米至100奈米之範圍內之波長,且該第二波帶包含長於100奈米之波長。 12. The metrology apparatus of any one of clauses 8 to 11, wherein the first wavelength band comprises a wavelength in the range of 1 nm to 100 nm, and the second wave band comprises a wavelength longer than 100 nm. .
13.如條項12之度量衡裝置,其中該第三波帶包含長於300奈米之波長。 13. The metrology apparatus of clause 12, wherein the third wavelength band comprises a wavelength longer than 300 nanometers.
14.如條項12或13之度量衡裝置,其中該第二波帶包含短於300奈米之波長。 14. The metrology apparatus of clause 12 or 13, wherein the second wavelength band comprises a wavelength shorter than 300 nanometers.
15.如條項8至14中任一項之度量衡裝置,其中在操作中,至少該第一偵測系統容納於一低壓環境中,且該第三偵測系統實質上在大氣壓下被容納。 15. The metrology apparatus of any of clauses 8 to 14, wherein in operation at least the first detection system is housed in a low pressure environment and the third detection system is substantially contained at atmospheric pressure.
16.如任一前述條項之度量衡裝置,其進一步包含一處理系統,該處理系統用於使用自該第一偵測系統接收之第一分析資料以判定該結構之一屬性。 16. The metrology apparatus of any preceding clause, further comprising a processing system for determining a property of the structure using the first analysis data received from the first detection system.
17.如條項16之度量衡裝置,其中該處理系統經進一步配置以使用自該第二偵測系統接收之第二分析資料結合該第一分析資料來判定該屬性。 17. The metrology apparatus of clause 16, wherein the processing system is further configured to determine the attribute using the second analysis data received from the second detection system in conjunction with the first analysis data.
18.如條項16之度量衡裝置,其中該處理系統經進一步配置以使用自該第三偵測系統接收之第三分析資料結合該第一分析資料或該第二分析資料來判定該屬性。 18. The metrology apparatus of clause 16, wherein the processing system is further configured to determine the attribute using the third analysis data received from the third detection system in conjunction with the first analysis data or the second analysis data.
19.如條項8至15中任一項之度量衡裝置,其進一步包含一處理系統,該處理系統使用以下各者中之兩者或多於兩者來判定該結構之一屬性:自該第一偵測系統接收之第一分析資料、自該第二偵測系統接收之第二分析資料,及自該第三偵測系統接收之第三分析資料。 19. The metrology apparatus of any of clauses 8 to 15, further comprising a processing system that uses one or more of the following to determine an attribute of the structure: from the a first analysis data received by the detection system, a second analysis data received from the second detection system, and a third analysis data received from the third detection system.
20.如任一前述條項之度量衡裝置,其進一步包括一輻射源,該輻射源用於將該第一波帶及該第二波帶中之輻射提供至該照明系統。 20. The metrology apparatus of any preceding clause, further comprising a radiation source for providing radiation in the first waveband and the second wavelengthband to the illumination system.
21.如條項20之度量衡裝置,其中該輻射源為可操作以同時地產生該第一波帶及該第二波帶中之輻射的一寬頻帶輻射源。 21. The metrology apparatus of clause 20, wherein the radiation source is a broadband radiation source operable to simultaneously generate radiation in the first waveband and the second wavelengthband.
22.如條項20或21之度量衡裝置,其中該輻射源可操作以產生在小 於100奈米至多於400奈米之範圍內之波帶中的輻射。 22. The metrology apparatus of clause 20 or 21, wherein the radiation source is operable to generate a small Radiation in a waveband in the range of 100 nanometers to more than 400 nanometers.
23.如條項22之度量衡裝置,其中該輻射源可操作以產生在小於10奈米至多於400奈米之範圍內之波帶中的輻射。 23. The metrology apparatus of clause 22, wherein the radiation source is operable to generate radiation in a waveband in a range of less than 10 nanometers to more than 400 nanometers.
24.如任一前述條項之度量衡裝置,其中該第一輻射源係一高階諧波產生器源。 24. The metrology apparatus of any preceding clause, wherein the first source of radiation is a source of higher order harmonic generators.
25.一種量測藉由一微影程序製造之一結構之一屬性之方法,該方法包含以下步驟:(a)運用包括一第一波帶中之輻射及一第二波帶中之輻射的輻射來輻照該結構;(b)將在與該結構相互作用之後的該輻射之至少一部分導向至一第一光譜光柵;(c)使用由該第一光譜光柵繞射之一或多個高階輻射來偵測一第一波帶中之一光譜;(d)分析該第二波帶中之由該第一光譜光柵反射的零階輻射之至少一部分。 25. A method of measuring an attribute of a structure by a lithography process, the method comprising the steps of: (a) applying radiation comprising a first band and a second band of radiation. Radiation to irradiate the structure; (b) directing at least a portion of the radiation after interaction with the structure to a first spectral grating; (c) using one or more higher orders of diffraction from the first spectral grating Radiation detects a spectrum in a first band; (d) analyzes at least a portion of the zero-order radiation reflected by the first spectral grating in the second band.
26.如條項25之方法,其中該步驟(d)包含:(d1)將在與該結構相互作用之後的該零階輻射之至少一部分導向至一第二光譜光柵;及(d2)使用由該第二光譜光柵繞射之一或多個高階輻射來偵測該第二波帶中之一光譜。 26. The method of clause 25, wherein the step (d) comprises: (d1) directing at least a portion of the zero-order radiation after interaction with the structure to a second spectral grating; and (d2) using The second spectral grating diffracts one or more higher order radiations to detect a spectrum in the second wavelength band.
27.如條項26之方法,其中步驟(a)中之該輻射包括一第三波帶中之輻射,該方法進一步包含以下一步驟:(e)分析該第三波帶中之由該第二光譜光柵反射的零階輻射之至少一 部分。 27. The method of clause 26, wherein the radiation in step (a) comprises radiation in a third band, the method further comprising the step of: (e) analyzing the third band At least one of zero-order radiation reflected by the two-spectrum grating section.
28.一種器件製造方法,其包含:使用一微影程序將一圖案自一圖案化器件轉印至一基板上,該圖案界定至少一個結構;量測該結構之一或多個屬性以判定用於該微影程序之一或多個參數之一值;及根據該經量測屬性在該微影程序之後續操作中應用一校正,其中量測該結構之該等屬性的該步驟包括使用如條項1至24中任一項之度量衡裝置量測一屬性。 28. A method of fabricating a device, comprising: transferring a pattern from a patterned device to a substrate using a lithography process, the pattern defining at least one structure; measuring one or more attributes of the structure for use in determining And calibrating a value of one or more parameters of the lithography program; and applying a correction to the subsequent operation of the lithography program based on the measured property, wherein the step of measuring the attributes of the structure includes using The metrology apparatus of any one of clauses 1 to 24 measures an attribute.
29.如條項28之器件製造方法,其中該功能器件圖案界定一臨界尺寸小於50奈米,視情況小於20奈米的產品特徵。 29. The device manufacturing method of clause 28, wherein the functional device pattern defines a product feature having a critical dimension of less than 50 nanometers, optionally less than 20 nanometers.
30.一種器件製造方法,其包含:使用一微影程序將一圖案自一圖案化器件轉印至一基板上,該圖案界定至少一個結構;量測該結構之一或多個屬性以判定用於該微影程序之一或多個參數之一值;及根據該經量測屬性在該微影程序之後續操作中應用一校正,其中量測該結構之該等屬性的該步驟包括使用如條項25至27中任一項之方法量測一屬性。 30. A device fabrication method comprising: transferring a pattern from a patterned device to a substrate using a lithography process, the pattern defining at least one structure; measuring one or more attributes of the structure for use in determining And calibrating a value of one or more parameters of the lithography program; and applying a correction to the subsequent operation of the lithography program based on the measured property, wherein the step of measuring the attributes of the structure includes using The method of any one of clauses 25 to 27 measures an attribute.
特定實施例之前述描述將充分地揭露本發明之一般性質,使得在不脫離本發明之一般概念的情況下,其他人可藉由應用此項技術之技能範圍內之知識針對各種應用而易於修改及/或調適此等特定實施例,而無需進行不當實驗。因此,基於本文中所呈現之教示及指導,此等調適及修改意 欲在所揭示實施例之等效者的涵義及範圍內。應理解,本文中之措辭或術語係出於(例如)描述而非限制之目的,以使得本說明書之術語或措辭待由熟習此項技術者按照該等教示及該指導進行解譯。 The foregoing description of the specific embodiments of the present invention will fully disclose the general nature of the invention, and the invention can be easily modified for various applications by the knowledge of the skill of the art without departing from the general inventive concept. And/or adapting such specific embodiments without undue experimentation. Therefore, based on the teachings and guidance presented in this article, such adjustments and modifications It is intended to be within the meaning and scope of the equivalents of the disclosed embodiments. It is understood that the phraseology or terminology herein is used for the purposes of the description
本發明之廣度及範疇不應受上述例示性實施例中之任一者限制,而應僅根據以下申請專利範圍及其等效者進行界定。 The breadth and scope of the present invention should not be limited by any of the above-described exemplary embodiments, but only by the scope of the following claims and their equivalents.
Claims (15)
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
EP16173755 | 2016-06-09 | ||
??EP16173755 | 2016-06-09 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201809863A TW201809863A (en) | 2018-03-16 |
TWI638228B true TWI638228B (en) | 2018-10-11 |
Family
ID=56119369
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106118983A TWI638228B (en) | 2016-06-09 | 2017-06-08 | Metrology methods, metrology apparatus and device manufacturing method |
Country Status (6)
Country | Link |
---|---|
US (1) | US10254644B2 (en) |
KR (1) | KR20190015553A (en) |
CN (1) | CN109313393A (en) |
IL (1) | IL262935A (en) |
TW (1) | TWI638228B (en) |
WO (1) | WO2017211545A1 (en) |
Families Citing this family (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
NL2017275A (en) * | 2015-09-03 | 2017-03-08 | Asml Netherlands Bv | Beam splitting apparatus |
NL2017510A (en) * | 2015-10-12 | 2017-04-24 | Asml Netherlands Bv | Methods and apparatus for simulating interaction of radiation with structures, metrology methods and apparatus, device manufacturing method |
KR102592917B1 (en) * | 2016-08-26 | 2023-10-23 | 삼성전자주식회사 | Method for inspecting surface and method for manufacturing semiconductor device |
US10692203B2 (en) | 2018-02-19 | 2020-06-23 | International Business Machines Corporation | Measuring defectivity by equipping model-less scatterometry with cognitive machine learning |
US11519869B2 (en) * | 2018-03-20 | 2022-12-06 | Kla Tencor Corporation | Methods and systems for real time measurement control |
NL2021848A (en) * | 2018-04-09 | 2018-11-06 | Stichting Vu | Holographic metrology apparatus. |
US11054250B2 (en) | 2018-04-11 | 2021-07-06 | International Business Machines Corporation | Multi-channel overlay metrology |
CN111566566B (en) * | 2018-06-14 | 2022-04-08 | 诺威有限公司 | Metrology and process control for semiconductor manufacturing |
EP3611568A1 (en) * | 2018-08-15 | 2020-02-19 | ASML Netherlands B.V. | Method and metrology apparatus for determining estimated scattered radiation intensity |
EP3654103A1 (en) * | 2018-11-14 | 2020-05-20 | ASML Netherlands B.V. | Method for obtaining training data for training a model of a semicondcutor manufacturing process |
WO2020126248A1 (en) * | 2018-12-21 | 2020-06-25 | Asml Netherlands B.V. | Methods and apparatus for metrology |
DE102019201468A1 (en) * | 2019-02-05 | 2020-08-06 | Carl Zeiss Smt Gmbh | Device and method for repairing a photolithographic mask |
FR3098583B1 (en) * | 2019-07-12 | 2021-07-23 | Tiama | Installation and method for measuring the thickness of the walls of glass containers |
WO2021040063A1 (en) * | 2019-08-23 | 2021-03-04 | 주식회사 스킨어세이 | Spectroscope and imaging device |
US11460418B2 (en) | 2019-08-26 | 2022-10-04 | Kla Corporation | Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry |
US20220397834A1 (en) * | 2019-11-05 | 2022-12-15 | Asml Netherlands B.V. | Measuring method and measuring apparatus |
US11698251B2 (en) | 2020-01-07 | 2023-07-11 | Kla Corporation | Methods and systems for overlay measurement based on soft X-ray Scatterometry |
JP7542350B2 (en) * | 2020-07-21 | 2024-08-30 | Jswアクティナシステム株式会社 | Laser annealing apparatus, laser annealing method, and method for manufacturing semiconductor device |
TWI834063B (en) | 2020-09-30 | 2024-03-01 | 荷蘭商Asml荷蘭公司 | A vacuum system for mitigating damage due to pump malfunction |
US20220113635A1 (en) * | 2020-10-08 | 2022-04-14 | Tokyo Electron Limited | Non-Destructive Coupon Generation via Direct Write Lithography for Semiconductor Process Development |
US12013355B2 (en) | 2020-12-17 | 2024-06-18 | Kla Corporation | Methods and systems for compact, small spot size soft x-ray scatterometry |
CN114384017A (en) * | 2021-12-03 | 2022-04-22 | 武汉颐光科技有限公司 | Spectroscopic matching calibration method based on ellipsometer |
EP4242744A1 (en) * | 2022-03-09 | 2023-09-13 | ASML Netherlands B.V. | Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses |
KR102621281B1 (en) * | 2022-11-22 | 2024-01-05 | (주)오로스테크놀로지 | An optical measurement device |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050254050A1 (en) * | 2004-05-14 | 2005-11-17 | John Fielden | Systems and methods for measurement of a specimen with vacuum ultraviolet light |
US20060285120A1 (en) * | 2005-02-25 | 2006-12-21 | Verity Instruments, Inc. | Method for monitoring film thickness using heterodyne reflectometry and grating interferometry |
US20130215404A1 (en) * | 2012-02-21 | 2013-08-22 | Asml Netherlands B.V. | Inspection Apparatus and Method |
TW201606450A (en) * | 2014-07-09 | 2016-02-16 | Asml荷蘭公司 | Inspection apparatus and methods, methods of manufacturing devices |
Family Cites Families (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7221454B2 (en) * | 2002-02-14 | 2007-05-22 | Containerless Research, Inc. | Photopolarimeters and spectrophotopolarimaters with multiple diffraction gratings |
US7339682B2 (en) * | 2005-02-25 | 2008-03-04 | Verity Instruments, Inc. | Heterodyne reflectometer for film thickness monitoring and method for implementing |
US7315384B2 (en) * | 2005-05-10 | 2008-01-01 | Asml Netherlands B.V. | Inspection apparatus and method of inspection |
NL1036245A1 (en) | 2007-12-17 | 2009-06-18 | Asml Netherlands Bv | Diffraction based overlay metrology tool and method or diffraction based overlay metrology. |
NL1036597A1 (en) | 2008-02-29 | 2009-09-01 | Asml Netherlands Bv | Metrology method and apparatus, lithographic apparatus, and device manufacturing method. |
NL1036857A1 (en) | 2008-04-21 | 2009-10-22 | Asml Netherlands Bv | Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method. |
CN102498441B (en) | 2009-07-31 | 2015-09-16 | Asml荷兰有限公司 | Method for measurement and equipment, etching system and lithographic processing cell |
NL2005192A (en) | 2009-08-24 | 2011-02-28 | Asml Netherlands Bv | Metrology method and apparatus, lithographic apparatus, device manufacturing method and substrate. |
NL2006700A (en) | 2010-06-04 | 2011-12-06 | Asml Netherlands Bv | Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus. |
WO2012022584A1 (en) | 2010-08-18 | 2012-02-23 | Asml Netherlands B.V. | Substrate for use in metrology, metrology method and device manufacturing method |
JP5661194B2 (en) | 2010-11-12 | 2015-01-28 | エーエスエムエル ネザーランズ ビー.ブイ. | Metrology method and apparatus, lithography system and device manufacturing method |
KR101761735B1 (en) | 2012-03-27 | 2017-07-26 | 에이에스엠엘 네델란즈 비.브이. | Metrology method and apparatus, lithographic system and device manufacturing method |
NL2010458A (en) | 2012-04-16 | 2013-10-17 | Asml Netherlands Bv | Lithographic apparatus, substrate and device manufacturing method background. |
JP6077647B2 (en) | 2012-05-29 | 2017-02-08 | エーエスエムエル ネザーランズ ビー.ブイ. | Metrology method and apparatus, substrate, lithography system and device manufacturing method |
KR102010941B1 (en) | 2015-03-25 | 2019-08-14 | 에이에스엠엘 네델란즈 비.브이. | Measuring method, measuring device and device manufacturing method |
CN113376975A (en) | 2015-12-23 | 2021-09-10 | Asml荷兰有限公司 | Metrology method, metrology apparatus, device manufacturing method and computer program product |
-
2017
- 2017-05-16 KR KR1020197000637A patent/KR20190015553A/en not_active Application Discontinuation
- 2017-05-16 CN CN201780035631.9A patent/CN109313393A/en active Pending
- 2017-05-16 WO PCT/EP2017/061670 patent/WO2017211545A1/en active Application Filing
- 2017-06-05 US US15/614,551 patent/US10254644B2/en active Active
- 2017-06-08 TW TW106118983A patent/TWI638228B/en not_active IP Right Cessation
-
2018
- 2018-11-11 IL IL262935A patent/IL262935A/en unknown
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050254050A1 (en) * | 2004-05-14 | 2005-11-17 | John Fielden | Systems and methods for measurement of a specimen with vacuum ultraviolet light |
US20060285120A1 (en) * | 2005-02-25 | 2006-12-21 | Verity Instruments, Inc. | Method for monitoring film thickness using heterodyne reflectometry and grating interferometry |
US20130215404A1 (en) * | 2012-02-21 | 2013-08-22 | Asml Netherlands B.V. | Inspection Apparatus and Method |
TW201606450A (en) * | 2014-07-09 | 2016-02-16 | Asml荷蘭公司 | Inspection apparatus and methods, methods of manufacturing devices |
Also Published As
Publication number | Publication date |
---|---|
US20170357155A1 (en) | 2017-12-14 |
US10254644B2 (en) | 2019-04-09 |
WO2017211545A1 (en) | 2017-12-14 |
IL262935A (en) | 2018-12-31 |
KR20190015553A (en) | 2019-02-13 |
CN109313393A (en) | 2019-02-05 |
TW201809863A (en) | 2018-03-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI638228B (en) | Metrology methods, metrology apparatus and device manufacturing method | |
TWI633299B (en) | Metrology methods, metrology apparatus and device manufacturing method | |
CN107430352B (en) | Measuring method, measuring apparatus and device manufacturing method | |
TWI626422B (en) | Metrology methods, radiation source, metrology apparatus and device manufacturing method | |
US10222709B2 (en) | Metrology method, metrology apparatus and device manufacturing method | |
US10634490B2 (en) | Determining edge roughness parameters | |
US10725387B2 (en) | Determining an edge roughness parameter of a periodic structure | |
US10983361B2 (en) | Methods of aligning a diffractive optical system and diffracting beams, diffractive optical element and apparatus | |
KR20220016960A (en) | How to apply the deposition model in the semiconductor manufacturing process | |
TWI773923B (en) | Optical system, metrology apparatus and associated method | |
TW202144750A (en) | Illumination source apparatuses, methods for operating the same, lithographic cell and metrology apparatuses |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |