TWI627704B - Method for modifying spacer profile - Google Patents

Method for modifying spacer profile Download PDF

Info

Publication number
TWI627704B
TWI627704B TW105128363A TW105128363A TWI627704B TW I627704 B TWI627704 B TW I627704B TW 105128363 A TW105128363 A TW 105128363A TW 105128363 A TW105128363 A TW 105128363A TW I627704 B TWI627704 B TW I627704B
Authority
TW
Taiwan
Prior art keywords
spacer
substrate
filling material
side wall
etching
Prior art date
Application number
TW105128363A
Other languages
Chinese (zh)
Other versions
TW201715645A (en
Inventor
尼哈爾 莫漢蒂
高明輝
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201715645A publication Critical patent/TW201715645A/en
Application granted granted Critical
Publication of TWI627704B publication Critical patent/TWI627704B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00388Etch mask forming
    • B81C1/00404Mask characterised by its size, orientation or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

本文技術提供改良或整平非對稱間隔件以形成產生精確圖案轉移用之對稱間隔件的方形輪廓。初始間隔件形成通常導致具有曲面或傾斜頂端面的間隔件輪廓。使此非對稱的頂端面脫離,並保護間隔件之剩餘較低部位。使用電漿處理步驟移除頂端面,產生具有使進一步圖案化及/或精確圖案轉移得以進行之方形輪廓的間隔件。The techniques herein provide improved or flattened asymmetric spacers to form a square profile of a symmetric spacer for precise pattern transfer. The initial spacer formation typically results in a spacer profile with a curved or slanted top surface. This asymmetric tip surface is disengaged and the remaining lower part of the spacer is protected. The top surface is removed using a plasma processing step, creating a spacer with a square outline that enables further patterning and / or precise pattern transfer.

Description

間隔件輪廓之修整方法Spacer trimming method

此揭露內容關於包括以間隔件為基礎的圖案化之半導體製造。This disclosure relates to semiconductor manufacturing including spacer-based patterning.

本專利申請案主張於2015年9月3日提出申請並題為「Method for Modifying Spacer Profile」之美國臨時專利申請案第62/213,873號之優先權,其整體以參考文獻合併於此。This patent application claims priority from US Provisional Patent Application No. 62 / 213,873, filed on September 3, 2015 and entitled "Method for Modifying Spacer Profile," which is incorporated herein by reference in its entirety.

此揭露內容關於包括以間隔件為基礎的圖案化之半導體製造。This disclosure relates to semiconductor manufacturing including spacer-based patterning.

自對準雙重/四重圖案化(SADP/SAQP)技術在10nm技術節點與次10nm技術節點用以產生狹窄間距特徵部。這樣的圖案化涉及使用稱作心軸層的犧牲層,該心軸層提供可在其周圍形成間隔件圖案之心軸。通常,在心軸上沉積保形層使得間隔件材料實質上纏繞並覆蓋心軸。執行部分定向蝕刻自心軸的頂端與心軸之間的底材將保形材料移除,其保留心軸側壁上之保形材料或間隔件。在間隔件圖案形成之後,使用電漿蝕刻製程以移除心軸並保留獨立間隔件的圖案(通常在間距為心軸圖案之兩倍間距的情形下)。換言之,心軸圖案的密度可加倍(每單位長度心軸的數目)。此間隔件圖案可隨後轉移至底層中,或使用作為心軸以重複間隔件形成至四倍的圖案密度。Self-aligned double / quadruple patterning (SADP / SAQP) technology is used to generate narrow-pitch features at the 10nm and next 10nm nodes. Such patterning involves the use of a sacrificial layer called a mandrel layer, which provides a mandrel that can form a spacer pattern around it. Typically, a conformal layer is deposited on the mandrel such that the spacer material substantially entangles and covers the mandrel. Partial directional etching is performed to remove the conformal material from the substrate between the top end of the mandrel and the mandrel, which retains the conformal material or spacers on the side wall of the mandrel. After the spacer pattern is formed, a plasma etching process is used to remove the mandrel and retain the pattern of the individual spacers (typically where the pitch is twice the pitch of the mandrel pattern). In other words, the density of the mandrel pattern can be doubled (the number of mandrels per unit length). This spacer pattern can then be transferred to the bottom layer or used as a mandrel to repeat the spacer formation to a density four times as high.

以間隔件為基礎之圖案化的挑戰為間隔件本身的間隔件側與間隔件心軸側之間輪廓的非對稱性。然而,本文技術提供以電漿為基礎之蝕刻處理步驟以重整或整平非對稱間隔件以形成產生精確圖案轉移用之對稱間隔件的方形輪廓。The challenge with spacer-based patterning is the asymmetry of the contour between the spacer side and the spacer mandrel side of the spacer itself. However, the techniques herein provide a plasma-based etching process step to reform or level asymmetric spacers to form a square profile of the symmetrical spacers for precise pattern transfer.

一實施例為處理基板的方法。接收具有定位在底層上之間隔件的基板。間隔件定義起伏圖案。每一間隔件具有第一側壁與在其相反側之第二側壁。第一側壁與第二側壁係與底層垂直。第一側壁較第二側壁高。在基板上沉積填充材料。填充材料填充間隔件之間的空間。填充材料使基板平坦化,使得間隔件受到掩埋。執行第一蝕刻步驟,其蝕刻填充材料之第一部分直到間隔件的頂部露出,使得第一側壁的頂端與第二側壁的頂端兩者皆露出。執行第二蝕刻步驟,其非等向性蝕刻基板直到第一側壁之頂端與第二側壁之頂部於量測自底層的高度大致相等。第二蝕刻步驟使用在蝕刻速率大於填充材料的蝕刻速率至少五倍之情形下蝕刻間隔件的電漿蝕刻化學性質。隨後自基板移除填充材料,使得間隔件保留於基板上。One embodiment is a method of processing a substrate. A substrate having a spacer positioned on a bottom layer is received. The spacer defines an undulating pattern. Each spacer has a first sidewall and a second sidewall on the opposite side. The first side wall and the second side wall are perpendicular to the bottom layer. The first sidewall is higher than the second sidewall. A filler material is deposited on the substrate. The filler material fills the space between the spacers. The filling material flattens the substrate so that the spacer is buried. A first etching step is performed, which etches the first portion of the filling material until the top of the spacer is exposed, so that both the top of the first sidewall and the top of the second sidewall are exposed. A second etching step is performed, in which the substrate is anisotropically etched until the top of the first sidewall and the top of the second sidewall are approximately equal in height measured from the bottom layer. The second etch step uses a plasma etch chemistry that etches the spacer at a rate that is at least five times greater than the etch rate of the fill material. The filler material is then removed from the substrate so that the spacers remain on the substrate.

為了更佳的圖案轉移,這樣的技術可改善線邊緣粗糙度與線寬粗糙度。藉由移除存在於非對稱間隔件之底切的本文技術,間隔件輪廓實質上為方形的。For better pattern transfer, such techniques can improve line edge roughness and line width roughness. By removing the techniques herein that present the undercuts of the asymmetric spacer, the spacer profile is substantially square.

當然,如本文所述之不同步驟的討論次序已為清楚之目的呈現。 一般而言,這些步驟可依任何合適的次序執行。此外,雖本文不同特徵部、技術、構造等之任一者可在本揭露內容的不同地方討論,然欲使概念之任一者可彼此獨立或相互組合地執行。因此,本發明可以許多不同方式實施與查看。Of course, the order of discussion of the different steps as described herein has been presented for clarity. In general, these steps can be performed in any suitable order. In addition, although any of the different features, technologies, structures, etc. of this article can be discussed at different places in this disclosure, it is intended that any one of the concepts can be implemented independently or in combination with each other. Therefore, the present invention can be implemented and viewed in many different ways.

應注意本發明內容部分並無指定本揭露內容或主張的發明之每一實施例及/或漸增的新穎實施態樣。取而代之,本發明內容僅提供不同實施例及相對應之勝過習知技術之新穎性重點的初步討論。對於本發明與實施例的附加細節及/或可行觀點,將導引讀者至以下進一步討論之本揭露內容的實施方式部分與對應圖式。It should be noted that the present disclosure does not specify each embodiment of the disclosure or claimed invention and / or an increasing number of novel implementation aspects. Instead, this summary only provides a preliminary discussion of the different embodiments and the corresponding novelty points that outperform conventional technologies. For additional details and / or feasible viewpoints of the present invention and embodiments, the reader will be guided to the implementation part and corresponding drawings of the present disclosure discussed further below.

以間隔件為基礎之圖案化的挑戰為間隔件側和心軸側之間的間隔件輪廓的非對稱性。給定間隔件之心軸側係在移除心軸之前鄰接心軸的側壁。心軸側通常具有非常高之間隔件遮罩高度。間隔件側為不鄰接心軸而通常以間隔件側壁之間的間隙或空間(相對間隔件側壁)面向另一間隔件的側壁。間隔件側通常具有較低的間隔件遮罩高度。因此,給定心軸之頂端面通常自心軸側頂端向下傾斜至間隔件側頂端。頂端面可為平或曲面的。The challenge of spacer-based patterning is the asymmetry of the spacer profile between the spacer side and the mandrel side. The mandrel side of a given spacer is adjacent to the side wall of the mandrel before the mandrel is removed. The mandrel side usually has a very high spacer mask height. The spacer side is not adjacent to the mandrel and usually faces the side wall of another spacer with a gap or space (relative to the spacer side wall) between the spacer side walls. The spacer side typically has a lower spacer mask height. Therefore, the top surface of a given mandrel is usually inclined downward from the mandrel side top to the spacer side top. The top face can be flat or curved.

此斜率為間隔件形成之力學的產物。當保形膜包覆圍繞一組心軸時,轉角處的保形膜通常為圓形,而非方形。圖1顯示具有定位在底層107上之心軸110的範例性基板105。在基板105上沉積保形膜115。保形膜115實質上包覆在心軸的輪廓上。然而,應注意保形膜115並非完美地保形,且通常導致圓形轉角而非直角轉角。This slope is a product of the mechanics of spacer formation. When a conformal film is wrapped around a set of mandrels, the conformal film at the corners is usually round, rather than square. FIG. 1 shows an exemplary substrate 105 having a mandrel 110 positioned on a bottom layer 107. A conformal film 115 is deposited on the substrate 105. The conformal film 115 substantially covers the outline of the mandrel. However, it should be noted that the conformal film 115 is not perfectly conformal and usually results in rounded corners rather than right-angled corners.

現參照圖2,保形膜115沉積在心軸110上之後,可執行間隔件蝕刻或間隔件開口蝕刻。此間隔件產生蝕刻通常為非等向性(定向蝕刻),其持續直到心軸的頂端面露出及地板材料(底層107)露出。大多數保形膜受到大致相等地蝕刻,此代表將側壁沉積之間的底層上的保形膜移除,而使底層的一部分露出。此在心軸110的側壁上保留保形膜而產生間隔件116。換言之,去除水平沉積而保留垂直沉積。保形膜115的修整經由此間隔件開口蝕刻進行,導致間隔件116在鄰近心軸110處具有較高側面之傾斜的頂端面。Referring now to FIG. 2, after the conformal film 115 is deposited on the mandrel 110, spacer etching or spacer opening etching may be performed. This spacer-generating etch is usually anisotropic (directional etch), which continues until the top surface of the mandrel is exposed and the floor material (bottom layer 107) is exposed. Most conformal films are etched approximately equally, which means that the conformal film on the bottom layer between the sidewall depositions is removed, leaving a portion of the bottom layer exposed. This leaves a conformal film on the side wall of the mandrel 110 to create a spacer 116. In other words, the horizontal deposition is removed and the vertical deposition is retained. The trimming of the conformal film 115 is performed through this spacer opening etching, resulting in the spacer 116 having an inclined top surface with a higher side adjacent to the mandrel 110.

在間隔件116已產生的情況下,可移除心軸110。圖3顯示範例性結果。間隔件116實質上現為心軸,但是比心軸110具有更大的密度(每單位面積更大的數目)。心軸的數目實質上加倍。但間隔件116的缺點為非對稱輪廓。如圖3中所見,間隔件116具有傾斜的頂端面。圖8為顯示在間隔件開口蝕刻且已移除心軸110之後之間隔件實際輪廓的放大圖像。Where the spacer 116 has been created, the mandrel 110 may be removed. Figure 3 shows exemplary results. The spacer 116 is now essentially a mandrel, but has a greater density (larger number per unit area) than the mandrel 110. The number of mandrels is substantially doubled. A disadvantage of the spacer 116 is an asymmetric profile. As seen in FIG. 3, the spacer 116 has an inclined top surface. FIG. 8 is an enlarged image showing the actual outline of the spacer after the spacer opening is etched and the mandrel 110 has been removed.

間隔件頂端面之所得斜率產生對連續微製造的挑戰。例如,在用以圖案轉移之定向蝕刻期間,將離子以垂直於基板的角度導向基板105。在圖案轉移期間,間隔件116在間隔件側118上的斜率導致不正常離子的行為不同。使用非對稱間隔件之移轉圖案可能導致在間隔件側上的粗糙度較心軸側上更大、間隔件側之底層的底切、及產生與心軸側相比之間隔件側的輪廓差異。雖然心軸側大多不受不正常離子的影響,但間隔件側上的不正常離子可能潛在地損壞間隔件遮罩,其可能由於不正常離子之鏡面反射。因此,間隔件側與心軸側之間的間隔件遮罩高度差可能導致後圖案轉移蝕刻之間隔件側與心軸側之間的顯著差異。The resulting slope of the top face of the spacer creates a challenge for continuous microfabrication. For example, during directional etching for pattern transfer, ions are directed to the substrate 105 at an angle perpendicular to the substrate. During the pattern transfer, the slope of the spacer 116 on the spacer side 118 results in a different behavior of the abnormal ions. Using an asymmetric spacer transfer pattern may result in greater roughness on the spacer side than on the mandrel side, undercutting of the bottom layer on the spacer side, and a profile on the spacer side compared to the mandrel side difference. Although the mandrel side is mostly unaffected by abnormal ions, the abnormal ions on the spacer side may potentially damage the spacer mask, which may be due to the specular reflection of the abnormal ions. Therefore, the difference in the height of the spacer mask between the spacer side and the mandrel side may cause a significant difference between the spacer side and the mandrel side of the post-pattern transfer etching.

然而,本文技術提供以間隔件為基礎的圖案化製程,其藉由重整或重塑間隔件輪廓而消除這些挑戰。However, the techniques herein provide a spacer-based patterning process that eliminates these challenges by reformulating or reshaping the profile of the spacer.

一實施例包括間隔件重整用的基板處理方法。再次參照圖3,接收具有定位在以間隔件定義起伏圖案之底層107上之間隔件116的基板。換言之,已移除用以形成間隔件116的心軸110。每一間隔件具有第一側壁(如心軸側119)與在每一間隔件相反側之第二側壁(如間隔件側118)。第一側壁與第二側壁垂直於底層107、或具有實質上垂直於底層107或基板地板的表面。第一側壁在高度上較第二側壁更大。換言之,第一側壁較第二側壁高。因此,產生具有角度或曲面的頂端面而非期望的水平(平整)表面的間隔件。An embodiment includes a substrate processing method for spacer reforming. Referring again to FIG. 3, a substrate having a spacer 116 positioned on a bottom layer 107 defining a relief pattern with the spacer is received. In other words, the mandrel 110 used to form the spacer 116 has been removed. Each spacer has a first sidewall (such as the mandrel side 119) and a second sidewall (such as the spacer side 118) on the opposite side of each spacer. The first side wall and the second side wall are perpendicular to the bottom layer 107 or have a surface substantially perpendicular to the bottom layer 107 or the substrate floor. The first sidewall is larger in height than the second sidewall. In other words, the first sidewall is higher than the second sidewall. As a result, a spacer with an angled or curved top surface rather than a desired horizontal (flat) surface is produced.

現參照圖4,填充材料121隨後沉積在基板105上。填充材料121填充間隔件116之間的空間,且亦可使基板平坦化,使得間隔件受到掩埋。填充材料可藉由執行於基板旋轉時沉積液體填充材料之旋塗沉積步驟而沉積。半導體製造塗佈機/顯影機工具可用於此旋塗式沉積步驟。各種類型的填充材料可藉由旋塗式沉積而沉積。範例性材料可包括有機材料、硬遮罩材料、含金屬材料等。或者,可執行選擇性沉積步驟,其在間隔件之間沉積填充材料並使填充材料以相同高度覆蓋基板(但不覆蓋間隔件)。如此的選擇性沉積係具挑戰性的。或者,可使用化學氣相沉積執行填充材料沉積,尤其當CVD沉積材料形成平面層時。Referring now to FIG. 4, a filler material 121 is subsequently deposited on the substrate 105. The filling material 121 fills the space between the spacers 116, and also flattens the substrate, so that the spacers are buried. The filling material may be deposited by performing a spin coating deposition step of depositing a liquid filling material while the substrate is rotating. A semiconductor manufacturing coater / developer tool can be used for this spin-on deposition step. Various types of filler materials can be deposited by spin-on deposition. Exemplary materials may include organic materials, hard mask materials, metal-containing materials, and the like. Alternatively, a selective deposition step may be performed that deposits a filler material between the spacers and causes the filler material to cover the substrate at the same height (but not the spacers). Such selective deposition is challenging. Alternatively, filling material deposition may be performed using chemical vapor deposition, especially when the CVD deposition material forms a planar layer.

隨後執行蝕刻填充材料的第一部分直到露出間隔件的頂部之第一蝕刻步驟,使得第一側壁的頂端(如心軸側119)與第二側壁的頂端(如間隔件側118)兩者皆露出。換言之,執行填充材料121的回蝕(部分蝕刻)以使間隔件116的尖端露出。應注意:可繼續此部分蝕刻直到實質上露出間隔件116的頂端面。在大多數實施例中,此導致第一側壁之相對較大部分露出,而相對少露出第二側壁。因填充材料可被下拉直到到達心軸頂端面與第二側壁交會之轉角,故非必要使第二側壁露出。在實務中,將填充材料下拉至間隔件116之頂角(邊緣)兩者以下而使間隔件116之具有角度的尖端完全露出可能更加容易。因此,執行第一蝕刻步驟直到使間隔件的頂端面露出並露出在輪廓上不對稱之間隔件的頂部。圖5說明如此的填充材料之回蝕之後的範例性結果。A first etching step is then performed to etch the first portion of the filler material until the top of the spacer is exposed, so that both the top of the first sidewall (such as the mandrel side 119) and the top of the second sidewall (such as the spacer side 118) are exposed . In other words, etch-back (partial etching) of the filling material 121 is performed to expose the tip of the spacer 116. It should be noted that this partial etching may be continued until the top surface of the spacer 116 is substantially exposed. In most embodiments, this results in a relatively large portion of the first sidewall being exposed, and relatively little exposure of the second sidewall. Because the filling material can be pulled down until it reaches the corner where the top surface of the mandrel meets the second side wall, it is not necessary to expose the second side wall. In practice, it may be easier to pull down the filling material below both the top angle (edge) of the spacer 116 and expose the angled tip of the spacer 116 completely. Therefore, the first etching step is performed until the top surface of the spacer is exposed and the top of the spacer having an asymmetrical profile is exposed. FIG. 5 illustrates exemplary results after etch back of such a filler material.

在一實施例中,第一蝕刻步驟為以電漿為基礎的非等向性蝕刻步驟。可使用各種技術以確定何時停止第一蝕刻步驟。例如,可使用基於蝕刻速率的計算。或者,可使用終點檢測技術(如質譜分析)以偵測埋藏的間隔件何時露出。In one embodiment, the first etching step is a plasma-based anisotropic etching step. Various techniques can be used to determine when to stop the first etch step. For example, a calculation based on the etch rate may be used. Alternatively, endpoint detection techniques such as mass spectrometry can be used to detect when a buried spacer is exposed.

執行第二蝕刻步驟,其等向性蝕刻基板直到第一側壁的頂端與第二側壁的頂端於測量自底層或間隔件基底的高度大致相等。換言之,執行第二蝕刻步驟直到間隔件具有平坦的頂端面。因此,執行第二蝕刻步驟直到移除非對稱輪廓之間隔件的頂部,產生具有對稱橫剖面輪廓的間隔件。A second etching step is performed, in which the substrate is isotropically etched until the top end of the first side wall and the top end of the second side wall are approximately equal in height measured from the bottom layer or the spacer substrate. In other words, the second etching step is performed until the spacer has a flat top surface. Therefore, a second etching step is performed until the top of the asymmetrically contoured spacer is removed, resulting in a spacer with a symmetrical cross-sectional profile.

第二蝕刻步驟使用在蝕刻速率大於填充材料的蝕刻速率至少五倍之情形下蝕刻間隔件的電漿蝕刻化學性質。換言之,使用對填充材料具高選擇性的蝕刻化學性質,使得當蝕刻劑將尖狀間隔件磨耗成水平或平行於下面的層或基底本身的平坦表面時,填充材料大部分完整保留。圖6顯示範例性結果。The second etch step uses a plasma etch chemistry that etches the spacer at a rate that is at least five times greater than the etch rate of the fill material. In other words, the use of etching chemistry with high selectivity to the filler material allows the filler material to remain largely intact when the etchant wears the sharp spacers horizontally or parallel to the flat surface of the underlying layer or substrate itself. Figure 6 shows exemplary results.

可以使用各種電漿蝕刻化學性質執行間隔件蝕刻以重整間隔件頂端。 所選的實際化學性質取決於間隔件材料的類型與填充材料的類型。一範例性實施例可包括使用比待重整的間隔件對有機材料具有高選擇性的蝕刻化學性質。範例性化學性質選擇可包括以氟、氯、或溴為基礎的原料氣體,如Cx Fy (像是CF4 、C4 F8 、C4 F6 等)、Cx Hy Fz (像是CHF3 、CH2 F2 、CH3 F等)、NF3 、SF6 、Cl2 、BCl3 、HBr、CH4 等、具有或不具有稀有氣體稀釋劑(如Ar、He、Xe等)、或添加劑(如O2 、N2 、CO2 、COS等)。或者,並根據間隔件材料性質,對第二蝕刻步驟執行濕式蝕刻。Spacer etch can be performed using various plasma etch chemistries to reform the top of the spacer. The actual chemistry chosen depends on the type of spacer material and the type of filler material. An exemplary embodiment may include an etching chemistry having a higher selectivity for organic materials than a spacer to be reformed. Exemplary chemical property choices may include fluorine, chlorine, or bromine-based feedstock gases, such as C x F y (such as CF 4 , C 4 F 8 , C 4 F 6, etc.), C x H y F z ( (Such as CHF 3 , CH 2 F 2 , CH 3 F, etc.), NF 3 , SF 6 , Cl 2 , BCl 3 , HBr, CH 4, etc., with or without a rare gas diluent (such as Ar, He, Xe, etc.) ), Or additives (such as O 2 , N 2 , CO 2 , COS, etc.). Alternatively, and according to the spacer material properties, wet etching is performed on the second etching step.

在蝕刻間隔件頂端以產生平坦表面的間隔件之後,自基板移除填充材料121,使得間隔件116保留在基板上。當有機材料用於填充材料時,隨後可在灰化室或電漿處理室中執行灰化處理。當填充物選自非有機材料或含金屬材料時,則可使用濕式清潔以溶解並移除填充材料。因此,移除填充材料可取決於使用的填充材料的類型及間隔件材料的類型。圖7顯示移除填充材料並保留間隔件116之範例性結果。After the top of the spacer is etched to create a flat surface spacer, the filler material 121 is removed from the substrate so that the spacer 116 remains on the substrate. When an organic material is used for the filling material, the ashing process may be subsequently performed in an ashing chamber or a plasma processing chamber. When the filler is selected from non-organic or metal-containing materials, wet cleaning can be used to dissolve and remove the filler. Therefore, removing the filler material may depend on the type of filler material used and the type of spacer material. FIG. 7 shows an exemplary result of removing the filler material and retaining the spacer 116.

因此,在沉積填充材料之前,間隔件可具有自第一側壁頂端至第二側壁頂端之彎曲頂端面或傾斜頂端面。圖8顯示放大的入料間隔件輪廓。在使用本文技術的情形下,以本文處理完成第二蝕刻步驟之後,間隔件重整成水平表面。圖9顯示放大的所得間隔件輪廓。應注意間隔件的頂部現具有實質平坦或方形的輪廓。Therefore, before the filling material is deposited, the spacer may have a curved top surface or an inclined top surface from the top end of the first side wall to the top end of the second side wall. Figure 8 shows an enlarged feed spacer profile. In the case of using the technique herein, the spacer is reformed into a horizontal surface after the second etching step is completed in the process herein. Figure 9 shows the resulting spacer profile on an enlarged scale. It should be noted that the top of the spacer now has a substantially flat or square outline.

在先前描述中,已提出特定細節,像是處理系統的特定幾何結構與在其中所用的各種元件和製程的描述。然而,應理解本文技術可在偏離這些特定細節的其他實施例中實現,且這樣的細節係為說明而非限制的目的。在此揭露的實施例已參照附圖描述。類似地,為解釋之目的,提出特定數字、材料、及構造以提供徹底了解。然而,實施例可在缺少這樣的特定細節下實現。具有實質相同功能結構的元件以相同的參考符號表示,並因此省略任何冗餘的描述。In the previous description, specific details have been proposed, such as a description of the specific geometry of the processing system and the various components and processes used therein. It should be understood, however, that the techniques herein may be implemented in other embodiments that depart from these specific details, and such details are for the purpose of illustration and not limitation. The embodiments disclosed herein have been described with reference to the drawings. Similarly, for explanatory purposes, specific numbers, materials, and constructions are presented to provide a thorough understanding. However, embodiments may be implemented without such specific details. Elements having substantially the same functional structure are denoted by the same reference symbols, and therefore any redundant description is omitted.

各種操作將以最有助於理解本發明的方式,依序描述為複數分立操作。然而,描述的次序不應被理解成暗示這些操作必定為次序相依。尤其,這些操作不需以呈現之次序執行。所述之操作可依不同於所述實施例中的次序而執行。於其他的實施例中,可執行各種附加操作及/或省略所描述的操作。The various operations will be described in sequence as plural discrete operations in a manner that is most helpful in understanding the invention. However, the order of description should not be understood to imply that these operations must be order dependent. In particular, these operations need not be performed in the order presented. The operations described may be performed in a different order than in the embodiments. In other embodiments, various additional operations may be performed and / or operations described are omitted.

如同在此所用之「基板」或「目標基板」通常指依據本發明正進行製程之物件。基板可包括元件(尤其是半導體或其他電子元件)之任何材料的部分或結構,並且可例如為基礎基板結構(像是半導體晶圓)、初縮遮罩、或基礎基板結構上或覆蓋其該基礎基板結構之疊層(例如薄膜)。因此,基板不受限於任何特定的基礎結構、底層或覆蓋層、圖案化或未圖案化,而是設想到包括任何這樣的疊層或基礎結構、及疊層及/或基礎結構之任何組合。描述可參照特定的基板樣式,但這僅為說明性目的。As used herein, "substrate" or "target substrate" generally refers to an object that is being processed in accordance with the present invention. The substrate may include a portion or structure of any material of a component (especially a semiconductor or other electronic component), and may be, for example, a base substrate structure (such as a semiconductor wafer), an initial shrink mask, or a base substrate structure that covers or covers it. A stack of base substrate structures (eg, films). Therefore, the substrate is not limited to any particular base structure, bottom layer or overlay, patterned or unpatterned, but is envisaged to include any such stack or base structure, and any combination of stacks and / or base structures . The description may refer to a specific substrate style, but this is for illustrative purposes only.

本領域之技術人員應理解可對以上說明的技術操作做出許多變化,而仍達到本發明之相同目的。欲使這樣的變化由本揭露內容的範疇所覆蓋。 因此,不欲使本發明實施例的先前描述係限制性的。相反,對本發明實施例的任何限制將在以下申請專利範圍中呈現。Those skilled in the art will understand that many changes can be made to the technical operations described above, while still achieving the same purpose of the present invention. It is intended that such changes be covered by the scope of this disclosure. Therefore, the previous description of the embodiments of the present invention is not intended to be limiting. Instead, any limitations to the embodiments of the invention will be presented in the scope of the following patent applications.

105‧‧‧基板105‧‧‧ substrate

107‧‧‧底層107‧‧‧ ground floor

110‧‧‧心軸110‧‧‧ mandrel

115‧‧‧保形膜115‧‧‧ conformal film

116‧‧‧間隔件116‧‧‧ spacer

118‧‧‧間隔件側118‧‧‧spacer side

119‧‧‧間隔件側119‧‧‧ spacer side

121‧‧‧填充材料121‧‧‧ Filling material

參考結合附圖所考慮的以下詳細描述,本發明各種實施例及許多其伴隨的優點之更完整的瞭解將顯而易見。附圖未必按比例繪製,而將重點放在說明特徵、原理、與概念。A more complete understanding of the various embodiments of the invention and its attendant advantages will be apparent with reference to the following detailed descriptions considered in conjunction with the accompanying drawings. The drawings are not necessarily drawn to scale, with emphasis on illustrating features, principles, and concepts.

圖1為顯示間隔件形成之範例性基板段的橫剖面示意圖。FIG. 1 is a schematic cross-sectional view showing an exemplary substrate segment formed by a spacer.

圖2為顯示間隔件形成之範例性基板段的橫剖面示意圖。FIG. 2 is a schematic cross-sectional view showing an exemplary substrate segment formed by a spacer.

圖3為顯示依據本文所揭實施例之成形的間隔件之範例性基板段的橫剖面示意圖。3 is a schematic cross-sectional view showing an exemplary substrate segment of a formed spacer according to an embodiment disclosed herein.

圖4為顯示依據本文所揭實施例之間隔件重整流程之範例性基板段的橫剖面示意圖。4 is a schematic cross-sectional view illustrating an exemplary substrate segment according to a spacer reforming process according to an embodiment disclosed herein.

圖5為顯示依據本文所揭實施例之間隔件重整流程之範例性基板段的橫剖面示意圖。5 is a schematic cross-sectional view illustrating an exemplary substrate segment according to a spacer reforming process according to an embodiment disclosed herein.

圖6為顯示依據本文所揭實施例之間隔件重整流程之範例性基板段的橫剖面示意圖。FIG. 6 is a schematic cross-sectional view illustrating an exemplary substrate segment according to a spacer reforming process according to an embodiment disclosed herein.

圖7為顯示依據本文所揭實施例之間隔件重整流程之範例性基板段的橫剖面示意圖。FIG. 7 is a schematic cross-sectional view illustrating an exemplary substrate segment according to a spacer reforming process according to an embodiment disclosed herein.

圖8為顯示具有非對稱間隔件輪廓之間隔件橫剖面的放大圖。FIG. 8 is an enlarged view showing a cross section of a spacer having an asymmetric spacer profile.

圖9為依據本文實施例之具有對稱間隔件輪廓之重整間隔件的橫剖面的放大圖。FIG. 9 is an enlarged view of a cross section of a reforming spacer having a symmetrical spacer profile according to an embodiment of the present invention.

Claims (12)

一種處理基板的方法,該方法包含:接收具有定位在一底層上之間隔件之一基板,該間隔件定義一起伏圖案,每一該間隔件具有一第一側壁與在每一該間隔件的相反側之一第二側壁,該第一側壁與該第二側壁垂直於該底層,該第一側壁在高度上較該第二側壁大;沉積一填充材料於該基板上,該填充材料填充該間隔件之間的空間,該填充材料使該基板平坦化,使得該間隔件受到掩埋;執行一第一蝕刻步驟,該第一蝕刻步驟蝕刻該填充材料之一第一部分直到露出該間隔件之一頂部,使得該第一側壁之一頂端與該第二側壁之一頂端兩者皆露出;執行一第二蝕刻步驟,該第二蝕刻步驟非等向性蝕刻該基板直到該第一側壁之該頂端與該第二側壁之該頂部於自該底層量測之高度上大致相等,該第二蝕刻步驟使用在大於該填充材料之一蝕刻速率至少五倍之蝕刻速率下蝕刻該間隔件之電漿蝕刻化學性質;及自該基板移除該填充材料,使得該間隔件保留於該基板上。A method for processing a substrate, the method comprises: receiving a substrate having a spacer positioned on a bottom layer, the spacer defining a pattern, each spacer having a first side wall and a A second side wall on the opposite side, the first side wall and the second side wall are perpendicular to the bottom layer, the first side wall is larger in height than the second side wall; a filling material is deposited on the substrate, and the filling material fills the A space between the spacers, the filling material planarizes the substrate, so that the spacers are buried; a first etching step is performed, the first etching step etches a first portion of the filler material until one of the spacers is exposed Top, so that both the top of one of the first sidewalls and the top of one of the second sidewalls are exposed; a second etching step is performed, and the second etching step anisotropically etches the substrate up to the top of the first sidewall The top of the second side wall is substantially equal to the height measured from the bottom layer. The second etching step uses an etching rate at least five times greater than an etching rate of the filling material. Plasma etching the etching chemical nature of the spacer; and the substrate is removed from the filler material, such that the spacer remains on the substrate. 如申請專利範圍第1項之處理基板的方法,其中沉積該填充材料包括執行一旋轉沉積步驟,該旋轉沉積步驟在該基板正旋轉時沉積液態填充材料。For example, the method for processing a substrate according to item 1 of the patent application, wherein depositing the filling material includes performing a spin deposition step, which deposits a liquid filling material when the substrate is rotating. 如申請專利範圍第2項之處理基板的方法,其中沉積該填充材料包括沉積一有機平坦層。The method for processing a substrate according to item 2 of the patent application, wherein depositing the filling material includes depositing an organic flat layer. 如申請專利範圍第2項之處理基板的方法,其中沉積該填充材料包括沉積一含金屬材料。For example, the method for processing a substrate according to claim 2, wherein depositing the filling material includes depositing a metal-containing material. 如申請專利範圍第1項之處理基板的方法,其中沉積該填充材料包括執行在該間隔件之間沉積該填充材料之一化學氣相沉積步驟。The method for processing a substrate as claimed in claim 1, wherein depositing the filling material includes performing a chemical vapor deposition step of depositing the filling material between the spacers. 如申請專利範圍第1項之處理基板的方法,其中該間隔件於沉積該填充材料之前具有自該第一側壁之該頂端延伸至該第二側壁之該頂端之一曲面的頂端面,且其中在完成該第二蝕刻步驟之後,該間隔件產生一水平的頂端面。For example, the method for processing a substrate according to claim 1 in which the spacer has a top surface extending from the top end of the first side wall to a curved surface of the top end of the second side wall before depositing the filling material, and wherein After the second etching step is completed, the spacer produces a horizontal top surface. 如申請專利範圍第1項之處理基板的方法,其中該間隔件於沉積該填充材料之前具有自該第一側壁之該頂部延伸至該第二側壁之該頂部之一傾斜的頂端面,且其中在完成該第二蝕刻步驟之後,該間隔件產生一水平的頂端面。For example, the method for processing a substrate according to claim 1, wherein the spacer has an inclined top surface extending from the top of the first sidewall to one of the top of the second sidewall before depositing the filling material, and wherein After the second etching step is completed, the spacer produces a horizontal top surface. 如申請專利範圍第1項之處理基板的方法,其中執行該第一蝕刻步驟包括執行一非等向性蝕刻。For example, the method for processing a substrate according to claim 1, wherein performing the first etching step includes performing an anisotropic etching. 如申請專利範圍第1項之處理基板的方法,其中執行該第一蝕刻步驟包括執行一濕式化學蝕刻。For example, the method for processing a substrate according to claim 1, wherein performing the first etching step includes performing a wet chemical etching. 如申請專利範圍第1項之處理基板的方法,其中自該基板移除該填充材料包括執行一灰化製程。For example, the method for processing a substrate according to claim 1, wherein removing the filling material from the substrate includes performing an ashing process. 如申請專利範圍第1項之處理基板的方法,其中自該基板移除該填充材料包括執行一濕式清潔。For example, the method for processing a substrate according to claim 1, wherein removing the filling material from the substrate includes performing a wet cleaning. 一種處理基板的方法,包含:接收具有定位在一底層上之間隔件之一基板,該間隔件定義一起伏圖案,每一該間隔件具有一第一側壁與在每一該間隔件的相反側之一第二側壁,該第一側壁與該第二側壁垂直於該底層,該第一側壁在高度上較該第二側壁大,使得每一間隔件具有非對稱輪廓之一頂部;沉積一填充材料於該基板上,該填充材料填充該間隔件之間的空間,該填充材料使該基板平坦化,使得該間隔件受到掩埋;執行一第一蝕刻步驟,該第一蝕刻步驟蝕刻該填充材料之一第一部分直到露出該間隔件之頂端面,並露出具非對稱輪廓之該間隔件之該頂部;執行一第二蝕刻步驟,該第二蝕刻步驟非等向性蝕刻該基板直到移除具非對稱輪廓之該間隔件之該頂部,該第二蝕刻步驟使用在大於該填充材料之一蝕刻速率至少五倍之一蝕刻速率下蝕刻該間隔件的電漿蝕刻化學性質;及自該基板移除該填充材料,使得該間隔件保留於該基板上。A method for processing a substrate, comprising: receiving a substrate having a spacer positioned on a bottom layer, the spacer defining a volt pattern, each of the spacers having a first side wall and an opposite side of each of the spacers A second side wall, the first side wall and the second side wall are perpendicular to the bottom layer, the first side wall is larger in height than the second side wall, so that each spacer has a top of an asymmetric profile; Material on the substrate, the filling material fills the space between the spacers, the filling material planarizes the substrate, so that the spacers are buried; a first etching step is performed, and the first etching step etches the filling material A first part until the top surface of the spacer is exposed and the top of the spacer with an asymmetric profile is exposed; a second etching step is performed, the second etching step anisotropically etches the substrate until the substrate is removed The top of the spacer with an asymmetric profile, the second etching step uses etching the spacer at an etching rate that is at least five times greater than an etching rate of the filling material Plasma etching and chemical properties; and removing the substrate from the filler material, such that the spacer remains on the substrate.
TW105128363A 2015-09-03 2016-09-02 Method for modifying spacer profile TWI627704B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562213873P 2015-09-03 2015-09-03
US62/213,873 2015-09-03

Publications (2)

Publication Number Publication Date
TW201715645A TW201715645A (en) 2017-05-01
TWI627704B true TWI627704B (en) 2018-06-21

Family

ID=58189661

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105128363A TWI627704B (en) 2015-09-03 2016-09-02 Method for modifying spacer profile

Country Status (3)

Country Link
US (1) US9812325B2 (en)
KR (1) KR101888750B1 (en)
TW (1) TWI627704B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10867804B2 (en) * 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device and structures resulting therefrom
US10950442B2 (en) * 2018-07-06 2021-03-16 Tokyo Electron Limited Methods to reshape spacers for multi-patterning processes using thermal decomposition materials
US11195718B2 (en) 2019-07-03 2021-12-07 Beijing E-town Semiconductor Technology Co., Ltd. Spacer open process by dual plasma
US11201056B2 (en) 2020-03-18 2021-12-14 International Business Machines Corporation Pitch multiplication with high pattern fidelity

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200913010A (en) * 2007-06-08 2009-03-16 Tokyo Electron Ltd Patterning method
CN101405216A (en) * 2006-03-23 2009-04-08 美光科技公司 Topography directed patterning
TW201316405A (en) * 2011-08-26 2013-04-16 Applied Materials Inc Double patterning etching process
CN104064457A (en) * 2006-07-10 2014-09-24 美光科技公司 Pitch Reduction Technology Using Alternating Spacer Depositions During The Formation Of A Semiconductor Device And Systems Including Same
US20140315382A1 (en) * 2012-12-21 2014-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection Wires of Semiconductor Devices

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100674970B1 (en) * 2005-04-21 2007-01-26 삼성전자주식회사 Method for fabricating small pitch patterns by using double spacers
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7795149B2 (en) * 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7923373B2 (en) * 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
KR101150463B1 (en) * 2008-03-13 2012-06-01 에스케이하이닉스 주식회사 Semiconductor device and method for manufacturing the same
CN104167348B (en) * 2013-05-17 2017-02-22 中芯国际集成电路制造(上海)有限公司 Method of forming spacer pattern mask
US8871651B1 (en) * 2013-07-12 2014-10-28 Globalfoundries Inc. Mask formation processing
KR101848578B1 (en) * 2014-01-28 2018-04-12 도쿄엘렉트론가부시키가이샤 Method for self-aligned double patterning without atomic layer deposition

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101405216A (en) * 2006-03-23 2009-04-08 美光科技公司 Topography directed patterning
CN104064457A (en) * 2006-07-10 2014-09-24 美光科技公司 Pitch Reduction Technology Using Alternating Spacer Depositions During The Formation Of A Semiconductor Device And Systems Including Same
TW200913010A (en) * 2007-06-08 2009-03-16 Tokyo Electron Ltd Patterning method
TW201316405A (en) * 2011-08-26 2013-04-16 Applied Materials Inc Double patterning etching process
US20140315382A1 (en) * 2012-12-21 2014-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection Wires of Semiconductor Devices

Also Published As

Publication number Publication date
TW201715645A (en) 2017-05-01
US9812325B2 (en) 2017-11-07
KR20170028287A (en) 2017-03-13
KR101888750B1 (en) 2018-08-14
US20170069495A1 (en) 2017-03-09

Similar Documents

Publication Publication Date Title
TWI627704B (en) Method for modifying spacer profile
TWI579892B (en) Etching method to form spacers having multiple film layers
TWI453814B (en) Method for plasma etching performance enhancement
US6630410B2 (en) Self-aligned PECVD etch mask
KR101025741B1 (en) Method for forming active pillar of vertical channel transistor
JP2007134668A (en) Method for forming trench of semiconductor element and element isolation method of semiconductor element using it
US20080233730A1 (en) Method for fabricating semiconductor device
JP2004335526A (en) Method of manufacturing semiconductor device
JP2008538857A (en) Stabilized photoresist composition for etching process
TWI571931B (en) Semiconductor device fabrication method, method of forming collapse-free high aspect-ratio trenches in a semiconductor film stack, and semiconductor device fabricated by the same
US7807574B2 (en) Etching method using hard mask in semiconductor device
JP2008538857A5 (en)
CN108206131B (en) Semiconductor structure and method for forming semiconductor structure
KR20110011571A (en) Plasma etch method to reduce micro-loading
KR101772309B1 (en) Mitigation of asymmetrical profile in self aligned patterning etch
TW201615535A (en) Methods for self-aligned patterning and iterative self-aligned patterning
CN107731666B (en) Double patterning method
TWI400752B (en) Method of forming a deep trench in a substrate
CN108574010B (en) Semiconductor structure and forming method thereof
US9966312B2 (en) Method for etching a silicon-containing substrate
WO2013029210A1 (en) Method for manufacturing dummy gate in gate-last process
JP4614995B2 (en) Manufacturing method of semiconductor device
US7749912B2 (en) Method for fabricating bulb-shaped recess pattern
TW201530654A (en) Method of etching
JP3891087B2 (en) Polysilicon etching method