TWI622176B - Structure of mim capacitor and the method for fabricating the same - Google Patents

Structure of mim capacitor and the method for fabricating the same Download PDF

Info

Publication number
TWI622176B
TWI622176B TW104140753A TW104140753A TWI622176B TW I622176 B TWI622176 B TW I622176B TW 104140753 A TW104140753 A TW 104140753A TW 104140753 A TW104140753 A TW 104140753A TW I622176 B TWI622176 B TW I622176B
Authority
TW
Taiwan
Prior art keywords
dielectric layer
layer
mim capacitor
type
metal
Prior art date
Application number
TW104140753A
Other languages
Chinese (zh)
Other versions
TW201721882A (en
Inventor
洪昌賢
Original Assignee
力晶科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 力晶科技股份有限公司 filed Critical 力晶科技股份有限公司
Priority to TW104140753A priority Critical patent/TWI622176B/en
Priority to CN201510951611.9A priority patent/CN106847787A/en
Publication of TW201721882A publication Critical patent/TW201721882A/en
Application granted granted Critical
Publication of TWI622176B publication Critical patent/TWI622176B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

一種金屬-絕緣層-金屬(Metal-Insulator-Metal:MIM)電容,其中包括第一電極層、第二電極層以及倒T型介電層堆疊結構。其中倒T型介電層堆疊結構形成於第一電極層與第二電極層之間。上述之倒T型介電層堆疊結構包括垂直部及水平部連接該垂直部,其中另一介電層圖案形成於該垂直部與水平部之間,以形成該倒T型介電層堆疊結構。 A metal-insulator-metal (MIM) capacitor includes a first electrode layer, a second electrode layer, and an inverted T-type dielectric layer stack structure. The inverted T-type dielectric layer stack structure is formed between the first electrode layer and the second electrode layer. The above inverted T-type dielectric layer stack structure includes a vertical portion and a horizontal portion connected to the vertical portion, wherein another dielectric layer pattern is formed between the vertical portion and the horizontal portion to form the inverted T-type dielectric layer stack structure. .

Description

MIM電容之結構及其製造方法 Structure of MIM capacitor and manufacturing method thereof

本發明係關於一種電容,特別係一種具有金屬-絕緣層-金屬(Metal-Insulator-Metal:MIM)電容之半導體元件。 The invention relates to a capacitor, in particular to a semiconductor element having a metal-insulator-metal (MIM) capacitor.

近年來,隨著半導體積體電路之製程技術的發達,於半導體基板上所製造之元件的最小線寬已逐漸細微化,並且單位面積的積體電路密度亦隨之變高。然而,由於記憶單元積體電路密度的提高,電荷儲存用的單元電容可佔有空間將變得更小,因此必須開發平均單位面積的靜電電容增加之單元電容。 In recent years, with the development of process technology for semiconductor integrated circuits, the minimum line width of components manufactured on semiconductor substrates has gradually been refined, and the integrated circuit density per unit area has also increased accordingly. However, due to the increase in the circuit density of the memory cell integrated circuit, the space that can be occupied by the cell capacitor for charge storage will become smaller. Therefore, it is necessary to develop a cell capacitor with an increased average capacitance per unit area.

半導體元件之密集度變得愈高時,晶胞尺寸和操作電壓就會降低。因而,元件更新時間往往會縮短,而且經常發生軟性錯誤。為了克服這些限制,需要開發一種每個單晶胞具有更高之電容值,以及可降低漏電流之電容。 As the density of semiconductor devices becomes higher, the cell size and operating voltage decrease. As a result, component update times tend to decrease and soft errors often occur. To overcome these limitations, it is necessary to develop a capacitor that has a higher capacitance value per single cell and can reduce leakage current.

一般而言,在高度密集之下,使用Si3N4當作介電材料之氮化物和氧化物(NO)結構,其所形成的電容並不利於電容性。因欠缺足夠的面積以獲致所需要的電容。另一例子,利用金屬-絕緣-金屬(metal-insulator-metal,MIM)型電容結構則可得到足夠的電容,此為MIM電容優點之一。 Generally speaking, under high density, using Si 3 N 4 as the nitride and oxide (NO) structure of the dielectric material, the capacitance formed is not conducive to capacitive. The lack of sufficient area to obtain the required capacitance. For another example, using a metal-insulator-metal (MIM) type capacitor structure can obtain sufficient capacitance, which is one of the advantages of a MIM capacitor.

其次,在半導體積體電路中,許多的混合信號電路及高頻電路中,常需要使用到高效能及高速度的元件,例如電容或電感。這些元件須具備低串聯電阻、低耗損、高Q值及低電容/電阻時間常數等特性。一般而言,在半導體積體電路中所使用之電容,包含金屬-絕緣-矽(metal-insulator-silicon,MIS)電容或金屬-絕緣-金屬(MIM)電容。在MIS電容之中,由於以矽作為下電極,產生的寄生電阻值較高而只適用於低頻電路。在MIM電容之中,上、下電極都是以金屬作為電極,可降低寄生電阻值而提高元件之共振頻率,是高頻電路中常使用之元件。另外,於製造高頻元件結構時,需能相容於CMOS製程以使製程之整合得以簡化。 Secondly, in semiconductor integrated circuits, many mixed-signal circuits and high-frequency circuits often require the use of high-efficiency and high-speed components, such as capacitors or inductors. These components must have low series resistance, low loss, high Q, and low capacitance / resistance time constants. Generally, capacitors used in semiconductor integrated circuits include metal-insulator-silicon (MIS) capacitors or metal-insulator-silicon (MIM) capacitors. Among the MIS capacitors, since silicon is used as the lower electrode, the parasitic resistance value generated is high, which is only suitable for low-frequency circuits. In the MIM capacitor, the upper and lower electrodes are made of metal as the electrode, which can reduce the parasitic resistance value and increase the resonance frequency of the component. It is a component often used in high-frequency circuits. In addition, when manufacturing a high-frequency component structure, it must be compatible with the CMOS process to simplify the process integration.

傳統上,MIM電容係形成於第一層金屬內連線(interconnect)之下 方。不幸地,由於會使前段(front-end)與後段(back-end)製程條件發生不匹配而造成製程整合上的困難,近來大多建議將MIM電容設置於多層金屬內連線結構中。當線寬尺寸縮小到一定程度時,元件速度不再只取決於閘極信號的延遲,而將由內連線系統的信號延遲所主宰。為了降低內連線系統的信號延遲時間,在導線方面已廣泛地以金屬銅來取代鋁,而另一方面是利用具有低介電值(Low-K,低K)的絕緣材料(k<3.0)以作為金屬導線間的介電絕緣層(IMD),來取代傳統所使用的二氧化矽,以降低電容方面的延遲。亦即,在進行後段銅製程時,MIM電容製作在金屬導線間的介電層(inter-metal dielectric,IMD)中。 Traditionally, MIM capacitors are formed under the first layer of metal interconnects square. Unfortunately, due to the mismatch in process conditions between the front-end and back-end processes, it is difficult to integrate the processes. Recently, it has been proposed to place MIM capacitors in multilayer metal interconnect structures. When the line width size is reduced to a certain extent, the component speed is no longer dependent only on the delay of the gate signal, but is dominated by the signal delay of the interconnect system. In order to reduce the signal delay time of the interconnect system, copper has been widely used to replace aluminum in the wire, and on the other hand, an insulating material (k <3.0) with a low dielectric value (Low-K) has been used. ) As a dielectric insulation layer (IMD) between metal wires to replace the traditional silicon dioxide to reduce the delay in capacitance. That is, during the subsequent copper process, the MIM capacitor is fabricated in an inter-metal dielectric (IMD) between metal wires.

第一圖顯示習知技術之半導體元件之後段製程線路(back-end of line)結構之截面圖。在後段製程線路結構之中,包括第一金屬層100、絕緣層101與第二金屬層102所組成的金屬-絕緣層-金屬(MIM)電容結構。其中絕緣層101形成於第一金屬層100與第二金屬層102之間,而絕緣層101與第二金屬層102之線寬約略相同。其中絕緣層101為單一層的介電材料所形成。圖案化絕緣層101與第二金屬層102係透過一蝕刻製程所完成,而由於蝕刻製程的關係,絕緣層101之側邊101a接近底部的部分容易形成一切面(undercut)的情況,因此造成絕緣層101之側邊101a的部分容易發生接面尖峰(spiking)現象而形成漏電流,結果影響了元件的性能。金屬導線間介電層104覆蓋且封閉了整個MIM電容結構。然後,導孔(via)103a與103b形成於金屬導線間介電層104之中,其中導孔103a與103b分別電性連接第一金屬層100與第二金屬層102。金屬導線105則形成於金屬導線間介電層104之上並且電性連接導孔103a與103b。 The first figure shows a cross-sectional view of a back-end of line structure of a conventional semiconductor device. The post-process line structure includes a metal-insulating layer-metal (MIM) capacitor structure composed of a first metal layer 100, an insulating layer 101, and a second metal layer 102. The insulating layer 101 is formed between the first metal layer 100 and the second metal layer 102, and the line width of the insulating layer 101 and the second metal layer 102 is approximately the same. The insulating layer 101 is formed of a single layer of dielectric material. The patterned insulating layer 101 and the second metal layer 102 are completed through an etching process. Due to the etching process, the portion of the side 101a near the bottom of the insulating layer 101 is liable to form an undercut, which results in insulation. A portion of the side 101a of the layer 101 is prone to a junction spiking phenomenon and a leakage current is formed. As a result, the performance of the device is affected. The inter-metal wire dielectric layer 104 covers and closes the entire MIM capacitor structure. Then, vias 103a and 103b are formed in the inter-metal wire dielectric layer 104, and the vias 103a and 103b are electrically connected to the first metal layer 100 and the second metal layer 102, respectively. The metal wire 105 is formed on the inter-metal wire dielectric layer 104 and is electrically connected to the via holes 103a and 103b.

鑒於上述在習知MIM電容結構中,漏電流在部分情形中產生,甚至在電晶體已關閉時。當漏電流產生時,例如在邏輯電路中等待輸出訊號之電壓值維持在特定範圍內的情形中,輸出訊號的值變動且因此可能發生誤判。因此,基於傳統的MIM電容結構不佳所造成漏電流的情形,本發明提供一新的MIM電容結構以改善此問題。 In view of the above, in the conventional MIM capacitor structure, a leakage current is generated in some cases, even when the transistor is turned off. When a leakage current is generated, for example, in a case where the voltage value of an output signal is maintained within a specific range in a logic circuit, the value of the output signal varies and therefore a misjudgment may occur. Therefore, based on the leakage current caused by the poor structure of the conventional MIM capacitor, the present invention provides a new MIM capacitor structure to improve this problem.

本發明提供一種半導體元件。此半導體元件包含MIM電容,其中該MIM電容包括第一電極層、第二電極層以及倒T型介電層堆疊結構。其中倒T型介電層堆疊結構形成於第一電極層與第二電極層之間。 The invention provides a semiconductor element. The semiconductor device includes a MIM capacitor. The MIM capacitor includes a first electrode layer, a second electrode layer, and an inverted T-shaped dielectric layer stack structure. The inverted T-type dielectric layer stack structure is formed between the first electrode layer and the second electrode layer.

本發明之一目的係降低半導體元件之漏電流,且另一目的係使半 導體元件之漏電流降低至使得邏輯電路的故障可受抑制。半導體元件包含邏輯元件。 One object of the present invention is to reduce the leakage current of a semiconductor device, and the other object is to reduce the leakage current of a semiconductor device. The leakage current of the conductor element is reduced so that the failure of the logic circuit can be suppressed. The semiconductor element includes a logic element.

根據本發明之一觀點,其中倒T型介電層堆疊結構包括垂直部及水平部連接該垂直部,其中另一介電層圖案(蝕刻終止層)形成於該垂直部與水平部之間,以形成該倒T型介電層堆疊結構。 According to an aspect of the present invention, the inverted T-type dielectric layer stack structure includes a vertical portion and a horizontal portion connected to the vertical portion, and another dielectric layer pattern (etch stop layer) is formed between the vertical portion and the horizontal portion, To form the inverted T-type dielectric layer stack structure.

根據本發明之另一觀點,其中水平部與蝕刻終止層之線寬大小約略相等,並且大於垂直部之線寬大小。其中垂直部之線寬大小與第一電極層之線寬大小約略相等。 According to another aspect of the present invention, the line width of the horizontal portion and the etching stop layer is approximately equal to each other, and is larger than the line width of the vertical portion. The line width of the vertical portion is approximately equal to the line width of the first electrode layer.

根據本發明之一觀點,一種形成MIM電容之方法,包含;首先,形成一MIM薄膜層於一底層之上,其中該MIM薄膜層包括一底層金屬層、一介電層堆疊層與一上層金屬層,該介電層堆疊層形成於底層金屬層與上層金屬層之間,該介電層堆疊層至少包含三層介電層,包含第一介電層、第二介電層以及第三介電層;然後,圖案化第三介電層以及上層金屬層,以形成一垂直部與一上電極圖案;之後,圖案化第一介電層、第二介電層以及底層金屬層,以形成一水平堆疊層圖案與一下電極圖案;其中垂直部與水平堆疊層圖案構成一倒T型介電層堆疊結構。 According to an aspect of the present invention, a method for forming a MIM capacitor includes: first, forming a MIM thin film layer on a bottom layer, wherein the MIM thin film layer includes a bottom metal layer, a dielectric layer stack layer, and an upper metal layer; The dielectric layer stack is formed between the bottom metal layer and the upper metal layer. The dielectric layer stack includes at least three dielectric layers, including a first dielectric layer, a second dielectric layer, and a third dielectric layer. Electrical layer; then, patterning the third dielectric layer and the upper metal layer to form a vertical portion and an upper electrode pattern; and then patterning the first dielectric layer, the second dielectric layer, and the bottom metal layer to form A horizontal stacked layer pattern and a lower electrode pattern; wherein the vertical portion and the horizontal stacked layer pattern form an inverted T-shaped dielectric layer stacked structure.

根據本發明之又一觀點,半導體元件更包括一金屬導線間介電層以覆蓋MIM電容。 According to another aspect of the present invention, the semiconductor device further includes a dielectric layer between metal wires to cover the MIM capacitor.

根據本發明之一觀點,半導體元件更包括複數個通孔,形成於金屬導線間介電層之中,其中該複數個通孔包括二類:第一類通孔係為從金屬導線間介電層之上表面至第一電極層之上表面,第二類通孔係為從金屬導線間介電層之上表面、貫穿水平部而至第二電極層之上表面。 According to one aspect of the present invention, the semiconductor device further includes a plurality of through holes formed in the dielectric layer between the metal wires, wherein the plurality of through holes include two types: the first type of through holes are dielectrics between the metal wires. The upper surface of the layer to the upper surface of the first electrode layer. The second type of through-holes are from the upper surface of the dielectric layer between the metal wires through the horizontal portion to the upper surface of the second electrode layer.

根據本發明之再一觀點,其中該些通孔之中填入導電材料,以分別於第一類通孔與第二類通孔之中形成第一導孔與第二導孔,其中第一導孔與第二導孔分別電性耦合第一電極層與第二電極層。 According to still another aspect of the present invention, the through holes are filled with a conductive material to form a first via hole and a second via hole in the first type of via hole and the second type of via hole, respectively. The via and the second via are electrically coupled to the first electrode layer and the second electrode layer, respectively.

根據本發明之又一觀點,其中第二導孔之厚度約略等於第一導孔之厚度加上第一電極層以及倒T型介電層堆疊結構之厚度。 According to another aspect of the present invention, the thickness of the second via is approximately equal to the thickness of the first via plus the thickness of the first electrode layer and the inverted T-type dielectric layer stack structure.

根據本發明之另一觀點,複數個通孔包括二類:第一類通孔係為從金屬導線間介電層之上表面至第一電極層之上表面,第二類通孔係為從金屬導線間介電層之上表面貫穿至其下表面。第一導孔與第二導孔分別形成與連接 於第一電極層與金屬導線間介電層之上。第二導孔之厚度約略等於第一導孔之厚度加上第一電極層、倒T型介電層堆疊結構與第二電極層之厚度。 According to another aspect of the present invention, the plurality of vias includes two types: the first type of vias are from the upper surface of the dielectric layer between the metal wires to the upper surface of the first electrode layer, and the second type of vias are from The upper surface of the dielectric layer between the metal wires penetrates to the lower surface. First guide holes and second guide holes are formed and connected respectively On the dielectric layer between the first electrode layer and the metal wire. The thickness of the second via is approximately equal to the thickness of the first via plus the thickness of the first electrode layer, the inverted T-shaped dielectric layer stack structure, and the second electrode layer.

根據本發明之另一觀點,半導體元件更包括金屬導線形成於金屬導線間介電層、第一導孔與第二導孔之上,其中金屬導線電性耦合第一導孔與第二導孔。 According to another aspect of the present invention, the semiconductor device further includes a metal wire formed on the dielectric layer between the metal wires, the first via hole and the second via hole, wherein the metal wire is electrically coupled to the first via hole and the second via hole. .

此些優點及其他優點從以下較佳實施例之敘述及申請專利範圍將使讀者得以清楚了解本發明。 These advantages and other advantages will make the reader understand the present invention clearly from the description of the following preferred embodiments and the scope of patent application.

100‧‧‧第一金屬層 100‧‧‧ first metal layer

101‧‧‧絕緣層 101‧‧‧ Insulation

101a‧‧‧側邊 101a‧‧‧side

102‧‧‧第二金屬層 102‧‧‧Second metal layer

103a、103b、209a、209b‧‧‧導孔 103a, 103b, 209a, 209b‧‧‧ guide holes

104、200、200a‧‧‧金屬導線間介電層 104, 200, 200a‧‧‧Dielectric layer between metal wires

105‧‧‧金屬導線 105‧‧‧metal wire

201‧‧‧底層金屬層 201‧‧‧ bottom metal layer

201a‧‧‧下電極圖案 201a‧‧‧ Lower electrode pattern

202‧‧‧第一介電層 202‧‧‧First dielectric layer

202a‧‧‧水平部 202a‧‧‧Horizontal

203‧‧‧第二介電層 203‧‧‧Second dielectric layer

203a‧‧‧介電層圖案 203a‧‧‧Dielectric layer pattern

204‧‧‧第三介電層 204‧‧‧Third dielectric layer

204a‧‧‧垂直部 204a‧‧‧Vertical

205‧‧‧上層金屬層 205‧‧‧upper metal layer

205a‧‧‧上電極圖案 205a‧‧‧upper electrode pattern

208‧‧‧水平堆疊層圖案 208‧‧‧Horizontal stacked layer pattern

210‧‧‧金屬導線 210‧‧‧ metal wire

218‧‧‧倒T型介電層堆疊結構 218‧‧‧ inverted T-shaped dielectric layer stack structure

234‧‧‧介電層堆疊層 234‧‧‧ Dielectric layer stack

如下所述之對本發明的詳細描述與實施例之示意圖,應使本發明更被充分地理解;然而,應可理解此僅限於作為理解本發明應用之參考,而非限制本發明於一特定實施例之中。 The detailed description of the present invention and the schematic diagrams of the embodiments described below should make the present invention more fully understood; however, it should be understood that this is only used as a reference for understanding the application of the present invention, rather than limiting the invention to a specific implementation Example.

第一圖顯示根據習知技術之一半導體元件之後段製程線路結構之截面圖;第二圖顯示根據本發明之一實施例之半導體元件之後段線路結構之MIM薄膜層之截面圖;第三圖顯示根據本發明之一實施例之半導體元件之MIM薄膜層之第三介電層以及上層金屬層之圖案化之截面圖;第四圖顯示根據本發明之一實施例之半導體元件之倒T型介電層堆疊結構以及倒T型MIM電容結構之截面圖;第五圖顯示根據本發明之一實施例之半導體元件之金屬導線間介電層覆蓋且封閉倒T型MIM電容結構之截面圖;第六圖顯示根據本發明之一實施例之具有一倒T型MIM電容結構之半導體元件之後段製程線路結構之截面圖;第七圖顯示根據本發明之另一實施例之具有一倒T型MIM電容結構之半導體元件之後段製程線路結構之截面圖。 The first diagram shows a cross-sectional view of a back-end process line structure of a semiconductor device according to one of conventional techniques; the second diagram shows a cross-sectional view of a MIM thin film layer of a back-end circuit structure of a semiconductor device according to an embodiment of the present invention; the third picture A patterned cross-sectional view showing a third dielectric layer and an upper metal layer of a MIM thin film layer of a semiconductor device according to an embodiment of the present invention; the fourth figure shows an inverted T-shape of a semiconductor device according to an embodiment of the present invention A cross-sectional view of a dielectric layer stack structure and an inverted T-type MIM capacitor structure; a fifth diagram shows a cross-sectional view of a dielectric element between metal wires covering and encapsulating the inverted T-type MIM capacitor structure according to an embodiment of the present invention; FIG. 6 is a cross-sectional view showing a rear-end process circuit structure of a semiconductor device having an inverted T-type MIM capacitor structure according to an embodiment of the present invention; FIG. 7 is a diagram showing an inverted T-type according to another embodiment of the present invention A cross-sectional view of a back-end process line structure of a semiconductor element of a MIM capacitor structure.

此處本發明將針對發明具體實施例及其觀點加以詳細描述,此類描述為解釋本發明之結構或步驟流程,其係供以說明之用而非用以限制本發明之申請專利範圍。因此,除說明書中之具體實施例與較佳實施例外,本發明亦可廣泛施行於其他不同的實施例中。在下文的細節描述中,元件符號會標示在隨附的圖示中成為其中的一部份,並且以可實行該實施例之特例方式來表示、 描述。這類實施例會說明足夠的細節俾使該領域之一般技藝人士得以具以實施。閱者須瞭解到本發明中亦可利用其他的實施例,或是在不悖離所述實施例的前提下作出結構性、邏輯性、及電性上的改變。因此,下文之細節描述將不欲被視為是一種限定;反之,其中所包含的實施例將由隨附的申請專利範圍來加以界定。再者,本發明通篇說明書與隨附申請專利範圍中會使用某些詞彙來指稱特定的組成元件。該領域的技藝人士將理解到,半導體元件製造商可能會以不同的名稱來指稱一相同的元件,例如絕緣層與介電層等。 The present invention will be described in detail herein with regard to specific embodiments of the invention and their perspectives. Such descriptions are intended to explain the structure or flow of steps of the present invention, and are intended to be illustrative and not to limit the scope of patent application of the present invention. Therefore, in addition to the specific embodiments and preferred embodiments in the description, the present invention can be widely implemented in other different embodiments. In the following detailed description, the component symbols will be marked as part of the accompanying diagrams, and will be represented in a special way that can implement this embodiment, description. Such an embodiment would illustrate enough details to enable a person of ordinary skill in the art to implement it. The reader must understand that other embodiments can also be used in the present invention, or structural, logical, and electrical changes can be made without departing from the described embodiments. Therefore, the following detailed description is not intended to be considered as a limitation; on the contrary, the embodiments included therein will be defined by the scope of the accompanying patent application. Furthermore, certain terms are used throughout the specification and accompanying patent applications to refer to specific constituent elements. Those skilled in the art will understand that semiconductor component manufacturers may refer to the same component under different names, such as an insulating layer and a dielectric layer.

本發明提供一半導體元件之電容及其製造方法。其中電容結構為MIM電容結構形成於半導體元件之後段線路結構之中。MIM電容結構為一倒T型的MIM電容結構。倒T字型MIM電容結構包括一倒T型的介電層堆疊結構。倒T型的介電層堆疊結構係由多層介電層依次沉積並圖案化所形成,藉以改善傳統的MIM電容結構不佳所造成漏電流的情形。其中倒T型介電層堆疊結構包括水平部及垂直部,垂直部位於水平部之上。垂直部位於上電極圖案之下,水平部位於下電極圖案之上。一非常薄層介電層圖案形成於垂直部與水平部之間,其中非常薄層介電層圖案係作為垂直部之一蝕刻終止層。 The invention provides a capacitor of a semiconductor element and a manufacturing method thereof. The capacitor structure is a MIM capacitor structure, which is formed in the circuit structure of the later stage of the semiconductor element. The MIM capacitor structure is an inverted T-shaped MIM capacitor structure. The inverted T-shaped MIM capacitor structure includes an inverted T-shaped dielectric layer stack structure. The inverted T-shaped dielectric layer stack structure is formed by sequentially depositing and patterning multiple dielectric layers, thereby improving the leakage current caused by the poor structure of the conventional MIM capacitor structure. The inverted T-type dielectric layer stack structure includes a horizontal portion and a vertical portion, and the vertical portion is located above the horizontal portion. The vertical portion is located below the upper electrode pattern, and the horizontal portion is located above the lower electrode pattern. A very thin dielectric layer pattern is formed between the vertical portion and the horizontal portion, wherein the very thin dielectric layer pattern is used as an etch stop layer in one of the vertical portions.

第二圖顯示根據本發明之一實施例之半導體元件之後段線路結構之MIM薄膜層之截面圖。在一實施例中,半導體元件包括邏輯元件或邏輯電路。一般的半導體元件之整體結構包括一前段線路結構以及一後段線路結構,其中後段線路結構接著前段線路結構完成之後而接續形成於前段線路結構之上,其可以藉由一標準的半導體製程來製作。關於半導體元件之後段線路結構之形成,首先,形成一MIM薄膜層於一底層200之上。其中MIM薄膜層包括一底層金屬層201、一介電層堆疊層234與一上層金屬層205,其中介電層堆疊層234形成於底層金屬層201與上層金屬層205之間,如第二圖所示。介電層堆疊層234形成於底層金屬層201之上,而上層金屬層205形成於介電層堆疊層234之上。舉一實施例而言,介電層堆疊層234至少包含三層介電層,分別為第一介電層202、第二介電層(蝕刻終止層)203以及第三介電層204,第二介電層203形成於第一介電層202與第三介電層204之間。第二介電層203形成於第一介電層202之上,而第三介電層204形成於第二介電層203之上。其中第二介電層203係為上層金屬層205以及第三介電層204之蝕刻終止層(etch stop layer)。 The second figure shows a cross-sectional view of a MIM thin film layer of a rear-stage circuit structure of a semiconductor device according to an embodiment of the present invention. In one embodiment, the semiconductor element includes a logic element or a logic circuit. The overall structure of a general semiconductor device includes a front-end line structure and a rear-end line structure. The rear-end line structure is formed on the front-end line structure after the front-end line structure is completed. It can be produced by a standard semiconductor process. Regarding the formation of the subsequent circuit structure of the semiconductor device, first, a MIM thin film layer is formed on a bottom layer 200. The MIM thin film layer includes a bottom metal layer 201, a dielectric layer stack layer 234, and an upper metal layer 205. The dielectric layer stack layer 234 is formed between the bottom metal layer 201 and the upper metal layer 205, as shown in the second figure. As shown. A dielectric layer stack layer 234 is formed on the bottom metal layer 201, and an upper metal layer 205 is formed on the dielectric layer stack 234. For an embodiment, the dielectric layer stack layer 234 includes at least three dielectric layers, namely a first dielectric layer 202, a second dielectric layer (etch stop layer) 203, and a third dielectric layer 204. A second dielectric layer 203 is formed between the first dielectric layer 202 and the third dielectric layer 204. A second dielectric layer 203 is formed on the first dielectric layer 202, and a third dielectric layer 204 is formed on the second dielectric layer 203. The second dielectric layer 203 is an etch stop layer of the upper metal layer 205 and the third dielectric layer 204.

因此,上述MIM薄膜層係藉由依序沉積底層金屬層201、第一介電層202、第二介電層203、第三介電層204以及上層金屬層205於底層200之上而形成。其中第二介電層203係為蝕刻終止層,其厚度較佳為30~150奈米。在某些實施例中,底層金屬層201、第一介電層202、第三介電層204以及上層金屬層205之材料與厚度可以依照實際的應用(不同的半導體元件或其特性)所需而選擇或調整。第二介電層203之厚度遠比第一介電層202、第三介電層204之厚度來得小。 Therefore, the MIM thin film layer is formed by sequentially depositing a bottom metal layer 201, a first dielectric layer 202, a second dielectric layer 203, a third dielectric layer 204, and an upper metal layer 205 on the bottom layer 200 in this order. The second dielectric layer 203 is an etch stop layer, and its thickness is preferably 30 to 150 nm. In some embodiments, the material and thickness of the bottom metal layer 201, the first dielectric layer 202, the third dielectric layer 204, and the upper metal layer 205 may be required according to the actual application (different semiconductor elements or their characteristics). And choose or adjust. The thickness of the second dielectric layer 203 is much smaller than the thickness of the first dielectric layer 202 and the third dielectric layer 204.

在一個實施例中,底層200為一金屬層間介電層(IMD)。舉一實施例而言,在金屬導線間介電層(IMD)採用低介電常數的材料(k<3.0),來取代傳統所使用的二氧化矽(k≒3.9),以降低電容方面的延遲。舉例而言,氟化玻璃(FSG)的介電質材料,其k值介於3.7~.2.8。由於氟化玻璃與二氧化矽的物性與化性相近,因此與原本製程條件之相容性較高。在另一實施例中,金屬導線間介電層(IMD)200包括二氧化矽(SiO2)或硼磷玻璃(BPSG)。 In one embodiment, the bottom layer 200 is a metal interlayer dielectric (IMD). For example, a low dielectric constant material (k <3.0) is used in the dielectric layer (IMD) of the metal wire to replace the traditional silicon dioxide (k ≒ 3.9) to reduce the capacitance. delay. For example, the dielectric material of fluorinated glass (FSG) has a k value between 3.7 and .2.8. Because the physical properties and chemical properties of fluorinated glass and silicon dioxide are similar, they are highly compatible with the original process conditions. In another embodiment, the inter-metal dielectric layer (IMD) 200 includes silicon dioxide (SiO 2 ) or borophospho glass (BPSG).

在一實施例中,上層金屬層205與底層金屬層201之材料係選自鉭、氮化鉭、鈦、氮化鈦、鎢、矽化鎢、氮化鎢、銅或者鋁,或其他性質類似金屬或合金。在一實施例中,第一介電層202與第三介電層204之材料係選自二氧化矽(SiO2)或氮矽化物(Si3N4)。在一實施例中,第一介電層202與第三介電層204可以藉由化學氣相沈積(CVD)、電漿輔助化學氣相沈積(PECVD)或低壓化學氣相沉積(LPCVD)等方法以形成,例如:供應SiH4氣體、N2氣體與NH3氣體,以形成氮矽化物(Si3N4)薄膜層。在一較佳實施例中,基於與第一介電層202與第三介電層204之製程的相容性,第二介電層203之材料可以包含氮氧化矽(SiOxNy)。上述氮氧化膜之形成可以藉由化學氣相沈積(CVD)、電漿輔助化學氣相沈積(PECVD)或低壓化學氣相沉積(LPCVD)等方法以形成,例如:在一溫度範圍之下,供應SiH4氣體、NH3氣體與N2O氣體的混合氣體,以形成氮氧化矽(SiOxNy)薄膜層。在另一例子中,在氮氧化膜的形成步驟中,經由原地處理(in-situ)步驟在一溫度範圍之下,於NH3或NO氣體環境中,採用電漿使二氣化矽層表面產生氮化或氮氧化。 In an embodiment, the material of the upper metal layer 205 and the lower metal layer 201 is selected from the group consisting of tantalum, tantalum nitride, titanium, titanium nitride, tungsten, tungsten silicide, tungsten nitride, copper, or aluminum, or other metals with similar properties. Or alloy. In one embodiment, the material of the first dielectric layer 202 and the third dielectric layer 204 is selected from silicon dioxide (SiO 2 ) or nitrogen silicide (Si 3 N 4 ). In one embodiment, the first dielectric layer 202 and the third dielectric layer 204 may be formed by chemical vapor deposition (CVD), plasma-assisted chemical vapor deposition (PECVD), or low pressure chemical vapor deposition (LPCVD). The method is to form, for example, supply SiH 4 gas, N 2 gas and NH 3 gas to form a nitrogen silicide (Si 3 N 4 ) thin film layer. In a preferred embodiment, based on the compatibility with the process of the first dielectric layer 202 and the third dielectric layer 204, the material of the second dielectric layer 203 may include silicon oxynitride (SiO x N y ). The formation of the above oxynitride film can be formed by methods such as chemical vapor deposition (CVD), plasma-assisted chemical vapor deposition (PECVD), or low pressure chemical vapor deposition (LPCVD). For example, below a temperature range, A mixed gas of SiH 4 gas, NH 3 gas, and N 2 O gas is supplied to form a silicon oxynitride (SiO x N y ) thin film layer. In another example, in the step of forming the oxynitride film, an in-situ step is performed under a temperature range in a NH 3 or NO gas environment, and the silicon dioxide layer is formed by a plasma. Nitriding or nitriding on the surface.

在另一實施例中,第二介電層203之材料為高介電常數(High Dielectric Constant,Hi-K)材料,例如Si3N4、Al2O3、Y2O3、La2O3、CeO2、Dy2O3、Ta2O5、Pr2O3、TiO2、HfO2、ZrO2、BaxSr1-xTiO3(BST)、SrBiTa2O9(SBT)或 PbZrxTi1-xO3In another embodiment, the material of the second dielectric layer 203 is a high dielectric constant (Hi-K) material, such as Si 3 N 4 , Al 2 O 3 , Y 2 O 3 , La 2 O 3 , CeO 2 , Dy 2 O 3 , Ta 2 O 5 , Pr 2 O 3 , TiO 2 , HfO 2 , ZrO 2 , Ba x Sr 1-x TiO 3 (BST), SrBiTa 2 O 9 (SBT) or PbZr x Ti 1-x O 3 .

隨之請參考第三圖,在MIM薄膜層形成之後,利用微影與蝕刻製程在所述第三介電層204以及上層金屬層205上進行圖案化;亦即,進行一微影與蝕刻製程以去除蝕刻截止層上之一部分的第三介電層204以及上層金屬層205,而形成一圖案化結構。舉例而言,利用一標準的微影製程以形成一第一光阻層圖案(未圖示)。然後,以該第一光阻層圖案作為蝕刻罩幕進行一蝕刻製程,直到蝕刻進行至蝕刻終止層(第二介電層203)裸露為止,結果形成MIM電容之垂直部204a與MIM電容之上電極圖案205a。由於蝕刻終止層不會被蝕刻,因此可以精準地控制垂直部204a之蝕刻後的厚度。蝕刻完成之後,去除剩餘的光阻層。MIM電容之垂直部204a與MIM電容之上電極圖案205a之線寬大小約略相等。舉例而言,MIM電容之垂直部204a與MIM電容之上電極圖案205a形成第一線圖案結構。換言之,在此步驟中,僅有介電層堆疊層234之第三介電層204進行圖案化,第一介電層202與介電層203維持原來的薄膜層而沒有被圖案化。 Please refer to the third figure. After the MIM thin film layer is formed, patterning is performed on the third dielectric layer 204 and the upper metal layer 205 by using a lithography and etching process; that is, a lithography and etching process is performed. A portion of the third dielectric layer 204 and the upper metal layer 205 on the etching stop layer are removed to form a patterned structure. For example, a standard photolithography process is used to form a first photoresist layer pattern (not shown). Then, an etching process is performed using the first photoresist layer pattern as an etching mask until the etching is performed until the etching stop layer (the second dielectric layer 203) is exposed. As a result, the vertical portion 204a of the MIM capacitor and the MIM capacitor are formed. Electrode pattern 205a. Since the etch stop layer is not etched, the thickness of the vertical portion 204a after the etching can be accurately controlled. After the etching is completed, the remaining photoresist layer is removed. The line width of the vertical portion 204a of the MIM capacitor and the electrode pattern 205a on the MIM capacitor are approximately equal. For example, the vertical portion 204a of the MIM capacitor and the electrode pattern 205a on the MIM capacitor form a first line pattern structure. In other words, in this step, only the third dielectric layer 204 of the dielectric layer stack layer 234 is patterned, and the first dielectric layer 202 and the dielectric layer 203 maintain the original thin film layers without being patterned.

然後,請參考第四圖,在MIM電容之垂直部204a與MIM電容之上電極圖案205a形成之後,利用微影與蝕刻製程在所述介電層堆疊層234之第一介電層202與第二介電層203以及底層金屬層201上進行圖案化;亦即,進行一微影與蝕刻製程以去除底層200上之一部分的第一介電層202與第二介電層203以及底層金屬層201,而形成另一圖案化結構。舉例而言,利用一標準的微影製程以形成一第二光阻層圖案(未圖示)。然後,以該第二光阻層圖案作為蝕刻罩幕進行一蝕刻製程,直到蝕刻進行至底層200之上表面裸露為止,結果形成MIM電容之水平堆疊層圖案208以及MIM電容之下電極圖案201a。水平堆疊層圖案208包含水平部202a與介電層圖案203a。蝕刻完成之後,去除剩餘的光阻層。MIM電容之水平部202a、介電層圖案203a與下電極圖案201a之線寬大小約略相等。舉例而言,MIM電容之水平堆疊層圖案208與MIM電容之下電極圖案201a形成第二線圖案結構。第二線圖案結構之線寬大小係大於第一線圖案結構之線寬大小。 Then, referring to the fourth figure, after the vertical portion 204a of the MIM capacitor and the electrode pattern 205a on the MIM capacitor are formed, the first dielectric layer 202 and the first dielectric layer 202 of the dielectric layer stack 234 are formed by a lithography and etching process. Patterning is performed on the second dielectric layer 203 and the underlying metal layer 201; that is, a lithography and etching process is performed to remove a portion of the first dielectric layer 202 and the second dielectric layer 203 and the underlying metal layer on the underlying layer 200. 201, and another patterned structure is formed. For example, a standard photolithography process is used to form a second photoresist layer pattern (not shown). Then, an etching process is performed by using the second photoresist layer pattern as an etching mask until the etching is performed until the upper surface of the bottom layer 200 is exposed. As a result, a horizontal stacked layer pattern 208 of the MIM capacitor and an electrode pattern 201a under the MIM capacitor are formed. The horizontal stacked layer pattern 208 includes a horizontal portion 202a and a dielectric layer pattern 203a. After the etching is completed, the remaining photoresist layer is removed. The line widths of the horizontal portion 202a, the dielectric layer pattern 203a, and the lower electrode pattern 201a of the MIM capacitor are approximately equal. For example, the horizontal stacked layer pattern 208 of the MIM capacitor and the electrode pattern 201a below the MIM capacitor form a second line pattern structure. The line width of the second line pattern structure is larger than the line width of the first line pattern structure.

在此步驟中,形成倒T型介電層堆疊結構218以及倒T型MIM電容結構,其中倒T型介電層堆疊結構218係作為倒T型MIM電容結構之介電層。倒T型介電層堆疊結構218包括水平部202a、介電層圖案203a以及垂直部 204a,其中介電層圖案203a位於水平部202a與垂直部204a之間。換言之,垂直部204a係垂直水平堆疊層圖案208以形成該倒T型介電層堆疊結構218。水平部202a之線寬大小係大於垂直部204a之線寬大小。因此,倒T型MIM電容結構之介電層218之厚度包括水平部202a、介電層圖案203a以及垂直部204a之厚度的總和。因此,倒T型MIM電容之電容值可以藉由倒T型介電層堆疊結構218之總厚度來控制。 In this step, an inverted T-type dielectric layer stack structure 218 and an inverted T-type MIM capacitor structure are formed. The inverted T-type dielectric layer stack structure 218 serves as a dielectric layer of the inverted T-type MIM capacitor structure. The inverted T-type dielectric layer stack structure 218 includes a horizontal portion 202a, a dielectric layer pattern 203a, and a vertical portion 204a, wherein the dielectric layer pattern 203a is located between the horizontal portion 202a and the vertical portion 204a. In other words, the vertical portion 204 a is a layer pattern 208 stacked vertically to form the inverted T-shaped dielectric layer stack structure 218. The line width of the horizontal portion 202a is larger than the line width of the vertical portion 204a. Therefore, the thickness of the dielectric layer 218 of the inverted T-type MIM capacitor structure includes the sum of the thicknesses of the horizontal portion 202a, the dielectric layer pattern 203a, and the vertical portion 204a. Therefore, the capacitance value of the inverted T-type MIM capacitor can be controlled by the total thickness of the inverted T-type dielectric layer stack structure 218.

倒T型MIM電容結構包括上電極圖案205a、倒T型介電層堆疊結構218與下電極圖案201a。崩潰電壓(breakdown voltage)也可以藉由倒T型介電層堆疊結構218之總厚度來控制。 The inverted T-type MIM capacitor structure includes an upper electrode pattern 205a, an inverted T-type dielectric layer stack structure 218, and a lower electrode pattern 201a. The breakdown voltage can also be controlled by the total thickness of the inverted T-type dielectric layer stack structure 218.

MIM電容之上電極圖案205a之下的垂直部204a與MIM電容之下電極圖案201a之上的水平部202a之間有介電層圖案203a而隔開彼此,因此,即使垂直部204a於蝕刻之後產生側邊底部切面(undercut)的情況,其側邊底部切面仍然不會接觸到下電極圖案201a,所以可以大大地改善傳統的MIM電容結構之介電層底部直接接觸下電極圖案所造成漏電流的情形。 A dielectric layer pattern 203a separates the vertical portion 204a below the electrode pattern 205a above the MIM capacitor and the horizontal portion 202a above the electrode pattern 201a below the MIM capacitor to separate each other. Therefore, even if the vertical portion 204a is generated after etching, In the case of an undercut on the side, the undercut on the side still does not contact the lower electrode pattern 201a, so the leakage current caused by the bottom of the dielectric layer of the conventional MIM capacitor structure directly contacting the lower electrode pattern can be greatly improved. situation.

之後,請參考第五圖,在倒T型MIM電容結構形成之後,在金屬導線間介電層200的基礎上形成一金屬導線間介電層200a,以覆蓋且封閉了整個倒T型MIM電容結構。金屬導線間介電層200a可以透過一化學氣相沉積的方法來形成。 After that, please refer to the fifth figure. After the inverted T-type MIM capacitor structure is formed, a metal-to-wire dielectric layer 200a is formed on the basis of the metal-to-wire dielectric layer 200 to cover and seal the entire inverted-T MIM capacitor structure. The inter-metal wire dielectric layer 200a can be formed by a chemical vapor deposition method.

接下來,請參考第六圖,在形成金屬導線間介電層200a之後,利用一微影與刻蝕製程在所述金屬導線間介電層200a上進行圖案化;亦即,進行一微影與蝕刻製程以去除下電極圖案201a上之一部分的水平堆疊層圖案208、去除水平堆疊層圖案208上之一部分的金屬導線間介電層200a、以及去除上電極圖案205a上之一部分的金屬導線間介電層200a,而形成複數個通孔於金屬導線間介電層200a之中;該些通孔係貫穿水平堆疊層圖案208,使得該些通孔得以裸露下電極圖案201a之上表面以及上電極圖案205a之上表面。舉例而言,利用一標準的微影製程以形成一第三光阻層圖案(未圖示)。然後,以該第三光阻層圖案作為蝕刻罩幕進行一蝕刻製程,直到蝕刻進行至下電極圖案201a之上表面以及上電極圖案205a之上表面裸露為止,結果形成複數個通孔於金屬導線間介電層200a之中。該些通孔分為二類:第一類通孔係為從金屬導線間介電層200a之上表面至上電極圖案205a之上表面,第二類通孔係為從金屬導線間介 電層200a之上表面、貫穿水平堆疊層圖案208(水平部202a與介電層圖案203a)而至下電極圖案201a之上表面。蝕刻完成之後,去除剩餘的光阻層。 Next, referring to the sixth figure, after forming the inter-metal wire dielectric layer 200a, a lithography and etching process is used to pattern the inter-metal wire dielectric layer 200a; that is, a lithography is performed. And an etching process to remove a part of the horizontal stacked layer pattern 208 on the lower electrode pattern 201a, to remove a part of the metal interlayer dielectric layer 200a on the horizontal stacked layer pattern 208, and to remove a part of the upper electrode pattern 205a between the metal conductive lines. The dielectric layer 200a forms a plurality of through-holes in the dielectric layer 200a between the metal wires. The through-holes penetrate the horizontal stacked layer pattern 208, so that the through-holes can expose the upper surface and the upper electrode pattern 201a. The upper surface of the electrode pattern 205a. For example, a standard photolithography process is used to form a third photoresist layer pattern (not shown). Then, an etching process is performed by using the third photoresist layer pattern as an etching mask until the etching is performed until the upper surface of the lower electrode pattern 201a and the upper surface of the upper electrode pattern 205a are exposed. As a result, a plurality of through holes are formed in the metal wires. Inter-dielectric layer 200a. These vias are divided into two types: the first type of vias are from the upper surface of the inter-metal wire dielectric layer 200a to the upper surface of the upper electrode pattern 205a, and the second type of vias are from the inter-metal wire interposer. The upper surface of the electrical layer 200a penetrates the horizontal stacked layer pattern 208 (the horizontal portion 202a and the dielectric layer pattern 203a) to the upper surface of the lower electrode pattern 201a. After the etching is completed, the remaining photoresist layer is removed.

然後,於該些通孔之中填入導電材料,例如利用鎢或銅填充該些通孔,以分別於該些第一類通孔與該些第二類通孔之中形成複數個導孔(via)209a與209b於金屬導線間介電層200a之中,其中導孔209a與209b分別電性耦合(連接)上電極圖案205a與下電極圖案201a。在此步驟中,倒T型介電層堆疊結構218之水平堆疊層圖案208被導孔209b所貫穿,如第六圖所示。導孔209b之深度(厚度)約略等於導孔209a之深度(厚度)加上上電極圖案205a以及倒T型介電層堆疊結構218之深度(厚度)。 Then, a conductive material is filled in the through holes, for example, the through holes are filled with tungsten or copper to form a plurality of through holes in the first type through holes and the second type through holes, respectively. (via) 209a and 209b are in the metal interlayer dielectric layer 200a, and the via holes 209a and 209b are electrically coupled (connected) to the upper electrode pattern 205a and the lower electrode pattern 201a, respectively. In this step, the horizontal stacked layer pattern 208 of the inverted T-type dielectric layer stacked structure 218 is penetrated by the via hole 209b, as shown in the sixth figure. The depth (thickness) of the via hole 209b is approximately equal to the depth (thickness) of the via hole 209a plus the depth (thickness) of the upper electrode pattern 205a and the inverted T-type dielectric layer stack structure 218.

在另一實施例中,在形成金屬導線間介電層200a之後,利用一微影與刻蝕製程在所述金屬導線間介電層200a上進行圖案化;亦即,進行一微影與蝕刻製程以直接去除金屬導線間介電層200上之一部分的金屬導線間介電層200a,而形成複數個通孔於金屬導線間介電層200a之中;該些通孔係貫穿金屬導線間介電層200a之上表面以及下表面。類似地,該些通孔分為二類:第一類通孔係為從金屬導線間介電層200a之上表面至上電極圖案205a之上表面,第二類通孔係為從金屬導線間介電層200a之上表面貫穿至其下表面。蝕刻完成之後,去除剩餘的光阻層。 In another embodiment, after the inter-metal wire dielectric layer 200a is formed, patterning is performed on the inter-metal wire dielectric layer 200a by a lithography and etching process; that is, a lithography and etching process is performed. The process is to directly remove a part of the inter-metal-wire dielectric layer 200a on the inter-metal-wire dielectric layer 200 to form a plurality of through-holes in the inter-metal-wire dielectric layer 200a; the through-holes pass through the inter-metal wire dielectric The upper and lower surfaces of the electrical layer 200a. Similarly, the vias are divided into two types: the first type of vias are from the upper surface of the inter-metal wire dielectric layer 200a to the upper surface of the upper electrode pattern 205a, and the second type of vias are from the inter-metal wire interposer. The upper surface of the electrical layer 200a penetrates to the lower surface thereof. After the etching is completed, the remaining photoresist layer is removed.

相同地,於該些通孔之中填入導電材料,例如利用鎢或銅填充該些通孔,以分別於該些第一類通孔與該些第二類通孔之中形成複數個導孔209a與209b於金屬導線間介電層200a之中,其中導孔209a與209b分別形成與連接於上電極圖案205a與金屬導線間介電層200之上。在此步驟中,倒T型介電層堆疊結構218之水平堆疊層圖案208未被導孔209b所貫穿,如第七圖所示。導孔209b之深度(厚度)約略等於導孔209a之深度(厚度)加上上電極圖案205a、倒T型介電層堆疊結構218與下電極圖案201a之深度(厚度)。 Similarly, conductive materials are filled in the through holes, for example, the through holes are filled with tungsten or copper to form a plurality of conductive holes in the first type through holes and the second type through holes, respectively. The holes 209a and 209b are in the inter-metal wire dielectric layer 200a, and the via holes 209a and 209b are respectively formed and connected to the upper electrode pattern 205a and the inter-metal wire dielectric layer 200. In this step, the horizontal stacked layer pattern 208 of the inverted T-type dielectric layer stacked structure 218 is not penetrated by the via hole 209b, as shown in the seventh figure. The depth (thickness) of the via hole 209b is approximately equal to the depth (thickness) of the via hole 209a plus the depth (thickness) of the upper electrode pattern 205a, the inverted T-shaped dielectric layer stack structure 218, and the lower electrode pattern 201a.

最後,利用一微影與刻蝕製程以形成金屬導線210於金屬導線間介電層200a與導孔209a、209b之上;金屬導線210電性耦合(連接)導孔209a與209b,結果完成半導體元件之後段製程線路結構之製作。 Finally, a lithography and etching process is used to form the metal wire 210 on the inter-metal wire dielectric layer 200a and the vias 209a and 209b; the metal wire 210 is electrically coupled (connected) with the vias 209a and 209b, and the semiconductor is completed as a result Fabrication of component post-process circuit structure.

除描述於此之外,可藉由敘述於本發明中之實施例及實施方式所達成之不同改良方式,皆應涵蓋於本發明之範疇中。一實施例為本發明之一實作或範例。說明書中所述一實施例、一個實施例、某些實施例或其他實施例指 的是一特定被敘述與此實施例有關之特徵、結構、或者特質被包含在至少一些實施例中,但未必於所有實施例。而各態樣之實施例不一定為相同實施例。其中應被理解的是在本發明實施例描述中,各特徵有時會組合於一實施例之圖、文字描述中,其目的為簡化本發明技術特徵,有助於了解本發明各方面之實施方式。除描述於此之外,可藉由敘述於本發明中之實施例及實施方式所達成之不同改良方式,皆應涵蓋於本發明之範疇中。因此,揭露於此之圖式及範例皆用以說明而非用以限制本發明,本發明之保護範疇僅應以列於其後之申請專利範圍為主。 In addition to the descriptions here, different improvements that can be achieved by the examples and implementations described in the present invention should be covered in the scope of the present invention. An embodiment is an implementation or example of the present invention. An embodiment, an embodiment, some embodiments, or other embodiments described in the description refer to What is described is that a particular feature, structure, or characteristic described in connection with this embodiment is included in at least some embodiments, but not necessarily all embodiments. The embodiments of the various aspects are not necessarily the same embodiment. It should be understood that in the description of the embodiments of the present invention, various features are sometimes combined in the drawings and text descriptions of an embodiment. The purpose is to simplify the technical features of the present invention and help to understand the implementation of various aspects of the present invention. the way. In addition to the descriptions here, different improvements that can be achieved by the examples and implementations described in the present invention should be covered in the scope of the present invention. Therefore, the drawings and examples disclosed herein are intended to illustrate rather than limit the present invention, and the scope of protection of the present invention should only be based on the scope of patent applications listed below.

Claims (24)

一種MIM電容,包含;第一電極層;第二電極層;以及倒T型介電層堆疊結構,形成於該第一電極層與該第二電極層之間;其中該倒T型介電層堆疊結構包括水平部及垂直部,該垂直部位於該水平部之上,而該水平部位於該第二電極層之上;其中,該倒T型堆疊結構由下至上依序為:第一介電層;第二介電層;以及第三介電層;其中,該第二介電層為蝕刻終止層。 A MIM capacitor includes: a first electrode layer; a second electrode layer; and an inverted T-type dielectric layer stack structure formed between the first electrode layer and the second electrode layer; wherein the inverted T-type dielectric layer The stacked structure includes a horizontal portion and a vertical portion, the vertical portion is located above the horizontal portion, and the horizontal portion is located above the second electrode layer; wherein the inverted T-shaped stacked structure from bottom to top is: An electrical layer; a second dielectric layer; and a third dielectric layer; wherein the second dielectric layer is an etch stop layer. 如請求項1所述之MIM電容,其中該水平部及該垂直部之材料係選自二氧化矽或氮矽化物。 The MIM capacitor according to claim 1, wherein the material of the horizontal portion and the vertical portion is selected from silicon dioxide or nitrogen silicide. 如請求項1所述之MIM電容,其中一介電層配置於該水平部及該垂直部之間。 The MIM capacitor according to claim 1, wherein a dielectric layer is disposed between the horizontal portion and the vertical portion. 如請求項3所述之MIM電容,其中該介電層與該水平部之線寬大小約略相等並且大於該垂直部之線寬大小。 The MIM capacitor according to claim 3, wherein a line width of the dielectric layer and the horizontal portion is approximately equal to and larger than a line width of the vertical portion. 如請求項3所述之MIM電容,其中該介電層之材料為高介電常數材料。 The MIM capacitor according to claim 3, wherein a material of the dielectric layer is a high dielectric constant material. 如請求項5所述之MIM電容,其中該高介電常數材料包括SiOxNy、Si3N4、Al2O3、Y2O3、La2O3、CeO2、Dy2O3、Ta2O5、Pr2O3、TiO2、HfO2、ZrO2、BaxSr1-xTiO3(BST)、SrBiTa2O9(SBT)或PbZrxTi1-xO3The MIM capacitor according to claim 5, wherein the high dielectric constant material includes SiO x N y , Si 3 N 4 , Al 2 O 3 , Y 2 O 3 , La 2 O 3 , CeO 2 , Dy 2 O 3 , Ta 2 O 5 , Pr 2 O 3 , TiO 2 , HfO 2 , ZrO 2 , Ba x Sr 1-x TiO 3 (BST), SrBiTa 2 O 9 (SBT), or PbZr x Ti 1-x O 3 . 如請求項1或3所述之MIM電容,更包括一金屬導線間介電層以覆蓋該MIM電容。 The MIM capacitor according to claim 1 or 3, further comprising a dielectric layer between metal wires to cover the MIM capacitor. 如請求項7所述之MIM電容,更包括複數個通孔,形成於該金屬導線間介電層之中,其中該複數個通孔包括第一類通孔,自該金屬導線間介電層之上表面至該第一電極層之上表面,第二類通孔,自該金屬導線間介電層之上表面、貫穿該水平部而至該第二電極層之上表面。 The MIM capacitor according to claim 7, further comprising a plurality of vias formed in the dielectric layer between the metal wires, wherein the plurality of vias includes a first type of vias from the dielectric layer between the metal wires. The upper surface is to the upper surface of the first electrode layer, and the second type of through hole extends from the upper surface of the dielectric layer between the metal wires through the horizontal portion to the upper surface of the second electrode layer. 如請求項8所述之MIM電容,其中填入導電材料於該第一類通孔與該第二類通孔之中,以形成第一導孔與第二導孔,其中該第一導孔與該第二導孔分別電性耦合該第一電極層與該第二電極層。 The MIM capacitor according to claim 8, wherein a conductive material is filled in the first type of via and the second type of via to form a first via and a second via, wherein the first via is The first electrode layer and the second electrode layer are electrically coupled to the second via hole, respectively. 如請求項9所述之MIM電容,其中該第二導孔之厚度約略等於該第一導孔之厚度加上該第一電極層以及該倒T型介電層堆疊結構之厚度。 The MIM capacitor according to claim 9, wherein the thickness of the second via is approximately equal to the thickness of the first via plus the thickness of the first electrode layer and the stacked structure of the inverted T-type dielectric layer. 如請求項7所述之MIM電容,更包括複數個通孔,形成於該金屬導線間介電層之中,其中該複數個通孔包括第一類通孔,自該金屬導線間介電層之上表面至該第一電極層之上表面,第二類通孔,自該金屬導線間介電層之上表面貫穿至其下表面。 The MIM capacitor according to claim 7, further comprising a plurality of vias formed in the dielectric layer between the metal wires, wherein the plurality of vias includes a first type of vias from the dielectric layer between the metal wires. The upper surface is to the upper surface of the first electrode layer, and the second type of through hole penetrates from the upper surface of the dielectric layer between the metal wires to the lower surface thereof. 如請求項11所述之MIM電容,其中填入導電材料於該第一類通孔與該第二類通孔之中,以形成第一導孔與第二導孔,其中該第一導孔與該第二導孔分別形成與連接於該第一電極層與該金屬導線間介電層之上。 The MIM capacitor according to claim 11, wherein a conductive material is filled in the first type of via and the second type of via to form a first via and a second via, wherein the first via is And the second via hole are respectively formed and connected on the dielectric layer between the first electrode layer and the metal wire. 如請求項12所述之MIM電容,其中該第二導孔之厚度約略等於該第一導孔之厚度加上該第一電極層、該倒T型介電層堆疊結構與該第二電極層之厚度。 The MIM capacitor according to claim 12, wherein the thickness of the second via is approximately equal to the thickness of the first via plus the first electrode layer, the inverted T-shaped dielectric layer stack structure, and the second electrode layer Of thickness. 如請求項7所述之MIM電容,更包括金屬導線形成於該金屬導線間介電層、該第一導孔與該第二導孔之上,其中該金屬導線電性耦合該第一導孔與該第二導孔。 The MIM capacitor according to claim 7, further comprising a metal wire formed on the dielectric layer between the metal wires, the first via hole and the second via hole, wherein the metal wire is electrically coupled to the first via hole. With the second guide hole. 如請求項1所述之MIM電容,其中該第一電極層與該第二電極層之材料係選自鉭、氮化鉭、鈦、氮化鈦、鎢、矽化鎢、氮化鎢、銅、鋁或合金。 The MIM capacitor according to claim 1, wherein the material of the first electrode layer and the second electrode layer is selected from tantalum, tantalum nitride, titanium, titanium nitride, tungsten, tungsten silicide, tungsten nitride, copper, Aluminum or alloy. 一種形成MIM電容之方法,包含;形成一底層金屬層於一底層介電層之上;形成一介電層堆疊層於該底層金屬層之上,該介電層堆疊層至少包含第一介電層、第二介電層以及第三介電層;形成一上層金屬層於該介電層堆疊層之上;圖案化該第三介電層以及該上層金屬層,以形成一垂直部與一上電極圖案;圖案化該第一介電層、第二介電層以及該底層金屬層,以形成一水平堆疊層圖案與一下電極圖案;以及其中該垂直部與該水平堆疊層圖案構成一倒T型介電層堆疊結構。 A method for forming a MIM capacitor comprises: forming a bottom metal layer on a bottom dielectric layer; forming a dielectric layer stack layer on the bottom metal layer, the dielectric layer stack layer including at least a first dielectric Layers, a second dielectric layer, and a third dielectric layer; forming an upper metal layer on the dielectric layer stack layer; patterning the third dielectric layer and the upper metal layer to form a vertical portion and a An upper electrode pattern; patterning the first dielectric layer, the second dielectric layer, and the underlying metal layer to form a horizontal stacked layer pattern and a lower electrode pattern; and wherein the vertical portion and the horizontal stacked layer pattern constitute an inverted T-type dielectric layer stack structure. 如請求項16所述之形成MIM電容之方法,更包括形成一金屬導線間介電層以覆蓋該MIM電容。 The method for forming a MIM capacitor according to claim 16, further comprising forming a dielectric layer between the metal wires to cover the MIM capacitor. 如請求項17所述之形成MIM電容之方法,更包括形成複數個通孔於該金屬導線間介電層之中,其中該複數個通孔包括第一類通孔,自該金屬導線間介電層之上表面至該上電極圖案之上表面,第二類通孔,自該金屬導線間介電層之上表面、貫穿該水平堆疊層圖案而至該下電極圖案之上表面。 The method for forming a MIM capacitor as described in claim 17, further comprising forming a plurality of through holes in the dielectric layer between the metal wires, wherein the plurality of through holes include a first type of through hole, The upper surface of the electrical layer to the upper surface of the upper electrode pattern, and the second type of through hole extends from the upper surface of the dielectric layer between the metal wires through the horizontal stacked layer pattern to the upper surface of the lower electrode pattern. 如請求項18所述之形成MIM電容之方法,更包括填入導電材料於該第一類通孔與該第二類通孔之中,以形成第一導孔與第二導孔,其中該第一導孔與該第二導孔分別電性耦合該上電極圖案與該下電極圖案。 The method for forming a MIM capacitor as described in claim 18, further comprising filling a conductive material in the first type of via and the second type of via to form a first via and a second via, wherein the The first via hole and the second via hole are electrically coupled to the upper electrode pattern and the lower electrode pattern, respectively. 如請求項19所述之形成MIM電容之方法,其中該第二導孔之厚度約略等於該第一導孔之厚度加上該上電極圖案以及該倒T型介電層堆疊結構之厚度。 The method for forming a MIM capacitor as described in claim 19, wherein the thickness of the second via hole is approximately equal to the thickness of the first via hole plus the thickness of the upper electrode pattern and the inverted T-shaped dielectric layer stack structure. 如請求項17所述之形成MIM電容之方法,更包括形成複數個通孔於該金屬導線間介電層之中,其中該複數個通孔包括第一類通孔,自該金屬導線間介電層之上表面至該上電極圖案之上表面,第二類通孔,自該金屬導線間介 電層之上表面貫穿至其下表面。 The method for forming a MIM capacitor as described in claim 17, further comprising forming a plurality of through holes in the dielectric layer between the metal wires, wherein the plurality of through holes include a first type of through hole, The upper surface of the electrical layer to the upper surface of the upper electrode pattern; The upper surface of the electrical layer penetrates to its lower surface. 如請求項21所述之形成MIM電容之方法,更包括填入導電材料於該第一類通孔與該第二類通孔之中,以形成第一導孔與第二導孔,其中該第一導孔與該第二導孔分別形成與連接於該第一電極層與該金屬導線間介電層之上。 The method for forming a MIM capacitor as described in claim 21, further comprising filling a conductive material into the first type of via and the second type of via to form a first via and a second via, wherein the The first via hole and the second via hole are respectively formed and connected on the dielectric layer between the first electrode layer and the metal wire. 如請求項22所述之形成MIM電容之方法,其中該第二導孔之厚度約略等於該第一導孔之厚度加上該上電極圖案、該倒T型介電層堆疊結構與該第二電極層之厚度。 The method of forming a MIM capacitor as described in claim 22, wherein the thickness of the second via is approximately equal to the thickness of the first via plus the upper electrode pattern, the inverted T-shaped dielectric layer stack structure, and the second The thickness of the electrode layer. 如請求項19或22所述之形成MIM電容之方法,更包括形成金屬導線於該金屬導線間介電層、該第一導孔與該第二導孔之上,其中該金屬導線電性耦合該第一導孔與該第二導孔。 The method for forming a MIM capacitor according to claim 19 or 22, further comprising forming a metal wire on the dielectric layer between the metal wires, the first via hole and the second via hole, wherein the metal wire is electrically coupled. The first guide hole and the second guide hole.
TW104140753A 2015-12-04 2015-12-04 Structure of mim capacitor and the method for fabricating the same TWI622176B (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW104140753A TWI622176B (en) 2015-12-04 2015-12-04 Structure of mim capacitor and the method for fabricating the same
CN201510951611.9A CN106847787A (en) 2015-12-04 2015-12-17 Structure of metal-insulation layer-metal capacitor and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW104140753A TWI622176B (en) 2015-12-04 2015-12-04 Structure of mim capacitor and the method for fabricating the same

Publications (2)

Publication Number Publication Date
TW201721882A TW201721882A (en) 2017-06-16
TWI622176B true TWI622176B (en) 2018-04-21

Family

ID=59150792

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104140753A TWI622176B (en) 2015-12-04 2015-12-04 Structure of mim capacitor and the method for fabricating the same

Country Status (2)

Country Link
CN (1) CN106847787A (en)
TW (1) TWI622176B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109148483B (en) * 2018-08-22 2021-07-23 武汉华星光电半导体显示技术有限公司 Manufacturing method of array substrate and array substrate
US11476261B2 (en) * 2019-02-27 2022-10-18 Kepler Computing Inc. High-density low voltage non-volatile memory with unidirectional plate-line and bit-line and pillar capacitor
CN111128956A (en) * 2019-12-20 2020-05-08 华虹半导体(无锡)有限公司 Manufacturing process of copper interconnection MIM capacitor and copper interconnection MIM capacitor structure

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005036597A2 (en) * 2003-09-23 2005-04-21 Freescale Semiconductor, Inc. Semiconductor device and making thereof
WO2005091795A2 (en) * 2004-03-10 2005-10-06 Freescale Semiconductor, Inc. Method of making a semiconductor device, and semiconductor device made thereby

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100553679B1 (en) * 2002-03-21 2006-02-24 삼성전자주식회사 Semiconductor device with analog capacitor and method of fabricating the same
JP3822569B2 (en) * 2003-02-28 2006-09-20 株式会社東芝 Semiconductor device and manufacturing method thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005036597A2 (en) * 2003-09-23 2005-04-21 Freescale Semiconductor, Inc. Semiconductor device and making thereof
WO2005091795A2 (en) * 2004-03-10 2005-10-06 Freescale Semiconductor, Inc. Method of making a semiconductor device, and semiconductor device made thereby

Also Published As

Publication number Publication date
CN106847787A (en) 2017-06-13
TW201721882A (en) 2017-06-16

Similar Documents

Publication Publication Date Title
US11923405B2 (en) Metal-insulator-metal structure and methods of fabrication thereof
US9012297B2 (en) Methods of forming moisture barrier capacitors in semiconductor components
US7915135B2 (en) Method of making multi-layer structure for metal-insulator-metal capacitor
US9269762B2 (en) Metal-insulator-metal (MIM) capacitor within topmost thick inter-metal dielectric layers
US7897454B2 (en) Metal-insulator-metal capacitor and fabrication method thereof
KR100809321B1 (en) Multiple MIM capacitor and fabrication method for the same
US20080122032A1 (en) Semiconductor devices with MIM-type decoupling capacitors and fabrication method thereof
US10998396B2 (en) Semiconductor structure and method for forming a semiconductor structure
KR100835409B1 (en) Method for manufacturing damascene mim type capacitor of semiconductor device
KR100533971B1 (en) Method of manufacturing capacitor for semiconductor device
CN110634845A (en) MIM capacitor and manufacturing method thereof
TWI622176B (en) Structure of mim capacitor and the method for fabricating the same
US7183171B2 (en) Pyramid-shaped capacitor structure
US20210343831A1 (en) Semiconductor structure and method for forming same
US10600568B2 (en) Capacitor and method of fabricating the same
TWI593120B (en) Capacitor structure and method for fabricating the same
KR100650192B1 (en) Semiconductor device and method for forming the same
TWI430399B (en) Method of making multi-layer structure for metal-insulator-metal capacitor
KR100624326B1 (en) Method of Forming Capacitor in Semiconductor Device
US20230395649A1 (en) Metal-insulator-metal (mim) capacitor module
KR100607662B1 (en) Method for forming metal insulator metal capacitor
KR100834238B1 (en) Semiconductor devices having mim capacitor and method of making the same
KR20060077679A (en) Manufacturing method of mim capacitor