TWI606481B - 處理晶圓之方法和設備 - Google Patents

處理晶圓之方法和設備 Download PDF

Info

Publication number
TWI606481B
TWI606481B TW102130273A TW102130273A TWI606481B TW I606481 B TWI606481 B TW I606481B TW 102130273 A TW102130273 A TW 102130273A TW 102130273 A TW102130273 A TW 102130273A TW I606481 B TWI606481 B TW I606481B
Authority
TW
Taiwan
Prior art keywords
conductive
power
capacitance
wafer
chamber
Prior art date
Application number
TW102130273A
Other languages
English (en)
Other versions
TW201415521A (zh
Inventor
陳志剛
艾瑞克 哈得森
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201415521A publication Critical patent/TW201415521A/zh
Application granted granted Critical
Publication of TWI606481B publication Critical patent/TWI606481B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Description

處理晶圓之方法和設備 【相關申請案的交互參照】
本申請案依據35 U.S.C.§119(e)主張申請於2012年8月24日之美國專利申請案第13/594768號之優先權及權利,其與下列申請案相關:申請於2012年3月15日、名為「Dual Zone Temperature Control of Upper Electrodes」之美國專利申請案第13/420949號;申請於2012年4月3日、名為「Multi Zone Gas Injection Upper Electrode System」之美國專利申請案第13/438473號;以及申請於2012年2月23日、名為「Peripheral RF Feed and Symmetric RF Return for Symmetric RF Delivery」之美國專利申請案第13/403760號,其揭露內容於此併入作為參考。
本實施例有關晶圓處理設備,尤其關於在電漿蝕刻腔室中處理晶圓之設備及方法。
積體電路之製造包括使基板(例如晶圓)曝露至化學反應性電漿以蝕刻特徵部。隨後處理特徵部以定義電晶體、電容、孔洞、渠溝等等。孔洞及渠溝係用於設置導電互連件。
不均勻蝕刻可能對晶圓產量造成負面影響。不均勻蝕刻可能造成電磁駐波效應,已在電漿反應器中觀察到此由蝕刻製程期間使用之射頻(RF)電磁波所造成之效應。已察覺到電磁駐波效應導致在電漿反應器內形成極強的中央高蝕刻速率圖案,尤其是在30MHz之超高頻及更高頻 率。因此,至少在一些情況下,當反應器直徑與提供在電漿反應器中之有效RF波長的一半相當時,發現到駐波效應及中央高蝕刻速率圖案是相當值得注意的。藉由應對電漿反應器內的駐波效應來控制蝕刻製程中的不均勻性將是有益的,尤其當臨界尺寸隨各個新一代裝置而縮小時、以及當晶圓尺寸增大時,俾能有助於從同一晶圓生產更多數量的裝置。因此,控制不均勻性對於使更先進技術節點能以符合成本效益的方式大量生產而言是相當重要的。
本發明之實施例正是在此情況下產生。
本揭露內容之實施例提供了藉由在RF匹配輸出使用可變電容、利用RF電力來處理晶圓之設備及方法。將電容導入RF匹配與電漿處理設備之間的介面。應瞭解到本實施例可用許多方式加以實施,例如製程、設備、系統、裝置、或電腦可讀媒體上的方法。以下描述數個實施例。
在一實施例中,提供一種用以處理晶圓的方法。該方法包括提供一腔室以電漿處理該晶圓。腔室包括一電極,該電極具有定義於其上之支撐面及外緣區域。將射頻電力施加至腔室的電極。RF電力係經由導電遞送連接及導電返回連接而與電極連通。導電遞送連接係調整至一有效電性長度之尺寸,該有效電性長度造成在操作頻率下之阻抗轉換。將電容加在導電遞送連接之第一端。可調整所施加之電容以造成在導電遞送連接之第二端的相反阻抗調整。第二端係耦合至圍繞電極之介電圍繞結構。介電圍繞結構在電極的外緣區域附近呈現相反阻抗調整,使得於第一端所增加之電容造成在第二端之電容降低及對應的阻抗增加。第二端之阻抗增加使電極之外緣區域附近的電壓分佈升高,此電壓分佈朝電極之支撐面的中央降低。
在另一實施例,揭露了一種用以處理晶圓的設備。該設備包括用以電漿處理該晶圓之腔室。腔室設有一電極,該電極具有定義於其上之支撐面及外緣區域。該設備亦包括射頻(RF)電源,以經由導電遞送連接及導電返回連接而提供RF電力至該電極。導電遞送連接係調整至一有效 電性長度之尺寸,該有效電性長度造成在操作頻率下之阻抗轉換。RF電源包括:一RF產生器,用以產生RF電力;一RF匹配電路,耦合至RF產生器以使自產生器遞送至晶圓的RF電力最佳化;以及一可變電容,耦合至RF匹配電路輸出,以在導電遞送連接之第一端施加電容。可調整施加在第一端之電容,以造成在導電遞送連接之第二端的相反阻抗調整。導電遞送連接之第二端係耦合至圍繞電極之介電圍繞結構。介電圍繞結構在電極的外緣區域附近呈現相反阻抗調整,使得於第一端所增加之電容造成對應在第二端之阻抗增加。第二端之阻抗增加使電極之外緣區域附近的電壓分佈升高,此電壓分佈朝電極之支撐面的中央降低。
本發明的其他實施態樣從以下配合附圖的詳細說明將變得顯而易見。
10‧‧‧環繞腔室
11‧‧‧噴淋頭
12‧‧‧環繞腔室壁
13‧‧‧罩體
14‧‧‧電漿限制腔室
15‧‧‧貫孔
16‧‧‧上電極組件
18‧‧‧夾盤組件
20‧‧‧靜電夾盤
24‧‧‧RF饋送件
28‧‧‧接地屏蔽
30‧‧‧夾盤組件壁
101‧‧‧第一端
102‧‧‧第二端
104‧‧‧出口點
106‧‧‧導電金屬連接點
110‧‧‧RF產生器
120‧‧‧匹配電路盒
120‧‧‧導電金屬層
120-a‧‧‧匹配電路
120-b‧‧‧可變電容
122‧‧‧碗狀部
124‧‧‧管狀部
126‧‧‧電容設定控制模組
128‧‧‧系統控制器
130‧‧‧電容設定控制模組
132‧‧‧RF產生器
140-a‧‧‧內傳輸線
140-b‧‧‧外返回線
150‧‧‧使用者介面
150a‧‧‧RF電力輸入次介面
150b‧‧‧電容輸入介面
150c‧‧‧附加次介面
150d‧‧‧附加次介面
150e‧‧‧附加次介面
150f‧‧‧附加次介面
152‧‧‧鞘部
200‧‧‧晶圓
210‧‧‧ESC
300‧‧‧電路
500‧‧‧絕緣主體
1000‧‧‧計算系統
1004‧‧‧中央處理單元
1008‧‧‧電容控制程式
1010‧‧‧匯流排
1012‧‧‧唯讀記憶體
1014‧‧‧大量儲存裝置
1018‧‧‧顯示器
1020‧‧‧輸入/輸出介面
1022‧‧‧鍵盤
1024‧‧‧游標控制器
1028‧‧‧隨機存取記憶體
1030‧‧‧網路介面
1032‧‧‧網路
1034‧‧‧可卸除式媒體裝置
1110、1120、1130‧‧‧操作
C0‧‧‧電容元件
C1‧‧‧電容元件
Csh‧‧‧電容元件
L1‧‧‧電感元件
Lp‧‧‧電感元件
Rp‧‧‧電阻元件
藉由配合附圖參考以下敘述可最有效地瞭解實施例。
圖1A顯示依據本發明之實施例之電漿反應器的示意橫剖面。
圖1B顯示本發明之一實施例中之設有可變電容的電漿反應器腔室的橫剖面。
圖2顯示依據本發明之一實施例之整體系統,其顯示用於處理電漿處理腔室內之晶圓的各個元件。
圖3顯示依據本發明之一實施例之電路的示意圖,其顯示與利用超高頻RF電力之晶圓處理有關的各種電子元件。
圖4顯示依據本發明之一實施例之電漿蝕刻腔室的橫剖面,其連接至一計算系統,該計算系統調整供應至電漿蝕刻腔室中之電極的RF電力。
圖5顯示依據本發明之一實施例之電漿反應器內的絕緣主體的各種元件,其對應至圖3之電路的元件。
圖6顯示本發明之一實施例中之阻抗的有效轉換,以及橫跨晶圓之最佳電壓分佈的電容/阻抗值。
圖7a-7f顯示本發明之一實施例中之由於電容調整的晶圓級電場圖案。
圖8顯示本發明之一實施例中之由於可調電容的電場徑向相依圖表。
圖9係用以實現於此所述之實施例的電腦系統之簡化示意圖。
圖10顯示本發明之一實施例中之有關提供橫跨電漿腔室內之晶圓的最佳電壓分佈之各種操作的流程圖。
以下的實施例描述了在從RF產生器供應RF電力之電漿蝕刻腔室中處理晶圓的設備及方法。明顯地,該等實施例可在不具有這些具體細節之部份或全部的情況下加以實施。在其他情況下,為了不非必要地混淆該等實施例,故已不詳細地描述熟知的製程操作。
在兩電極之間激起電場為在蝕刻腔室中得到RF氣體放電的方法之一。當施加振盪電壓在電極之間時,所獲得之放電稱為電容耦合電漿(CCP)放電。
可利用穩定原料氣體來產生電漿,以獲得藉由電子-中子碰撞所引起之各種分子的解離而產生之各種化學反應性副產物。蝕刻的化學實施態樣涉及中性氣體分子和其解離之副產物與待蝕刻表面之分子的反應,並從而產生可被排出之揮發性分子。當電漿產生時,正離子受到加速從電漿穿越一使電漿與壁部及晶圓表面隔開之空間電荷鞘部,而以足夠能量撞擊晶圓表面,從而自晶圓的表面移除露出之材料。
在一實施例中,由於氟碳化物氣體(如CF4及C-C4F8)的非等向及選擇性蝕刻能力,因此將其使用在介電層蝕刻製程中,但於此所述之原理可應用在其他電漿產生氣體。氟碳化物氣體容易解離成更小的分子及原子自由基。這些化學反應性副產物將介電材料蝕去,在一實施例介電材料可為用於低k值裝置之SiO2或SiOCH。
簡言之,RF產生器提供電漿蝕刻腔室之必需RF電力。將 來自RF產生器的RF電力傳送至RF匹配電路,以使阻抗與電漿腔室的輸入阻抗匹配。將輸出自RF匹配電路的RF電力經由導電遞送連接(另稱為RF遞送傳輸線)而施加至晶圓,並且經由導電返回連接(另稱為RF外返回傳輸線)返回。在一實施例中,於RF遞送傳輸線之輸入端的RF匹配輸出處增加可變電容,這使得RF遞送傳輸線作為阻抗轉換器。可調整RF傳輸線之輸入端的可變電容,以導致RF遞送傳輸線之遞送端的相反阻抗調整。可變電容的調整亦造成晶圓級阻抗由高至低或由低至高的差異、以及晶圓之RF電流相位的差異,這取決於該調整。晶圓級之阻抗轉換及電流相位允許晶圓上方之不同電場圖案的形成、以及中央高駐波圖案的控制(例如塑形)或排除。可藉由提供一種類型之旋鈕來完成此控制手段,該旋鈕可藉由調整電容值而電性調整中央高駐波圖案。形成在晶圓上之電場圖案在決定晶圓上之離子通量分佈及蝕刻速率圖案中扮演一關鍵角色。因此,可變電容係調整成在晶圓表面上方能形成更均勻之電場圖案,從而在晶圓表面上方定義一平坦離子通量分佈。
如同將更為詳細敘述之各種實施例使用了現有的RF電路及傳輸線以提供一簡單又有效的電子方法來幫助解決可能的不均勻性蝕刻圖案問題。在一實施例中,系統的變更可以很小,並且能輕易整合到現有的系統中。導入外部共振電感及電容電路元件以應對不均勻蝕刻圖案問題之替代方法,由於其高循環電流而導致明顯的電力損耗。相較之下,由於在匹配電路輸出所增加的可變電容(如於此所揭露者)而使電力損耗降到最低。可延伸目前實施例的教示以應對任何操作在介於約30-300MHz之間的超高頻(VHF)之電容耦合電漿反應器的類似問題。
為了瞭解本發明的各種優點,現將參考圖1A來描述用以處理晶圓之電漿反應器。圖1A顯示依據本發明之實施例之電漿反應器的橫剖面。反應器包括由環繞腔室壁12所定義之環繞腔室10、以及由上電極組件16和作為下電極之下夾盤組件18所定義之電漿限制腔室14。夾盤組件18包括靜電夾盤(ESC)20,靜電夾盤20之頂端上具有基板支撐面以提供將基板夾持在其基板支撐面之靜電夾持,且靜電夾盤20具有定義在基板支撐面之外圍的外緣區域(或簡稱「外部區域」)。ESC 20係由夾盤組件壁30所 定義。各種設施元件(如有關加熱、冷卻、控制升降銷、及靜電夾持之元件)係耦合至設施板(未顯示),其因此耦合至ESC 20之基板支撐面的反側上。ESC的底部部份呈碗狀。
如所示般,上電極組件16包括用於將處理氣體饋送至電漿限制腔室14內之噴淋頭11。上電極組件亦包括罩體13,罩體13與夾盤組件18嚙合以定義電漿限制腔室14。貫孔15係定義在罩體13中以供氣體流量離開電漿限制腔室14。
中空RF饋送件24係定義成將來自RF源的RF電力提供至夾持在基板支撐面之基板。因此,中空RF饋送件24包括從RF源延伸至ESC組件的底端之管狀部、以及從管狀部向上延伸之碗狀部。RF饋送件24之碗狀部圍繞ESC組件之碗狀部的形狀並與其一致。RF饋送件24之管狀部的第一端係耦合至RF源之RF匹配電路。定義在碗狀部中之第二端102係耦合至圍繞ESC 20之介電圍繞結構,以經由ESC 20之外部區域將RF電力遞送至晶圓。關於更多提供RF電力至ESC 20周圍的資訊,可參考申請於2012年2月23日、名為「Peripheral RF Feed and Symmetric RF Return for Symmetric RF Delivery」之美國專利申請案第13/403760號,其於此併入作為參考。從ESC的周圍提供RF電力至基板能繞過ESC之設施板的內部,從而使耦合至設施板之元件與RF電力絕緣。此外,如此遞送RF電力至設置在夾盤組件上之基板的方式導致達到高方位角均勻性。
RF饋送件24之長度「L」(從第一端101延伸至RF饋送件24的碗狀部)係調整至適當尺寸,以在操作頻率下於第二端造成阻抗轉換。在一實施例中,RF饋送件的尺寸為約1.25公尺、或約60MHz下之1/4波長。RF饋送件的尺寸隨不同的頻率而改變。阻抗轉換係傳送至外環區域。
提供接地屏蔽28以幫助實質對稱之RF返回。在一實施例中,接地屏蔽28係定義成將從RF饋送件24之管狀部的第一端延伸至碗狀部的底端之中空RF饋送件24的區域圍住。接地屏蔽28係經由ESC組件壁30而連接至接地電路。
圖1B顯示在本發明之一實施例中之電漿反應器的橫剖面,電漿反應器設有在RF饋送件24之第一端提供可調式電容之可變電容,以 造成RF饋送件24之第二端的相反電容調整。如先前所提及,RF饋送件24包括用於RF源所供應之RF電力的內傳輸線及外返回線。傳送RF電力之內傳輸線稱為「RF熱棒」(RF hot rod)。圍繞ESC組件之碗狀部的介電圍繞結構係由陶瓷及石英元件兩者所組成。例如,一石英聚焦環(未顯示)係定義成鄰近ESC 20之外緣區域(另稱為「外環」)且耦合至下方石英元件。下方石英元件因此耦合至一或更多陶瓷元件。介電圍繞結構係經由腔室壁30而連接至接地電路。經由導電材料(如銅或其類似者)所製作之C形帶提供額外接地至電極,從而將電極連接至接地電路。
電漿區域係定義在介於上電極與ESC之間的電漿限制腔室14內。鞘部152係形成於電漿與ESC的基板支撐面/上電極之間。當於第一端調諧可變電容時,內傳輸線作用像阻抗轉換器,其基於可變電容所設定之電容值而由低至高或由高至低轉換晶圓級阻抗及晶圓之RF電流相位。設置在具有石英及陶瓷元件之介電圍繞結構的石英元件之任一側上的一對金屬元件定義了電感元件L1
圖2更詳細顯示本發明之一實施例之RF電力遞送至電漿腔室和從電漿腔室返回的路徑。如所示般,該系統包括提供RF電力至電漿腔室之RF源。RF源包括RF產生器110及RF匹配電路盒120,RF匹配電路盒120係用以接收RF電力並且在傳送RF電力至基板支撐面上的晶圓之前提供匹配阻抗。匹配電路盒120包括匹配電路120-a及可變電容120-b,可變電容120-b係連接在匹配電路120-a與RF饋送件24之間以便在經由RF饋送件24傳送RF電力之前,於第一端施加一可變電容。匹配電路盒120內之匹配電路具有使電漿腔室的內阻抗與RF產生器的阻抗相匹配之阻抗。RF電力係經由RF饋送件24的內傳輸線140-a傳送至設置在電漿腔室中的ESC210之基板支撐面上的晶圓200。RF電力係經由耦合至匹配電路盒120之外返回線140-b返回,其係連接至接地電路以完成返回路徑電路。該系統亦包括具有使用者介面150之計算裝置,使用者介面150具有複數個次介面。這些次介面其中之一為控制傳送至電漿腔室之RF電量的RF電力輸入次介面150a。另一次介面為用以調整可變電容參數(例如設定電容值)的電容輸入介面150b。在一實施例中,計算裝置係連接至電容設定控制模 組130,其進而耦合至可變電容以基於次介面150b所提供之輸入來調整可變電容的電容值。可機械式或電子式調整可變電容的電容值。舉例而言,在機械式調整的情況下,設定控制手段模組130可包括機構(如馬達機構)或至少控制手段,以控制可變電容的電容值。除了RF電力輸入次介面150a和用以調整可變電容參數的電容輸入次介面150b以外,使用者介面150還可包括額外次介面150c至150f。這些額外次介面可用以控制與晶圓處理相關的一或更多參數,包括調整用以在電漿腔室中產生電漿之原料氣體的參數、及/或匯報這些參數其中之一或多者。
RF產生器接收來自RF電力輸入次介面150a的輸入值,並基於此輸入值產生適當的RF電力以供傳送至電漿腔室。匹配電路盒提供與電漿腔室相匹配之匹配阻抗,以使電力反射降到最低。可變電容120-b係耦合至匹配電路。可變電容120-b的電容值係基於接收自電容設定控制模組130的電容設定值而改變。電容設定控制模組130接收來自計算裝置之電容輸入介面150b的輸入以產生電容設定值。待設定之可變電容120-b的電容值係基於RF饋送件24的RF電力及幾何形狀(參考圖4而更加詳細討論)而進行計算。於第一端之電容調整導致在第二端之電容及阻抗的對應調整。所調整之阻抗係傳送至ESC的外緣區域。外緣區域之阻抗導致外緣之電壓升高,並且此電壓隨著RF電流經過電漿沿著二不同RF返回路徑回到接地而降低,RF返回路徑包含上電極、腔室壁、傳輸線、及匹配電路。
圖3顯示代表圖2所示之系統的簡化電路300。將參考圖5進一步描述電路300的各種元件。圖2的可變電容120-b係表示成電路300之電容元件C0。電容元件C1代表由調整可變電容120-b之電容值C0所造成的相反電容調整。電感元件L1代表RF遞送及接地金屬表面之電感。電容元件Csh代表定義在電漿腔室中之鞘部所顯現之電容。電阻元件Rp代表電漿之電阻元件。電感元件Lp代表在電漿腔室中所形成之電漿的電感。如先前所述,改變位於第一端之可變電容120-b的電容值C0將造成設置在第二端之輸出電容的相反電容調整數值C1。例如,在第一端將可變電容調到高電容值C0,則於第一端造成低阻抗Z0、於第二端造成對應的低電容值C1及高阻抗Z1。電路300係由接至接地電路之返回路徑所完成。RF電力沿著二返回 路徑到接地電路。第一返回路徑經過夾盤組件壁30、接地屏蔽28、及接地電路。第二路徑經過電漿到上電極、C形罩體、並經由介電圍繞結構之絕緣主體到接地電路。
本發明之實施例提供一種調整晶圓級阻抗之方法,其藉由在匹配電路的輸出端導入可變電容而最小幅度地變更現有的電路,以導致離子通量的均勻性或調整。在一實施例中,除了在匹配電路的輸出端導入可變電容以外,還可將傳輸線的長度調整至一有效電性長度,以導致在操作頻率下之晶圓級阻抗轉換。在一實施例中,有效電性長度「L」取決於提供至傳輸線的RF頻率。舉例而言,在一實施例中,當供於傳輸線之RF電力為約60MHz時,已觀察到將傳輸線的管狀部彎成/調整成約1/4波長的效果最佳。在一實施例中,針對60MHz RF電力,管狀部的長度為約1公尺,並且圍繞碗狀部之垂直部的尺寸為約0.25公尺。在另一實施例中,針對60MHz RF電力,傳輸線的總長度為約1.25公尺。如先前所述,有效電性長度可依經由傳輸線所供應之RF頻率而修改。
圖4顯示一系統之各種元件,其中包含一電漿反應器之橫剖面,該系統係用於在第一端提供高電容以在第二端造成高阻抗,以及在電漿反應器之電極的外緣附近提供高電壓分佈。如所示般,電漿反應器被圍在一腔室內。電漿反應器被腔室的腔室壁圍住。電漿限制腔室係由上電極組件及下靜電夾盤組件定義在電漿反應器中。上電極組件包括饋送處理氣體至限制腔室中之噴淋頭、以及嚙合夾盤組件以定義電漿限制腔室之罩體。罩體包括使處理氣體流量離開電漿限制腔室之貫孔。
夾盤組件包括提供基板支撐面之靜電夾盤、及定義在頂側上之外環、以及耦合至FSC的基板支撐面之反側的各種元件。外環係定義成環繞在基板支撐面的周圍。各種元件可包括有關加熱、冷卻、控制升降銷、靜電夾持之元件(僅列舉數個)。上列元件僅為範例性且不應視為限制性。ESC組件被介電圍繞結構圍住,介電圍繞結構係由石英元件、陶瓷元件、及氧化鋁元件其中一或多者所組成。介電圍繞結構(亦稱為「絕緣主體」)係連接至接地電路。
中空RF饋送件係耦合至ESC組件的外圍部,以經由介電圍 繞結構將RF電力遞送至ESC的外環。RF饋送件包括碗狀部122及管狀部124。碗狀部122圍繞ESC組件,從而和ESC組件的形狀一致,以及管狀部係連接至碗狀部的底部並橫向延伸遠離ESC組件至電漿反應器的腔室壁30。定義在向腔室壁30外部延伸之RF饋送件的管狀部124中之第一端101係連接至匹配電路盒120,且匹配電路盒120係耦合至RF產生器132,使得匹配電路盒120介於RF產生器132與RF饋送件之間。匹配電路盒120向上連接至腔室30的機械壁,並且藉由連接至接地電路而接地。匹配電路盒包覆匹配電路120-a及可變電容120-b。RF饋送件包括內傳輸線及外壁。內傳輸線係連接至來自匹配電路盒120之輸出。RF饋送件之外壁係連接至接地電路以完成RF返回路徑。
電腦系統(顯示為系統控制器128)係連接至RF產生器132以控制並管理提供至電漿反應器之RF電力。電腦系統128亦經由電容設定控制模組126連接至匹配電路盒120,以控制連接至匹配電路120-a之輸出端的可變電容120-b之調諧。此調諧可為機械式(即馬達驅動)或電子式,並且係基於經由電容設定控制模組126而自電腦系統輸入之電容值。電腦系統可包括具有複數個次使用者介面150a-150f之使用者介面150,以控制電漿反應器腔室中所執行之蝕刻製程的一或更多特性。介面的資料亦可基於所定義之配方而加以編程。例如,可利用次使用者介面150b提供電容設定值至電容設定控制模組126,電容設定控制模組126驅動馬達以調整匹配電路盒中的可變電容。應注意到一旦找到可變電容的最佳設定值,則在腔室中所實施的整個蝕刻製程期間便使用此最佳設定值。或者,可在蝕刻循環期間週期性地調整設定、或在蝕刻製程的不同階段調整設定。
在未設置可變電容於匹配電路盒之習知系統中,於第一端處之電容很低。因此,於RF饋送件之第一端處的阻抗很高,且橫跨由介電圍繞結構所表示之絕緣主體的阻抗很低。由於在外環附近的出口區域存在低阻抗,因此便有使電流離開絕緣主體之低阻抗,從而導致電流容易流向腔室壁。此邊界條件有效地橫越晶圓而建立一由零階第一類貝色函數(Bessel function)所描繪之中央高的電場剖面,如圖8之曲線1所示。電場圖案的變化影響蝕刻速率圖案。為了提供一更平坦的蝕刻速率圖案而調整RF電 力,將導致中央高電場圖案的適度降低(如圖8之曲線2所示),但此並未完全應對不均勻蝕刻速率圖案。
為了控制此蝕刻速率中的不均勻性,必須增加橫跨絕緣主體之阻抗以強行提高於邊緣處的電場及電壓。由於絕緣主體並非獨立之絕緣體而是RF傳輸線系統之一整合部份,因此可藉由調整位於RF饋送件之第一端的電容來調整第二端之阻抗。調整電容將導致RF傳輸線行為像一阻抗轉換器,此阻抗轉換器將第一端之低阻抗轉換成第二端之高阻抗,反之亦然。將可變電容加在RF匹配電路,以便在經由RF饋送件的內傳輸線傳送RF電力之前調整位於第一端的電容。利用使用者介面150b來增加RF體送件之第一端101的可變電容之電容值C0。在圖4所示之實施例中,C0從0微微法拉(pF)增加到約150pF。這使得傳輸線轉變成一阻抗轉換器,從而在第一端造成低阻抗Z0、在在第二端102造成對應的低電容C1及高阻抗Z1。低電容C1及高阻抗Z1橫越絕緣主體而傳到位於外環之出口點104。在一實施例中,外環實質上為一圍繞腔室中之基板處理區域的聚焦環。由於介於外環處之出口點104與腔室壁之間的出口區域之高阻抗,因此在晶圓邊緣處之電壓及電場很高,並隨其向中央伸展而減低。橫跨晶圓表面之電壓分佈係繪示於圖5。
現在參考圖5,其顯示電漿反應器之絕緣主體500。將說明電路300的部份元件與絕緣主體之不同元件的關係。例如,其間具有絕緣主體之導電金屬連接點102與106的組合係電容元件C1之一部份。金屬層的電感係電感元件L1之一部份。用於第一端之高電容C0導致在絕緣主體處之低電容C1及更高阻抗Z1,其傳至ESC(即電極)的外部區域。在支撐面之外部區域(即周圍)從絕緣主體離開之電壓於外部區域遭遇更高的阻抗。因此,此電壓及電場在外緣附近很高,其中電壓離開絕緣主體並隨其移向腔室的中央而減低強度。電壓分佈係繪示在圖5上方之垂直箭號。橫跨晶圓表面之電壓強度的減低係表示成垂直箭號的高度從腔室邊緣往中央降低。此實施例所定義之電壓分佈係表示成圖8中的曲線3。理想目標為獲得一平衡之電壓分佈,以完成更為平坦的晶圓級電場圖案,如圖8之曲線4所示。如先前所述,電場圖案驅動晶圓表面上之離子通量分佈及蝕刻速率 圖案。
為了得到更為平衡之橫跨晶圓表面的電壓分佈,在第一端處調整可變電容。平衡之電壓分佈將造成在晶圓表面上方形成一更齊平的電場圖案,如圖8中的曲線4所示。在一實施例中,為達到此平衡之分佈,故基於提供在傳輸線之RF電力和傳輸線的有效電性長度來調整可變電容。在一實施例中,有效電性長度係定義為RF饋送件之水平長度「L1」及垂直長度「L2」的總和。例如,RF饋送件的水平長度係定義為傳輸線之管狀部的距離L1,此距離L1係從其中傳輸線耦合至具有可變電容之匹配電路盒的輸入端(即第一端,表示為圖4中的A’)直到RF饋送件之碗狀部的底部(表示為A”)。RF饋送件的垂直部份係定義為長度L2,此長度L2係從RF饋送件之碗狀部的底部(表示為A”)直到圖4中RF電力進入絕緣主體之位置102(表示為B’)。在另一實施例中,有效電性長度係定義為傳輸線的長度,此長度係從其中傳輸線耦合至具有可變電容之匹配電路盒的輸入端(即第一端)直到其中RF電力供應至絕緣主體的第二端。在一實施例中,將傳輸線之管狀部的幾何形狀以及所計畫之RF電力輸入到使用者介面,然後計算裝置便計算能使電壓分佈平衡之調諧電容的範圍數值。在另一實施例中,將傳輸線的有效電性長度以及所計畫之RF電力輸入到使用者介面,然後計算裝置便計算能使晶圓級電壓分佈平衡之調諧電容的範圍數值。在一實施例中,針對60MHz的RF電力,當藉由計算裝置所計算之電容設定值介於約50微微法拉(pF)至約500pF之間時,已觀察到期望之電壓分佈。當電容值介於約75pF至約300pF之間時,已觀察到更理想的電壓分佈;並且當電容值設定在介於約100pF至約200pF之間時,已觀察到最佳的電壓分佈。
圖6顯示電容/阻抗值的有效轉換,其提供了電漿下方橫跨晶圓之電場/電壓的最佳分佈。框線部份代表利用習知方法於晶圓處理期間所使用之電容及對應阻抗的數值。在本發明之一實施例中,最佳數值係繪示在介於A點和B點之間的曲線線段。
圖7a-7f顯示當電容值範圍從0pF至約200pF時的晶圓級電場圖案。如從圖7a-7f可見,在一實施例中,當使用60MHz RF電力且 電容值設定在150pF時,達到最佳電場圖案,且因此達到均勻離子通量。應注意最佳電容值係基於所使用之RF電力的特徵、傳輸線、及電漿性質。因此,針對不同的RF電力及/或傳輸線的有效電性長度,最佳電容值可能不同。
在一實施例中,當電性長度變短或比有效電性長度更長時,則藉由在路徑中增加介電材料或金屬來調整電性長度。例如,在一實施例中,當傳輸線的電性長度比有效電性長度長時,則增加介電材料以縮短傳輸線的電性長度。同樣地,當傳輸線的電性長度比有效電性長度短時,則可增加適當的金屬以增長傳輸線的電性長度。
圖9係用以實施於此所述之實施例的計算系統1000之簡化示意圖。應瞭解到可利用數位處理系統(如習知的一般用途電腦系統)來執行於此所述之方法。亦可選擇性使用設計或編程為執行唯一功能之特殊用途電腦。電腦系統包括中央處理單元(CPU)1004,其經由匯流排1010而耦合至隨機存取記憶體(RAM)1028、唯讀記憶體(ROM)1012、及大量儲存裝置1014。電容控制程式1008以及RF電力控制程式駐存在隨機存取記憶體(RAM)1028中,但亦可駐存在大量儲存裝置1014或ROM 1012之中。
大量儲存裝置1014代表其可為本地或遠端之永久資料儲存裝置,如軟碟機或固定式磁碟機。網路介面1030經由網路1032提供連接,從而允許與其他裝置通信。應瞭解到CPU 1004可體現在一般用途處理器、特殊用途處理器、或特殊編程邏輯裝置中。輸入/輸出(I/O)介面提供與不同周邊裝置之通信,並且經由匯流排1010而與CPU 1004、RAM 1028、ROM 1012、及大量儲存裝置1014連接。周邊裝置的例子包括顯示器1018、鍵盤1022、游標控制器1024、可卸除式媒體裝置1034等等。
顯示器1018係設置成顯示於此所述之使用者介面。鍵盤1022、游標控制器1024、可卸除式媒體裝置1034、及其他周邊裝置係耦合至輸入/輸出介面1020,以傳遞指令選擇中的資訊至CPU 1004。應瞭解到可經由輸入/輸出介面1020傳遞資料到外部裝置以及自外部裝置接收資料。實施例亦可實施在分散式計算環境中,分散式計算環境中利用經由基於有線 或無線網路所連結之遠端處理裝置來執行工作。
於此所述之實施例可用各種電腦系統配置加以實施,包括手持裝置、微處理器系統、基於微處理器或可編程之消費性電子、小型電腦、主機電腦、及類似者。實施例亦可實施在分散式計算環境中,分散式計算環境中利用經由網路所連結之遠端處理裝置來執行工作。
依據前述之各種實施例的詳細描述,現將參考圖10描述一種在腔室中處理晶圓的方法。圖10顯示有關使用超高頻RF電力在電漿蝕刻腔室中處理晶圓的各種製程操作。製程始於操作1110,其中提供具有一電極之腔室,電極具有定義於其上之支撐面及外部區域。腔室係定義成用於電漿處理一晶圓。將RF電力施加至腔室的電極,如操作1120所示。所施加之RF電力係經由導電遞送連接而傳遞至腔室,且經由RF饋送件之導電返回連接返回。導電遞送連接係製作成適當的長度,此長度在操作頻率下造成最佳阻抗轉換。
將電容加在導電遞送連接之第一端並加以調整,以造成在導電遞送連接之第二端的相反電容調整,如操作1130所示。導電遞送連接之第二端係耦合至圍繞電極之介電圍繞結構。於第二端所提供之相反電容調整呈現在電極外部區域附近之介電圍繞結構。當第一端之電容增加時,於第二端及外部區域之相反電容調整導致電容降低,以及晶圓級阻抗相對增加。從而使第二端及外部區域之阻抗增加,且因此造成外部區域附近的電壓分佈升高。由於阻抗的關係,隨著電壓自外部區域/腔室壁遠離,電壓分佈向電極之支撐面的中央減低。
因此,本發明之各種實施例提供了簡單又有效率之控制晶圓電流相位的方法,此方法藉由將可變電容導入系統並利用從匹配輸出到晶圓之現有RF傳輸線。調整可變電容而使傳輸線作為阻抗轉換器。對於現有的系統的變更相當小並與系統相容,且由於整合可變電容的電力損耗可忽略不計。因此,阻抗的轉換及晶圓級電流相位允許不同晶圓級電場圖案的形成並且可消除中央高駐波圖案。因此,由於不同電場圖案的形成之離子通量分佈及蝕刻速率圖案導致保持晶圓大量產量。
雖然該方法操作以特定順序敘述,惟應瞭解到在操作之間可 執行其他內務管理操作,或者可調整操作使其出現在稍微不同的時間,或者只要覆蓋操作之處理以所期望之方式執行,便可將操作分散在允許處理操作出現在與處理相關的各個時期之系統中。
雖然為清楚瞭解之目的已在一些細節中描述前述的發明,但將顯而易見的,在隨附的專利申請範圍之範圍內仍可實施一些變化及修改。因此,本實施例將視為示例性而非限制性,並且本發明不限於此處提出之細節,而可在隨附的專利申請範圍之範圍及均等內加以修改。
1110、1120、1130‧‧‧操作

Claims (14)

  1. 一種處理晶圓的方法,該方法包含:提供一具有電極之腔室,該電極具有定義於其中之支撐面及外緣區域,該腔室係定義成用於電漿處理該晶圓;施加射頻(RF)電力至該腔室的電極,所施加之RF電力經由導電遞送連接及導電返回連接而與該電極連通,該導電遞送連接具有提供一有效電性長度之尺寸,該有效電性長度造成在操作頻率下之阻抗轉換;設定該導電遞送連接之第一端的電容,可調整該電容以造成在該導電遞送連接之第二端的相反阻抗調整,該第二端係耦合至圍繞該電極之介電圍繞結構,該介電圍繞結構在該電極的外緣區域附近呈現該相反阻抗調整,使得於該第一端所增加之電容造成對應在該第二端之阻抗增加,該第二端之阻抗增加使該電極之外緣區域附近的電壓分佈升高,該電壓分佈朝該電極之支撐面的中央降低。
  2. 如申請專利範圍第1項之處理晶圓的方法,更包括增加介電材料至由該導電遞送連接所定義之路徑,以當該導電遞送連接的尺寸大於該有效電性長度時,使該導電遞送連接的尺寸減小至該有效電性長度,其中增加該介電材料至該路徑使該導電遞送連接於該操作頻率下能達最佳阻抗轉換。
  3. 如申請專利範圍第1項之處理晶圓的方法,更包括增加導電金屬至由該導電遞送連接所定義之路徑,以當該導電遞送連接的尺寸小於該有效電性長度時,使該導電遞送連接的尺寸增大至該有效電性長度,其中增加該導電金屬至該路徑使該導電遞送連接於該操作頻率下能達最佳阻抗轉換。
  4. 如申請專利範圍第1項之處理晶圓的方法,其中該導電遞送連接的有效電性長度為約60MHz之RF電力的1/4波長。
  5. 如申請專利範圍第1項之處理晶圓的方法,其中在該第一端所設定之電容介於約50微微法拉(pF)與500pF之間。
  6. 一種處理晶圓的設備,該設備包含:一腔室,用以電漿處理該晶圓,該腔室具有電極組件,該電極組件包括支撐面、以及圍繞該支撐面的外緣區域;一射頻(RF)電源,用以提供RF電力至該電極組件;一匹配電路,耦合至該射頻電源;一RF電力連接結構,耦合在該電極組件與該匹配電路的輸出之間,該RF電力連接結構包括將該RF電力遞送至該電極組件的導電遞送連接、以及使該RF電力其中至少部分自該電極組件返回至該匹配電路的輸出的導電返回連接,該RF電力連接結構具有一長度、第一端與第二端,該第一端係耦合至該匹配電路的輸出且該第二端係耦合至該電極組件,該長度係針對操作頻率而加以設定,使得該長度能達到該第一端與該第二端之間的阻抗轉換;以及一可變電容,連接在該匹配電路的輸出與該RF電力連接結構之該第一端之間,該可變電容係不耦合至一電感而在該匹配電路的輸出處定義一共振電路。
  7. 如申請專利範圍第6項之處理晶圓的設備,其中該長度為約該操作頻率的1/4波長。
  8. 如申請專利範圍第7項之處理晶圓的設備,其中該操作頻率為60MHz。
  9. 如申請專利範圍第6項之處理晶圓的設備,更包括一耦合至該可變電容的電容設定控制模組,以控制該可變電容的調諧。
  10. 如申請專利範圍第9項之處理晶圓的設備,其中該電容設定控制模組係耦合至一計算系統的電容設定使用者介面,以電性調整於該第一端之電容 的調諧。
  11. 一種在腔室中處理晶圓的方法,該腔室具有一電極,該電極具有定義於其上之支撐面及外緣區域,該腔室係定義成用於電漿處理該晶圓,該方法包含:施加射頻(RF)電力至該腔室的電極,所施加之RF電力係經由導電遞送連接及導電返回連接而與該電極連通,該導電遞送連接具有第一端、第二端、及一尺寸;基於該RF電力而決定於該第一端欲設定之電容,以在該RF電力之操作頻率下造成於該第一端處之阻抗調整、以及於該第二端處之相反阻抗調整;以及於該導電遞送連接之第一端處設定所決定的電容,該電容造成在該導電遞送連接之第二端處的相反阻抗調整,來自該第二端之相反阻抗調整經由圍繞該電極之介電圍繞結構而呈現在該電極的外緣區域,使得在該第一端所增加之電容造成在該第一端之阻抗降低、以及在該第二端之阻抗增加、以及對應在該電極之外緣區域附近之電壓分佈升高,該電壓分佈朝該電極之支撐面的中央降低,其中圍繞該電極之該介電圍繞結構係耦合至該第二端。
  12. 如申請專利範圍第11項之在腔室中處理晶圓的方法,其中該操作頻率為約60MHz。
  13. 如申請專利範圍第11項之在腔室中處理晶圓的方法,更包括:當該導電遞送連接的尺寸大於在該操作頻率下能在該第一端與該第二端之間達到最佳阻抗轉換之有效電性長度時,藉由增加介電材料至由該導電遞送連接所定義之路徑來調整該導電遞送連接的尺寸,以符合該有效電性長度。
  14. 如申請專利範圍第11項之在腔室中處理晶圓的方法,更包括: 當該導電遞送連接的尺寸小於在該操作頻率下能在該第一端與該第二端之間達到最佳阻抗轉換之有效電性長度時,藉由增加導電金屬至由該導電遞送連接所定義之路徑來調整該導電遞送連接的尺寸,以符合該有效電性長度。
TW102130273A 2012-08-24 2013-08-23 處理晶圓之方法和設備 TWI606481B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/594,768 US8932429B2 (en) 2012-02-23 2012-08-24 Electronic knob for tuning radial etch non-uniformity at VHF frequencies

Publications (2)

Publication Number Publication Date
TW201415521A TW201415521A (zh) 2014-04-16
TWI606481B true TWI606481B (zh) 2017-11-21

Family

ID=50147079

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102130273A TWI606481B (zh) 2012-08-24 2013-08-23 處理晶圓之方法和設備

Country Status (5)

Country Link
US (2) US8932429B2 (zh)
KR (1) KR102161857B1 (zh)
CN (1) CN103632915B (zh)
SG (1) SG2013063961A (zh)
TW (1) TWI606481B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757773B (zh) * 2019-06-28 2022-03-11 瑞士商愛爾康公司 眼用組成物

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8898889B2 (en) * 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
US9502216B2 (en) * 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9337000B2 (en) 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9401264B2 (en) 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US9761414B2 (en) * 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US9972478B2 (en) * 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
CN109216144B (zh) * 2017-07-03 2021-08-06 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器
WO2020112108A1 (en) 2017-11-29 2020-06-04 COMET Technologies USA, Inc. Retuning for impedance matching network control
US10892142B2 (en) * 2018-03-16 2021-01-12 Samsung Electronics Co., Ltd. System for fabricating a semiconductor device
US11282679B2 (en) 2019-05-22 2022-03-22 Samsung Electronics Co., Ltd. Plasma control apparatus and plasma processing system including the same
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US12002611B2 (en) 2019-08-28 2024-06-04 COMET Technologies USA, Inc. High power low frequency coils
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
US11887815B2 (en) * 2021-02-03 2024-01-30 Tokyo Electron Limited Plasma processing system and method using radio frequency (RF) and microwave power
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003195A (en) * 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US5630949A (en) * 1995-06-01 1997-05-20 Tfr Technologies, Inc. Method and apparatus for fabricating a piezoelectric resonator to a resonant frequency
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US6494958B1 (en) * 2000-06-29 2002-12-17 Applied Materials Inc. Plasma chamber support with coupled electrode
WO2002007184A2 (en) * 2000-07-13 2002-01-24 Tokyo Electron Limited Adjustable segmented electrode apparatus and method
CN101160014B (zh) * 2002-07-12 2011-12-28 东京毅力科创株式会社 等离子体处理装置和可变阻抗装置的校正方法
JP4370789B2 (ja) * 2002-07-12 2009-11-25 東京エレクトロン株式会社 プラズマ処理装置及び可変インピーダンス手段の校正方法
KR100486712B1 (ko) * 2002-09-04 2005-05-03 삼성전자주식회사 복층 코일 안테나를 구비한 유도결합 플라즈마 발생장치
US7169256B2 (en) * 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
DE602005022221D1 (de) * 2004-11-12 2010-08-19 Oerlikon Trading Ag Impedanzanpassung eines kapazitiv gekoppelten hf-plasmareaktors mit eignung für grossflächige substrate
US7820020B2 (en) * 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US8076247B2 (en) * 2007-01-30 2011-12-13 Applied Materials, Inc. Plasma process uniformity across a wafer by controlling RF phase between opposing electrodes
US9287096B2 (en) * 2007-09-27 2016-03-15 Lam Research Corporation Methods and apparatus for a hybrid capacitively-coupled and an inductively-coupled plasma processing system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757773B (zh) * 2019-06-28 2022-03-11 瑞士商愛爾康公司 眼用組成物

Also Published As

Publication number Publication date
US9385021B2 (en) 2016-07-05
TW201415521A (zh) 2014-04-16
US20150083690A1 (en) 2015-03-26
CN103632915B (zh) 2016-08-10
SG2013063961A (en) 2014-03-28
KR20140026301A (ko) 2014-03-05
CN103632915A (zh) 2014-03-12
KR102161857B1 (ko) 2020-10-06
US20140054268A1 (en) 2014-02-27
US8932429B2 (en) 2015-01-13

Similar Documents

Publication Publication Date Title
TWI606481B (zh) 處理晶圓之方法和設備
KR102153141B1 (ko) 플라즈마 균일성 튜닝을 위한 멀티-무선주파수 임피던스 제어
US9508530B2 (en) Plasma processing chamber with flexible symmetric RF return strap
TWI428061B (zh) 場加強感應耦合電漿(fe-icp)反應器
JP4646272B2 (ja) プラズマ加工装置
US10685810B2 (en) RF antenna producing a uniform near-field Poynting vector
KR102070471B1 (ko) 플라즈마 처리 장치 및 필터 유닛
KR102109208B1 (ko) 더미 게이트 형성 방법
KR20120112184A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR102168961B1 (ko) 플라즈마 처리 장치
KR20190052633A (ko) 에칭 방법
US20180047542A1 (en) Inductively coupled plasma chamber having a multi-zone showerhead
KR102068853B1 (ko) Rf 스트랩 입력을 갖는 대칭적 rf 리턴 및 주변 rf 피드
KR102061367B1 (ko) 대칭적 rf 전달을 위한 주변부에서의 rf 공급 및 대칭적 rf 복귀
CN104900472A (zh) 等离子体处理的方法
JP5696206B2 (ja) プラズマ処理装置
CN216648218U (zh) 一种射频提供装置和等离子体处理设备
KR102467966B1 (ko) 하이브리드 플라즈마 발생 장치 및 하이브리드 플라즈마 발생 장치의 제어방법
KR20210035074A (ko) 에칭 방법 및 플라즈마 처리 장치