TWI593263B - 用於分享跨多個協定之實體層邏輯的設備、系統及方法 - Google Patents

用於分享跨多個協定之實體層邏輯的設備、系統及方法 Download PDF

Info

Publication number
TWI593263B
TWI593263B TW105105125A TW105105125A TWI593263B TW I593263 B TWI593263 B TW I593263B TW 105105125 A TW105105125 A TW 105105125A TW 105105125 A TW105105125 A TW 105105125A TW I593263 B TWI593263 B TW I593263B
Authority
TW
Taiwan
Prior art keywords
controller
state machine
circuit
request
clock signal
Prior art date
Application number
TW105105125A
Other languages
English (en)
Other versions
TW201703480A (zh
Inventor
蘇利哈雷 雷崗納森
薩西許 奇拉潘
Original Assignee
英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英特爾股份有限公司 filed Critical 英特爾股份有限公司
Publication of TW201703480A publication Critical patent/TW201703480A/zh
Application granted granted Critical
Publication of TWI593263B publication Critical patent/TWI593263B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/42Bus transfer protocol, e.g. handshake; Synchronisation
    • G06F13/4282Bus transfer protocol, e.g. handshake; Synchronisation on a serial bus, e.g. I2C bus, SPI bus
    • G06F13/4291Bus transfer protocol, e.g. handshake; Synchronisation on a serial bus, e.g. I2C bus, SPI bus using a clocked protocol
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/382Information transfer, e.g. on bus using universal interface adapter
    • G06F13/387Information transfer, e.g. on bus using universal interface adapter for adaptation of different data processing systems to different peripheral devices, e.g. protocol converters for incompatible systems, open system
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Sources (AREA)

Description

用於分享跨多個協定之實體層邏輯的設備、系統及方法
本發明係關於電腦裝置,且更特別的(但非排他的)係關於管理對實體層硬體的存取。
傳統通訊裝置通常以協定堆疊來操作,其包括實體層、鏈結層以及協定層。實體層(PHY)包括類比組件,並且在許多應用中,操作以從積體電路(IC)傳輸資料到板、連接器、電纜等。不幸的是,由於接腳和晶粒約束,晶片上系統(SoC)以及其它這類IC僅能支援有限數目的PHY。這些IC趨向於在行動應用上特別的有用。
用於電源(功率)約束(power-constrained)之應用的某些PHY標準,像是由MIPI®聯盟推出的各種行動PHY(M-PHY)規格,支援以任何多個協定來操作,包括通用快閃記憶體儲存器(UFS;Universal Flash Storage)、超高速USB晶片互連(SSIC)、低延遲介面(LLI;Low Latency Interface)、行動周邊元件互連快遞(M-PCIe; Mobile Peripheral Component Interconnect Express)以及第三代MIPI®相機串列介面(CSI-3)。遵循這類標準的PHY通常支援用以建置不同資料率的模式,並且趨向於對照電源、時脈及/或其它資源管理被嚴重地約束。遵循這類標準的PHY至今已被限制成僅支援一個協定堆疊-因而僅為單一協定-部分地由於由該標準所強加的資源管理約束。
100‧‧‧系統
110a‧‧‧埠控制器
110b‧‧‧埠控制器
120‧‧‧共同介面邏輯
122a‧‧‧時脈控制邏輯
122b‧‧‧時脈控制邏輯
130‧‧‧控制邏輯
132‧‧‧行動實體層數位邏輯
134‧‧‧行動實體層傳送器
136‧‧‧行動實體層接收器
140a‧‧‧控制信號
140b‧‧‧控制信號
142a‧‧‧控制信號
142b‧‧‧控制信號
150‧‧‧時脈信號
160‧‧‧控制信號
170‧‧‧資料路徑
200‧‧‧裝置
210a‧‧‧介面
210b‧‧‧介面
220a‧‧‧電路
220b‧‧‧電路
222‧‧‧狀態機
224‧‧‧狀態機
226‧‧‧時脈控制邏輯
230‧‧‧時脈控制邏輯
232‧‧‧信號
234‧‧‧請求
240‧‧‧下游介面
250‧‧‧請求
252‧‧‧請求
254‧‧‧請求
260a‧‧‧內部請求信號
260b‧‧‧內部請求信號
262a‧‧‧請求
262b‧‧‧請求
264a‧‧‧請求
264b‧‧‧請求
270‧‧‧確認訊息
272‧‧‧時脈信號
280‧‧‧時脈發信
282‧‧‧時脈發信
400‧‧‧系統
410a‧‧‧埠控制器
410b‧‧‧埠控制器
410c‧‧‧埠控制器
420‧‧‧共同介面邏輯
422a‧‧‧時脈控制邏輯
422b‧‧‧時脈控制邏輯
424‧‧‧共同介面邏輯
426a‧‧‧時脈控制邏輯
426b‧‧‧時脈控制邏輯
430‧‧‧控制邏輯
432‧‧‧行動實體層數位邏輯
434‧‧‧行動實體層傳送
436‧‧‧行動實體層接收
440a‧‧‧控制信號
440b‧‧‧控制信號
440c‧‧‧控制信號
442a‧‧‧時脈信號
442b‧‧‧時脈信號
442c‧‧‧時脈信號
450‧‧‧時脈信號
452‧‧‧時脈信號
460‧‧‧時脈信號
462‧‧‧時脈信號
470‧‧‧資料路徑
500‧‧‧裝置
510‧‧‧上游介面
520‧‧‧下游介面
530‧‧‧狀態機
535‧‧‧狀態機
540‧‧‧時脈控制邏輯
545‧‧‧時脈控制邏輯
550‧‧‧狀態機
600‧‧‧狀態機
605‧‧‧狀態
610‧‧‧狀態
615‧‧‧狀態
620‧‧‧狀態
625‧‧‧狀態
630‧‧‧狀態
635‧‧‧狀態
640‧‧‧狀態
645‧‧‧狀態
650‧‧‧狀態
655‧‧‧狀態
660‧‧‧狀態
700‧‧‧狀態機
705‧‧‧狀態
710‧‧‧狀態
715‧‧‧狀態
720‧‧‧狀態
725‧‧‧狀態
730‧‧‧狀態
735‧‧‧狀態
740‧‧‧狀態
800‧‧‧狀態機
805‧‧‧狀態
810‧‧‧狀態
815‧‧‧狀態
820‧‧‧狀態
825‧‧‧狀態
830‧‧‧狀態
900‧‧‧裝置
902‧‧‧請求
904‧‧‧同步邏輯
906‧‧‧確認信號
910‧‧‧狀態機
912‧‧‧時脈控制邏輯
914‧‧‧同步電路
916‧‧‧同步電路
918‧‧‧控制閘
920‧‧‧狀態機
922‧‧‧時脈控制邏輯
924‧‧‧同步電路
926‧‧‧同步電路
928‧‧‧控制閘
930‧‧‧內部請求信號
932‧‧‧內部請求信號
940‧‧‧狀態機
942‧‧‧信號
944‧‧‧狀態機
946‧‧‧同步電路
1000‧‧‧系統
1010‧‧‧匯流排
1020‧‧‧處理器
1030‧‧‧記憶體
1032‧‧‧記憶體裝置
1034‧‧‧記憶體控制器
1036‧‧‧作業系統
1038‧‧‧指令
1040‧‧‧輸入/輸出介面
1050‧‧‧網路介面
1060‧‧‧內部大量儲存裝置
1062‧‧‧代碼/資料
1070‧‧‧周邊介面
1100‧‧‧裝置
1110‧‧‧處理器
1120‧‧‧音頻子系統
1130‧‧‧顯示子系統
1132‧‧‧顯示介面
1140‧‧‧I/O控制器
1150‧‧‧電源管理
1160‧‧‧記憶體子系統
1162‧‧‧記憶體裝置
1164‧‧‧記憶體控制器
1170‧‧‧連接性
1172‧‧‧蜂巢式連接性
1174‧‧‧無線連接性
1180‧‧‧周邊連接
1182‧‧‧周邊裝置
1184‧‧‧周邊裝置
本發明之各種實施例係藉由範例的方式,而非由限制的方式,闡述於附隨的圖式之圖中,其中:圖1為依據實施例闡述用於使用多個協定之任一者多方面地交換通訊的系統單元的高階功能方塊圖。
圖2為依據實施例闡述用於提供具有實體層電路之共同介面的裝置之單元的高階功能方塊圖。
圖3為依據實施例闡述用於提供對實體層電路存取的方法之單元的流程。
圖4為依據實施例闡述用於提供對實體層電路存取的系統之單元的高階功能方塊圖。
圖5為依據實施例闡述用以提供具有實體層電路之共同介面的裝置之單元的高階功能方塊圖。
圖6、7及8為各者依據相應的實施例闡述用以存取實體層之分別共同介面邏輯之單元的狀態圖。
圖9為依據實施例闡述用以提供具有實體層電路之共 同介面的裝置之單元的高階功能方塊圖。
圖10為依據實施例闡述用於提供對實體層電路存取的計算系統之單元的方塊圖。
圖11為依據實施例闡述用於提供對實體層電路存取的行動裝置之單元的方塊圖。
【發明內容及實施方式】
在本揭露係參考用於特定應用之說明性的實施例於此說明的同時,應了解這類實施例僅為示範性的且如由所附的申請專利範圍界定的本發明並不限於上述實施例。事實上,為了闡述的緣故,於此說明的技術可在一或更多使用模型的背景下討論,在其中支援不同協定的埠控制器(port controller)係提供具有共同介面(common interface),用以存取相同的實體層資源。這類討論僅為示範性的,且其應被了解的是,於此說明之所有或部分的技術可在其它內文中使用。事實上,於此說明的技術可以任何適合的快取裝置及儲存裝置來使用。在與接入於此提供的教示相關的領域中具有通常知識者將認知在本揭露之範圍內額外的修改、應用以及實施例,並且本揭露之實施例所在的額外的領域會是有效用的。
於此說明的技術可在一或更多電子裝置中建置。可利用於此說明之技術的電子裝置之非限制範例包括任何種類的行動裝置及/或固定裝置,諸如相機、手機、電腦終端、桌上型電腦、電子閱讀器(electronic reader)、 傳真機器(facsimile machine)、公共資訊站(kiosk)、易網機電腦、筆記型電腦、網際網路裝置、支付終端、個人數位助理、媒體播放器及/或記錄器(recorder)、伺服器(例如,刀鋒伺服器、機架式伺服器(rack mount server)或其結合)、機上盒、智慧型手機、平板個人電腦、超行動個人電腦、有線電話、它們的結合等等。這類裝置可為可攜的或固定的。在一些實施例中,於此說明的技術可運用於桌上型電腦、膝上型電腦、智慧型電話、平板電腦、易網機電腦、筆記型電腦、個人數位助理、伺服器、它們的結合等等之中。更一般地來說,於此說明的技術可運用於任何電子裝置中,多個埠控制器係對該電子裝置配置用以存取相同的實體層電路。
於此討論的實施例多方面地提供技術及/或機制,用以依據各種協定之任一者存取用於通訊的實體層硬體。實體層硬體可符合行動實體層標準之需求,諸如於2013年10月08日發表的M-PHY版本3.0的MIPI®聯盟規格、於2011年2月8日之M-PHYSM版本1.00.00的MIPI®聯盟規格(認可於2011年4月28日)或類似者。為了簡潔,行動實體層於此參照為使用縮寫「M-PHY」。
依據實施例,共同介面邏輯(例如,包括硬體、韌體及/或執行軟體)可提供資源管理,其包括例如時脈發信(clock signaling)及/或電源(功率)狀態(power state)之管理。如於此所使用的,「共同介面」參照為促進下列兩者之特徵:(1)在平台之第一埠控制器與該平台之M- PHY之間的交換,及(2)該平台之第二埠控制器與相同的M-PHY之間的交換。共同介面架構可將M-PHY引導至輸出時脈並且進入如藉由埠控制器支援不同各別的協定在不同時間多方面地請求的電源狀態。共同介面邏輯可以M-PHY之配置電路來操作-例如,在這類操作占有各種操作之比率及/或各種協定可請求的電源模式(power mode)情況下。或者或此外,共同介面邏輯可藉由使用共同配置時脈管理M-PHY之配置。共同介面邏輯亦可負責在不同協定引擎之間多方面地多路傳輸,用於由具有M-PHY的一協定引擎之交換。
在一些實施例中,階層式介面架構(hierarchical interface architecture)係配置以容納來自多於兩個埠控制器的時脈請求及/或電源請求,其中至少兩個這類埠控制器支援不同分別的協定。這類介面邏輯可階層式地建置-例如在第一階的介面方塊用以在屬於相同協定的多個埠控制器之間仲裁,以及在第二階的另一個介面方塊用以在不同協定之間仲裁。
此架構可提供可定標機制,用以建置多個M-PHY-例如,在晶片上系統(SoC;system-on-chip)中-並且用以跨多個協定多方面地共享該些M-PHY。可在不妥協使用的M-PHY技術之電源及時脈管理功能性之下提供這類的協定共享。共享在SoC中的M-PHY對於一些行動平台是緊要的,由於增加了需要對於用於像是SSIC、UFS、LLI及CSI-3應用的多個MPHY的支援。一些實施 例多方面地提供支援在M-PHY與多個埠控制器之各者之間緊密的耦接的共同介面(管理消耗的電源),用以跨不同的協定共享M-PHY。
圖1闡述依據實施例用以提供對行動實體層硬體存取的系統100之單元。系統100可包括-或是操作為其之組件-電腦、平板、智慧型電話或能夠經由M-PHY硬體內部地或外部地交換信號的其它裝置。舉例而言,系統100之一些或所有可駐於晶片上系統(SoC)或其它硬體上,用以操作為硬體平台之組件。
系統100之M-PHY硬體可包括用於至少一收發器的電路,如由說明性的行動PHY數位邏輯132、行動PHY傳送器(Tx)134以及行動PHY接收器(Rx)136所代表。M-PHY Tx 134及M-PHY Rx 136可以包括在包含系統100的平台中或(替代地)遠離這類的平台的代理器分別傳送信號和接收信號。如於此所討論的,系統100供應不同的埠控制器,用以在不同時間多方面地存取M-PHY硬體-其例如是為了不同的內部及/或外部代理器可經由M-PHY Tx 134和M-PHY Rx 136由這類埠控制器各方面地存取。行動PHY數位邏輯132可提供數位域處理用以經由M-PHY Tx 134傳送的信號及/或經由M-PHY Rx 136接收的信號。這類數位域處理可包括(但不限於)8b10b編碼及/或解碼處理、並列轉串列(及/或串列轉並列)處理、時脈及資料回復及/或類似者。M-PHY硬體可更包含控制邏輯130,用以調節至少一收發器之操作。舉例而言,控制邏 輯130可管理電源狀態、計時(clocking)及/或其它M-PHY硬體之操作。在實施例中,M-PHY硬體包括一或更多額外的收發器(未繪示)-例如其中控制邏輯130調節一些或所有這類收發器之電源狀態、計時及其它狀態。M-PHY硬體之操作可自傳統的M-PHY技術修改,其於此不詳細說明以避免模糊一些實施例之特徵。例如,這類M-PHY操作可與用於2013年10月08日發表之M-PHY版本3.0的MIPI®聯盟規格或MIPI®聯盟之各種其它M-PHY規格之任一者相容。
藉由系統100之M-PHY硬體在不同時間之交換可代表包括在系統100中或耦接到系統100之不同的協定引擎。舉例而言,系統100可包括埠控制器110a、110b,各者用以經由行動PHY Tx 134和行動PHY Rx 136之一者或兩者來多方面地交換分別的信號。埠控制器110a、110b可包括或操作代表分別的協定引擎(例如,堆疊之協定層),其例如與多個協定標準之不同者相容。藉由闡述而非限制,這類標準可包括(但不限於)超高速USB晶片互連(SSIC)標準(像是在2012年6月20日由USB3.0推廣團隊所釋出的SSIC規格之標準)、通用快閃記憶體儲存(UFS)標準(像是用於UFS版本2.0的規格)、由聯合電子裝置工程委員會(JEDEC;Joint Electron Device Engineering Council)固態技術協會於2013年9月出版的JESD220B、由JEDEC固態技術協會於2012年6月出版用於UFS版本1.1的規格(JESD220A)或由JEDEC固態技 術協會於2011年2月出版的用於UFS版本1.0的規格(JESD220)、低延遲介面(LLI)標準(像是用於2013年2月27日發表的LLI v2.0之MIPI®聯盟規格之標準)、相機串列介面標準(像是用於於2013年2月27日發表的相機串列介面(CSI-3)之MIPI®聯盟規格之標準)、行動周邊元件互連快遞(M-PCIeTM)規格(像是由周邊元件互連特別相關團隊(PCI-SIG)於2013年6月25日所發表的規格)及/或類似者。
系統100可在不同的時間建置不同的操作模式,包括用於埠控制器110a用以經用系統100之M-PHY資源交換信號的模式,以及用於埠控制器110b(而不是埠控制器110a)用以經由那些相同的M-PHY資源交換信號的另一個模式。在一些實施例中,埠控制器110a、110b其中至多一者係試圖在給定時間存取M-PHY。某些實施例多方面地提供介面機制(如由說明的系統100之共同介面邏輯(CIL)120所代表)用以在不同時間促進具有不同協定的M-PHY資源之操作。雖然某些實施例並未限於這方面,CIL120可駐於SoC上,其例如包括埠控制器110a、埠控制器110b以及M-PHY電路其中一或多者,M-PHY電路包括控制邏輯130、行動PHY數位邏輯132、行動PHY Tx 134以及行動PHY Rx 136。
在系統100之操作期間的給定時間處,依據第一協定,埠控制器110a可交換控制信號140a,用以利用M-PHY調節資料交換-例如,其中這類資料交換係經 由說明的一或多個資料路徑170。在系統100之操作期間的另一時間處,埠控制器110b可依據第二協定交換另外的控制信號140b,用以利用M-PHY調節資料交換。在各種實施例中,這類資料交換可經由一或多個資料路徑170或替代的資料路徑(未繪示)。控制信號140a、140b可多方面地請求或判斷M-PHY收發器之計時及/或電源狀態。例如,控制信號140a、140b可多方面地指出M-PHY係用以提供至少兩個可能的時脈信號其中一者,其中一個時脈信號之頻率大於另一個時脈信號之頻率。為了簡潔,這類相對高頻時脈於此稱為高速(hs)時脈,以及這類相對低頻時脈於此稱為脈衝寬度調變的(pwm;pulse-width modulated)時脈(其中較低的時脈頻率係典型地被使用於M-PHY邏輯及/或埠控制器邏輯之相對低電源狀態)。
當埠控制器110a正試圖存取M-PHY收發器時,CIL 120和控制邏輯130可基於控制信號140a中之一些者多方面地交換控制信號160及/或用以產生控制信號140a之其它者。類似地,當埠控制器110b正試圖存取M-PHY收發器時,CIL 120和控制邏輯130可基於控制信號140b中之一些者多方面地交換控制信號160及/或用以產生控制信號140b之其它者。基於控制信號160,控制邏輯130可多方面地將M-PHY收發器在不同的電源狀態之間轉移。或者或此外,控制邏輯130可多方面地提供一或多時脈信號150用以調節在M-PHY收發器與電源控制器110a、110b其中一者之間的交換。CIL 120可基於一或多 個時脈信號150及控制信號140a、140b、160之交換來多方面地提供分別的時脈信號給埠控制器110a、110b。舉例而言,在不同時間-分別地藉由CIL 120之時脈控制邏輯(CCL;clock control logic)122a、122b-可提供時脈信號142a、142b給埠控制器110a、110b。
圖2闡述依據實施例用以提供對M-PHY硬體之存取的裝置200之單元。裝置200可在M-PHY之計時及電源狀態之管理上輔助-例如,如參考系統100所說明的。舉例而言,裝置200可包括CIL 120之特徵的一些或所有。為了有助於說明各種實施例之某些特徵,標記「上游(upstream)」於此係使用來參照共同介面邏輯之組件,其配置以距M-PHY相對的遠-例如,如相較於上述共同介面邏輯之其它「下游(downstream)」組件,其配置以相對地接近M-PHY。然而,將理解的是,這類標記僅僅是命名慣例(naming convention)。
在實施例中,裝置200包括不同的上游硬體介面-例如,如由說明的介面210a、210b,...所代表-用以多方面地直接或間接地將裝置200各者耦接到不同分別的埠控制器。舉例而言,介面210a、210b可分別將裝置200直接地耦接到埠控制器110、110b。或者,介面210a、210b之其中一者或各者可將裝置200耦接到共同介面邏輯之分別的功能方塊,其依次地耦接(直接或間接)到分別的埠控制器。裝置200可更包含下游介面240,用以將裝置200直接或間接地耦接到用以包括裝置200的平 台之M-PHY硬體。舉例而言,介面240可經由提供類似於裝置200的功能性的共同介面邏輯之另一功能方塊來將裝置200耦接到上述的M-PHY硬體。介面210a、210b、240各者可包含分別的接點,包括(但不限於)各種焊墊(pad)、焊球(ball)、接腳(pin)及/或其它的耦接硬體。將理解的是,介面210a、210b,...係彼此功能地(例如,邏輯地)相異,但可實體地鄰接或另外彼此結合。
裝置200可包括用於上游介面210a、210b,...之各者的分別的電路,用以從上游介面接收信號,且用以產生用來經由分別的上游介面傳輸的信號。舉例而言,電路220a可配置以與介面210a交換控制信號,並且電路220b用以與介面210b交換控制信號。這類電路各者可依次多方面地配置以與裝置200之狀態機邏輯OSM 230交換分別的信號。在依據實施例之說明的情境中,電路220a可在當經由介面210a耦接的埠控制器正試圖存取M-PHY時之時間周期期間與OSM 230交換內部請求信號260a。或者或此外,電路220b可在當經由介面210b耦接的不同的埠控制器正試圖存取M-PHY時之另一時間周期期間與OSM 230交換內部請求信號260b。
基於與電路220a、220b,...其中之一之信號交換,OSM 230可經由介面240發送對於電源狀態管理、時脈管理及/或其它控制功能性的一或多個請求234-例如藉由M-PHY控制邏輯130或其它這類邏輯。作為回應,OSM 230可經由介面240接收指示M-PHY之狀態的一或 多個確認(acknowledgement)訊息270。基於一或多個確認訊息270,OSM 230可-例如與電路220a、220b,...其中一者-進行操作,用以控制用來發送到埠控制器的時脈發信。
舉例而言,介面270可接收一或多個時脈信號272(像是一或多個時脈信號150其中一者),其對於電路220a、220b,...之任一者來說是可利用的。各種實施例之某些特徵係對照以電路220a處理時脈信號272而於此說明。可延伸這類說明來額外地或替代地應用至藉由電路220b或其它這類裝置200之電路來處理時脈信號272。
在實施例中,提供一或多個時脈信號272至時脈控制邏輯(CCL)226,其係例如多方面地反應於電路220a之狀態機ISM 222、ISM 224之各者。舉例而言,ISM 222之狀態轉移可基於經由介面210a接收的請求250,一或多個信號232指示OSM 230之目前狀態及/或從CCL 226的反饋發信(feedback signaling)。基於這類狀態轉移,ISM 222可發送請求262a至OSM 230-例如其中請求262a包括對於高速時脈信號的請求。或者或此外,藉由ISM 222的狀態轉移可造成用於CCL 226發信,用以提供高速時脈信號作為至少一部分的時脈發信280給經由介面210a(或經由其它互連硬體)耦接的埠控制器。同時,ISM 224之狀態轉移可基於經由介面210a接收的請求252、一或多個信號232及/或從CCL 226的其它反饋發信。基於這類的狀態轉移,ISM 224可對OSM 230發送一 或多個請求264a,例如包括對於電源管理的(例如,低速)時脈信號的請求。或者或此外,藉由ISM 224的狀態轉移可造成用於CCL 226的發信,用以提供電源管理的時脈信號作為至少一部分的時脈發信280。
當不同的埠控制器正試圖存取M-PHY時,其它信號交換、狀態機轉移、時脈信號等可類似地代表不同的埠控制器來進行-例如以電路220b之狀態機邏輯和時脈控制邏輯(未繪示)來進行。藉由闡述而非限制的方式,從介面210b交換到電路220b的請求254可功能地對應請求250、252中之一些或所有,並且從電路220b交換到介面210b的時脈發信282可功能地對應時脈發信280。或者或此外,內部請求信號260b之請求262b、264b可從電路220b交換到OSM 230,其中信號260b之請求262b、264b功能地對應內部請求信號260a之請求262a、264a。
圖3闡述依據實施例用於使用共同介面邏輯提供對實體層電路存取的方法300之單元。可對於多個埠控制器-例如包括埠控制器110a、110b-其中任一進行方法300,各者用以使用不同的分別的協定但相同的M-PHY收發器在不同的時間多方面地交換通訊。可利用具有CIL 120或裝置200之特徵的一些或所有的共同介面邏輯來進行方法300。為了闡述各種實施例之某些特徵,方法300係參考藉由裝置200之電路220a的操作而於此說明。然而,可延伸這類說明來額外地或替代地應用至裝置200之其它電路(例如,電路200b)及/或應用至於此說明的 其它共同介面邏輯。
方法300可包括:在310,基於對於電源的請求及對於第一時脈信號的請求兩者將第一電路之第一狀態機在第一狀態之間轉移。對於電源和第一時脈信號的分別的請求可由共同介面邏輯接收,各者代表第一埠控制器。在310的轉移可包括以硬體及/或軟體建置像是ISM 222的狀態機-例如其中請求250包括對於電源的請求和對於第一時脈信號的請求。
在實施例中,方法300更包含:在320,基於對於代表第一埠控制器的第二時脈信號的請求將第一電路之第二狀態機在第二狀態之間轉移。第一時脈信號之第一頻率可大於第二時脈信號之第二頻率。舉例而言,在320的轉移可包括以硬體及/或軟體建置像是ISM 224的狀態機,例如其中請求252包括對於第二時脈信號的請求。
反應於來自第一狀態機的第一控制信號,在330,方法300可將第一時脈信號從第一電路之第一時脈控制邏輯向第一埠控制器傳送。舉例而言,在330的傳送可包括ISM 222發送第一控制信號至CCL 226之邏輯,其係用以控制相對高頻時脈信號之產生,其中這類產生係更基於由共同介面邏輯接收的另一高速時脈信號-例如來自M-PHY控制器。
反應於來自第二狀態機的第二控制信號,在340,方法300可將第二時脈信號從第一電路之第一時脈控制邏輯向第一埠控制器傳送。舉例而言,在340的傳送 可包括ISM 224發送第二控制信號到CCL 226之其它邏輯,其係用以控制相對低的時脈信號之產生,其中這類產生係更基於由共同介面邏輯接收的另一個低速時脈信號-例如來自M-PHY控制器。
在350,方法300可更包含基於來自第一狀態機及第二狀態機的請求將第一電路之第三狀態機在第三狀態之間轉移。在350轉移的一範例包括建置像是OSM 230的硬體及/或軟體狀態機,其中藉由OSM 230的狀態轉移係至少部分基於信號260a之請求262a、264a。
在實施例中,在360,方法300進行從第三狀態機發送代表第一埠控制器的請求到實體層電路。這類請求可包括對於高速時脈的請求、對於低速時脈的請求、電源請求及/或一或多個其它請求其中一或多者,用以配置M-PHY的狀態。在裝置200之範例中,在360發送請求的步驟可包括OSM 230經由下游介面240發送請求234給M-PHY。
圖4闡述依據實施例用以提供使用階層式共同介面架構對實體層硬體存取的系統之單元。系統400可藉由電腦、平板、智慧型電話或其它裝置(或其組件)能夠經由M-PHY硬體內部地或外部地交換信號。系統400之M-PHY硬體可包括控制邏輯430、行動PHY數位邏輯432、行動PHY Tx 434以及行動PHY Rx 436,其例如提供控制邏輯130、行動PHY數位邏輯132、行動PHY Tx 134以及行動PHY Rx 136之分別的功能性之其中一些或 所有。
為了促進在不同時間以不同協定操作M-PHY資源,系統400可包括對照彼此階層式地配置的共同介面邏輯之多個功能方塊。這類依據實施例之階層式架構的範例係由系統400之說明的CIL 420和CIL 424所代表。CIL 420和CIL 424各者可提供CIL 120或裝置200之功能性之一些或所有-例如其中CIL 420和CIL 424各者可進行方法300之分別的建置。
在依據一實施例之說明的情境中,系統400包括埠控制器410a、410b,其為CIL 420之各個上游,以及包括埠控制器410b,其為CIL 424之上游。CIL 420亦可為CIL 424之上游,其中CIL 424配置以利用代表CIL 420的控制邏輯430(以及試圖進行M-PHY存取的埠控制器410a、410b其中一者)參加控制信號交換。在實施例中,埠控制器410a、410b包括分別的協定引擎,各者用以依據相同的協定支援通訊。相較之下,埠控制器410c可包括協定引擎,用以依據不同的協定支援通訊。CIL 420可作為一階層式層,用以提供於相同協定之不同埠控制器之間進行解析的介面功能性,並且CIL 424可作為另一階層式層,用以提供於不同協定之間進行解析的介面功能性。
在不同的時間,埠控制器410a、410b可利用CIL 420交換分別的控制信號440a、440b,用以調節與M-PHY的資料交換-例如,其中這類資料交換係經由說 明的一或多個資料路徑470。仍然在其它時間,埠控制器410c可與CIL 424交換控制信號440c,用以調節與M-PHY的資料交換-例如經由一或多個資料路徑470。在埠控制器410a、410b其中一者正試圖存取M-PHY資源下,CIL 420可與CIL 424交換控制信號462。CIL 424可與控制邏輯430交換時脈信號450和控制信號460。基於這樣的交換,CIL 424之時脈控制邏輯(CCL)426b可多方面地提供時脈信號442c給埠控制器410c,及/或CIL 424之CCL 426a可多方面地提供時脈信號452給CIL 420之CCL 422a、422b。在埠控制器410a、410b其中一者正試圖存取M-PHY資源下,CIL 420可多方面地與埠控制器410a交換時脈信號442a或與埠控制器410b交換時脈信號442b。
圖5闡述依據實施例用以提供對M-PHY硬體之存取的裝置500之單元。例如,裝置500可包括裝置200之特徵的一些或所有。在實施例中,裝置係配置以進行方法300-例如其中裝置500操作為CIL 120、CIL 420、CIL 424之其中一者。裝置500包含下游介面520,用以直接或間接地(例如,經由其它共同介面邏輯)耦接到M-PHY之控制邏輯。
在給定的時間,裝置500之狀態機OSM 550可操作以基於在OSM 550與專用於或另外對應於僅一這類的上游介面的(裝置500之多個上游介面其中的)電路之間交換的信號來經由介面520(例如,介面120a)交換控制 信號。舉例而言,裝置500可包括上游介面510,用以將裝置500耦接至像是埠控制器的上游裝置,或是耦接至其它共同介面邏輯。專用於上游介面510的裝置500之電路可包括狀態機ISM 530、ISM 535以及時脈控制邏輯CCL 540、CCL 545。在實施例中,ISM 530和ISM 535提供ISM 222和ISM 224之分別的功能-例如,其中CCL 540和CCL 545多方面地提供CCL 226之分別的功能性。舉例而言,如在裝置200中所闡述的,裝置500可包含用於各個這類上游介面(未繪示)的一或多個額外的上游介面和分別的專用電路,其中各個這類專屬電路包括狀態機邏輯和配置以利用相同的OSM 550操作的時脈控制邏輯。
依據實施例,表1於下說明多方面地與裝置500之電路交換或另外由裝置500之電路所使用的一些控制及時序信號。
在實施例中,ISM 530係配置以-各者依據不同分別的協定-對於電源請求(pa_con_cl_pwr_req)或高速時脈請求(pa_con_hs_clk_req)將各種控制信號之任一者辨識為是該請求。或者或此外,ISM 535可配置以將各種控制信號之任一者辨識為是電源管理時脈請求(pa_con_pwm_clk_req)-各者依據不同分別的協定。
圖6、7及8各者依據對應的實施例闡述共同介面邏輯之分別的狀態機600、700、800。例如,狀態機600、700、800可提供OSM 550、ISM 530及ISM 535之分別的功能性。在圖6、7及8中,利用未以粗體的文字識別的信號代表對狀態機之對應狀態轉移貢獻的測試條件。利用以粗體的文字識別的信號代表基於(例如,期間)對應的狀態轉移由狀態機輸出的信號。在狀態機600中,一些測試條件和輸出係多方面地基於分別對於特別信號類型之任一信號的偵測。這類偵測係多方面地藉由求和符號(Σ)在圖6中表示。舉例而言,Σ(ln_pwr_req(x))係在圖6中使用,用以指示若CIL的多個狀態機之任一者(該狀態機多方面地提供像是ISM 530具有的功能性)將其分別的內部電源請求信號ln_pwr_req發出給OSM 550,則發生從未供電(UNPOWERED)615到PWR_REQ 620之間的轉移。
狀態機600、700、800之各種分別的狀態轉移係參考以裝置500建置的信號交換來闡述。然而,這類狀態轉移依據各種實施例可基於較多的、較少的及/或不 同的信號交換。於下表2、3及4說明狀態機600、700及800之各種分別的狀態。
圖9闡述依據實施例用以提供用於不同裝置的共同介面以及在一些實施例中用於不同的協定-用以多方面地存取實體層邏輯之裝置900的單元。舉例而言,裝置900可具有CIL 120、裝置200或裝置500之特徵中的一些或所有。在實施例中,裝置900係配置以進行方法300中之一些或所有。
裝置900包括狀態機940(例如,提供OSM 550之功能性),用以參加與一或多個下游裝置交換-裝置例如包括M-PHY以及在一些實施例中,包括提供CIL功能性的另一個裝置。裝置900可配置以經由上游介面(未繪示)將各者耦接至不同分別的裝置。針對各個這類上游介面,裝置900可包括分別的(上游介面的)電路,其係專用於交換、處理等僅經由一特別這樣的介面交換的信號。舉例而言,專用於這類上游介面之特別者的電路可包括狀態機HS ISM 910、另一狀態機PWM ISM 920、時脈控制邏輯HS CCL 912以及時脈控制邏輯PWM CCL 922。例如,HS ISM 910、PWM ISM 920、HW CCL 912以及 PWM CCL 922可提供ISM 530、ISM 535、CCL 540及545的各別功能性。
HS ISM 910和PWM ISM 920可至少部分基於經由對應的上游介面接收的請求902多方面地在分別的狀態之間轉移。可藉由同步邏輯904計時信號902之接收,同步邏輯904係例如反應於配置時脈信號cfg_clk(未繪示)。基於這類狀態機,HS ISM 910及PWM ISM 920可分別多方面地發信HS CCL 912及PWM CCL 922,用以在不同時間選擇性地閘控時脈信號con_max_hs_clk及con_max_pwm_clk。舉例而言,HS ISM 910可傳送確認con_pa_hs_clk_ack,用以基於下游時脈信號mphy_max_hs_clk從控制閘CG 918致能con_max_hs_clk之輸出。同步反饋信號sync_hs_clk_gate_ctrl可從HS CCL 912發送回,用以調節HS ISM910之狀態轉移。這類信號交換之時序可由同步電路914、916來調節,其例如反應於cfg_clk。
或者或此外,PWM ISM 920可傳送確認con_pa_pwm_clk_ack用以基於下游時脈信號mphy_max_pwm_clk從控制閘CG 928致能con_max_pwm_clk之輸出。同步反饋信號sync_pwm_clk_gate_ctrl可從PWM CCL 922被發送回,用以調節PWM ISM 920之狀態轉移。這類信號交換的時序可由同步電路924、926來調節,其例如反應於cfg_clk。
OSM 940可基於藉由HS ISM 910及PWM ISM 920的分別的狀態轉移(或替代地,藉由專用於裝置900之另一個上游介面的電路之狀態轉移)多方面地接收內部請求信號930、932。作為回應,OSM 940可進行狀態轉移,用以產生用於下游傳送的信號942。基於信號942,OSM 944可接收回應944,其例如由同步電路946來調節。雖然某些實施例並不限於這點上,一或多個確認信號906可被轉送至上游介面-例如藉由HS ISM 910、PWM ISM 920及/或OSM 940,用以指示目前的M-PHY電源狀態。
圖10為計算系統之實施例的方塊圖,可建置對實體層資源的存取於其中。系統1000代表依據於此說明的任何實施例之計算裝置,且其可為膝上型電腦、桌上型電腦、伺服器、遊戲或娛樂控制系統、掃描器、複印機、印表機或其它電子裝置。系統1000可包括處理器1020,其提供處理、操作管理以及用於系統1000的指令之執行。處理器1020可包括任何類型的微處理器、中央處理單元(CPU;central processing unit)、處理核心或其它用以提供用於系統1000之處理的處理硬體。處理器1020控制系統1000之全體操作,並且可為或可包括一或多個可編程通用(general-purpose)或專用(special-purpose)微處理器、數位信號處理器(DSP;digital signal processor)、可編程控制器、特定應用積體電路(ASIC;application specific integrated circuit)、可編程邏輯裝置(PLD;programmable logic device)等或這類裝置之結合。
記憶體子系統1030代表系統1000之主記憶體,並且對於用以由處理器1020執行的代碼或用以在執行常式(routine)中所使用的資料值提供暫時的儲存。記憶體子系統1030可包括一或多個記憶體裝置,諸如唯讀記憶體(ROM;read-only memory)、快閃記憶體、一或多種類的隨機存取記憶體(RAM;random access memory)或其它記憶體裝置,或這類裝置的結合。記憶體子系統1030儲存且主控(等的諸多作用中)作業系統(OS;operating system)1036,用以提供用於在系統1000的指令之執行的軟體平台。此外,其它指令1038係從記憶體子系統1030儲存及執行,用以提供系統1000之邏輯和處理。OS 1036及指令1038係由處理器1020執行。
記憶體子系統1030可包括記憶體裝置1032,其中其儲存資料、指令、程式或其它項目。在一實施例中,記憶體子系統包括記憶體控制器1034,用以-例如代表處理器1020來存取記憶體1032。
處理器1020及記憶體子系統1030耦接至匯流排/匯流排系統1010。匯流排1010為抽象概念,其代表由適當的橋接器(bridge)、適配器及/或控制器所連接的任何一或多個分開的實體匯流排、通訊線/介面及/或點對點連接。因此,匯流排1010可例如包括系統匯流排、周邊元件互連(PCI;Peripheral Component Interconnect)匯流排、HyperTransport(超級傳輸)或工業標準架構(ISA;industry standard architecture)匯流排、小型電腦系統介面 (SCSI;small computer system interface)匯流排、通用序列匯流排(USB;universal serial bus)或電機及電子工程學會(IEEE;Institute of Electrical and Electronics Engineers)標準1394匯流排(一般稱為「火線(Firewire)」)的一或多種。匯流排1010之匯流排亦可對應在網路介面1050中的介面。
系統1000亦可包括耦接至匯流排1010的一或多個輸入/輸出(I/O)介面1040、網路介面1050、一或多個內部大量儲存裝置1060以及周邊介面1070。I/O介面1040可包括一或多個介面組件,使用者與系統1000透過介面組件互動(例如,視頻、音頻及/或文字數字(alphanumeric)介接)。網路介面1050將用以與遠端裝置(例如,伺服器;其它計算裝置)在一或多個網路之上通訊的能力提供給系統1000。網路介面1050可包括乙太適配器(Ethernet adaptor)、無線互連組件、USB(通用串列匯流排)或其它有線或無線標準基礎的或專有的(proprietary)介面。在實施例中,系統1000包括於此討論的功能性,像是系統100的功能性,用以藉由多個不同的協定引擎、協定層邏輯等提供對實體層電路的存取。這類功能性可例如被包括在裝置1000之I/O介面1040、網路介面1050、周邊介面1070或其它組件邏輯中。
儲存器1060可為或包括用於以非揮發性方式存儲大量的資料的任何傳統的媒體,諸如一或多個磁性、固態或光學基礎的碟片或結合。儲存器1060以持久性狀 態(persistent state)保留代碼或指令及資料1062(亦即,儘管中斷對系統1000的電源,值被保持著)。雖然記憶體1030係為用以提供指令給處理器1020的執行或操作記憶體,儲存器1060可屬類地被視為「記憶體」。反之於儲存器1060為非揮發性,記憶體1030可包括揮發性記憶體(亦即,若電源對系統1000中斷,則資料之值或狀態為不定的(indeterminate))。
周邊介面1070可包括上面未特別提及的任何硬體介面。周邊一般參照相依地連接至系統1000的裝置。相依的連接為系統1000提供運算(操作)執行於其上的軟體及/或硬體平台者,且使用者與其互動。
圖11為行動裝置之實施例的方塊圖,可建置對實體層資源的存取於其中。裝置1100代表行動計算裝置,諸如計算平板、行動電話或智慧型電話、無線致能的電子閱讀器(e-reader)或其它行動裝置。將了解的,某些組件係一般地繪示,而非這類裝置之所有組件皆繪示在裝置1100中。
裝置1100可包括處理器1110,其進行裝置1100之主要處理操作。處理器1110可包括一或多個實體裝置,諸如微處理器、應用處理器、微控制器、可編程邏輯裝置或其它處理手段。由處理器1110進行的處理操作包括作業平台或作業系統之執行,應用及/或裝置功能係執行於其上。處理操作包括關於與人類使用者或其它裝置的I/O(輸入/輸出)的操作、關於電源管理的操作及/或關於 將裝置1100連接至其它裝置的操作。處理操作亦可包括關於音頻I/O及/或顯示I/O的操作。
在一實施例中,裝置1100包括音頻子系統1120,其代表與將音頻功能提供給計算裝置關聯的硬體(例如,音頻硬體和音頻電路)和軟體(例如,驅動程式、編解碼器(codec))組件。音頻功能可包括揚聲器及/或耳機輸出以及麥克風輸入。用於這類功能的裝置可被整合進入裝置1100或連接至裝置1100。在一實施例中,使用者藉由提供由處理器1110接收的及處理的音頻命令與裝置1100互動。
顯示子系統1130代表硬體(例如,顯示裝置)和軟體(例如,驅動程式)組件,其提供用於使用者以與計算裝置互動的視覺及/或觸覺顯示。顯示子系統1130可包括顯示介面1132,其可包括使用以將顯示提供給使用者的特定螢幕和硬體裝置。在一實施例中,顯示介面1132包括與處理器1110分開的邏輯,用以進行關於顯示的至少某種處理。在一實施例中,顯示子系統1130包括提供輸出及輸入兩者給使用者的觸控螢幕裝置。
I/O控制器1140代表關於與使用者互動的硬體裝置和軟體組件。I/O控制器1140可操作以管理硬體,其為部分的音頻子系統1120及/或顯示子系統1130。此外,I/O控制器1140闡述用於連接至裝置1100之額外裝置的連接點,使用者可以透過其與系統互動。舉例而言,可附接至裝置1100的裝置可以包括麥克風裝置、揚聲器或立 體聲系統、視頻系統或其它顯示裝置、鍵盤或鍵板(keypad)裝置,或用於以像是讀卡機或其它裝置之特定應用來使用的其它I/O裝置。
如上所提及的,I/O控制器1140可與音頻子系統1120及/或顯示子系統1130互動。舉例而言,透過麥克風或其它音頻裝置的輸入可提供用於裝置1100之一或多個應用或功能的輸入或命令。此外,可代替顯示輸出或在顯示輸出之外提供音頻輸出。在另一範例中,若顯示子系統包括觸控螢幕,則顯示裝置亦作動為輸入裝置,其可至少部分地由I/O控制器1140管理。在裝置1100上亦可有額外的按鈕或開關,用以提供由I/O控制器1140所管理的I/O功能。
在一實施例中,I/O控制器1140管理像是加速計(accelerometer)、相機、光感測器或其它環境感測器、陀螺儀(gyroscope)、全球定位系統(GPS;global positioning system)或可包括在裝置1100中之其它硬體的裝置。輸入可為部分的直接使用者互動以及提供環境輸入至系統來影響其操作(諸如針對雜訊的過濾、針對亮度偵測調整顯示、對於相機施用閃光或其它特徵)。
在一實施例中,裝置1100包括電源管理1150,其管理電池電源使用、電池之充電以及關於電源節約操作的特徵。記憶體子系統1160可包括用於在裝置1100中儲存資訊的記憶體裝置1162。記憶體子系統1160可包括非揮發性(若對記憶體裝置的電源中斷,狀態不會 改變)及/或揮發性(若對記憶體裝置的電源中斷,狀態為不定的)記憶體裝置。記憶體1160可儲存應用資料、使用者資料、音樂、相片、文件或其它資料以及關於執行系統1100之應用及功能的系統資料(無論長期的或暫時的)。
在一實施例中,記憶體子系統1160包括記憶體控制器1164(其亦可視為部分的系統1100之控制,以及可潛在地視為部分的處理器1110)。記憶體控制器1164可通訊信令(signaling),用以-例如代表處理器1110來存取記憶體1162。
連接性(Connectivity)1170可包括硬體裝置(例如,無線及/或有線的連接器和通訊硬體)及軟體組件(例如,驅動程式、協定堆疊),用以使裝置1100能與外部裝置通訊。裝置可以為分開的裝置,像是其它計算裝置、無線存取點或基地台以及像是聽筒(headset)、印表機或其它裝置的周邊。
連接性1170可包括多個不同類型的連接性。概括來說,裝置1100係以蜂巢式連接性1172和無線連接性1174來說明。蜂巢式連接性1172一般參照由無線載波提供的蜂巢式網路連接性,諸如經由GSM(用於行動通訊的全球系統)或變體或衍生、CDMA(分碼多重存取)或變體或衍生、TDM(分時多工)或變體或衍生、LTE(長期演進-亦稱為「4G」)或其它蜂巢式服務標準。無線連接性1174參照非為蜂巢式的無線連接性,並且可包括個人區域網路(像是藍牙(Bluetooth))、本地區域網路(像是WiFi)及/或寬 區網路(像是WiMax)或其它無線通訊。無線通訊參照透過使用透過非固體媒體之調變的電磁放射來傳輸資料。有線的通訊透過固體通訊媒體發生。
周邊連接1180包括硬體介面和連接器以及軟體組件(例如,驅動程式、協定堆疊),用以完成周邊連接。將了解的是,裝置1100可為到其它計算裝置的周邊裝置(「到」1182),以及具有連接到其的周邊裝置(「自」1184)。裝置1100通常具有「對接(docking)」連接器,用以為了某些目的連接到其它計算裝置,目的像是管理(例如,下載及/或上傳、改變、同步)在裝置1100上的內容。此外,對接連接器可允許裝置1100連接到某些周邊,該些周邊允許裝置1100控制例如對視聽(audiovisual)或其它系統的內容輸出。在實施例中,裝置1100包括於此討論的功能性,像是系統100所具有的,用以藉由多個不同的協定引擎、協定層邏輯等來對實體層電路提供存取。這類功能性可例如被包括在裝置1100之連接性1170、I/O控制器1140或其它組件邏輯中。
除了專屬的對接連接器或其它專屬的連接硬體以外,裝置1100可經由公用或標準基礎的連接器完成周邊連接1180。公用的類型可包括通用串列匯流排(USB;Universal Serial Bus)連接器(其可包括許多不同的硬體介面之任一者)、包括迷你顯示埠(MDP;MiniDisplayPort)的顯示埠(DisplayPort)、高傳真多媒體介面(HDMI;High Definition Multimedia Interface)、火線或 其它類型。
於此說明用於提供對實體層資源存取的技術和架構。在上面說明中,為了解釋的目的,提出眾多特定的細節以為了提供某些實施例之全面的了解。然而,將為明白的是,對於本領或具有通常知識者而言,能不以這些特定細節來實行某些實施例。在其它實例中,以方塊圖來繪示結構和裝置以為了避免模糊說明。
在說明書中參考「一實施例」或「實施例」意味著,連同實施例說明的特別特徵、結構或特性係包括在本發明的至少一實施例中。在說明書中各種位置中出現的詞彙「在一實施例中」並非必然全參照相同的實施例。
於此詳細說明之一些部分係按照演算法和在電腦記憶體內在資料位元上操作之符號表示來提出。這些演算法描述和表示係由計算領域中具有通常知識者使用的手段,用以最有效地將他們工作的實質傳達給其他本領域具有通常知識者。演算法係於此且一般地理解為導致所欲結果的步驟的自我一致序列(self-consistent sequence)。步驟係為需要物理量之物理操控之該些者。通常來說,雖然非必要,這些量採用電或磁信號之形式,其能夠被儲存、傳輸、結合、比較以及其它操控。主要為了共通使用的原因,將這些信號參照為位元、值、元件、符號、字符、術語、數字等已證明有時是方便的。
然而,應謹記在心的是,所有這些術語和相似的術語係用以與適當的物理量關聯且僅為施用至這些量 之方便的標籤。除非具體地陳述否則如從於此的討論般的顯而易見的是,可理解貫穿本說明,利用像是「處理(processing)」或「計算(computing)」或「估算(calculating)」或「判斷(determining)」或「顯示(displaying)」或類似者的術語參照電腦系統或類似的電子計算裝置之作用和過程,該電子計算裝置將代表為在電腦系統的暫存器及記憶體內物理(電子)量的資料操控及轉換成類似地代表為在電腦系統記憶體或暫存器或其它這類資訊儲存器、傳送或顯示裝置內物理量的其他資料。
某些實施例亦關於用於進行於此的操作的設備。此設備可特別為了需要的目的來建構,或其可包含選擇性地由儲存在電腦中的電腦程式啟動或再配置的通用目的電腦。這類的電腦程式可儲存在電腦可讀儲存媒體中,像是(但不限於)任何類型之包括軟碟、光碟、CD-ROM及磁光碟的碟片、唯讀記憶體(ROM;read-only memory)、隨機存取記憶體(RAM;random access memory)(像是動態RAM(DRAM)、EPROM、EEPROM、磁或光卡)或適合用於儲存電子指令之任何類型的媒體,且電腦程式可耦接到電腦系統匯流排。
於此提出的演算法和顯示非固有地關於任何特定電腦或其它設備。各種通用目的系統可依據於此的教示以程式來使用,或其可證明是方便的是建構更專門的設備以進行需要的方法步驟。對於各式各樣這些系統之需要的結構將從於此的說明顯現。此外,某些實施例並非參考 任何特定程式語言來描述。將理解的是,可使用各式各樣的程式語言來建置如於此說明這類實施例之教示。
除了於此說明的事物以外,各種修改在可在不悖離他們的範圍下對揭示的實施例及其建置作成。因此,於此的闡述及範例應以闡述性但非限制性的含意來理解。本發明之範圍應僅僅藉由參考隨後的申請專利範圍來衡量。
100‧‧‧系統
110a‧‧‧埠控制器
110b‧‧‧埠控制器
120‧‧‧共同介面邏輯
122a‧‧‧時脈控制邏輯
122b‧‧‧時脈控制邏輯
130‧‧‧控制邏輯
132‧‧‧行動實體層數位邏輯
134‧‧‧行動實體層傳送器
136‧‧‧行動實體層接收器
140a‧‧‧控制信號
140b‧‧‧控制信號
142a‧‧‧控制信號
142b‧‧‧控制信號
150‧‧‧時脈信號
160‧‧‧控制信號
170‧‧‧資料路徑

Claims (23)

  1. 一種積體電路,包含:第一電路,包括:第一狀態機,配置以基於各者代表第一埠控制器對於電源的請求和對於第一時脈信號的請求兩者在第一狀態之間轉移,該第一狀態機更用以產生第一控制信號;第二狀態機,配置以基於代表該第一埠控制器對於第二時脈信號的請求在第二狀態之間轉移,其中該第一時脈信號之第一頻率大於該第二時脈信號之第二頻率,該第二狀態機更用以產生第二控制信號;及第一時脈控制邏輯,反應於該第一控制信號用以將該第一時脈信號向該第一埠控制器傳送,且更反應於該第二控制信號用以將該第二時脈信號向該第一埠控制器傳送;及第三狀態機,配置以基於自該第一狀態機和該第二狀態機的請求在第三狀態之間轉移,該第三狀態機用以從該第一電路對實體層電路發送代表該第一埠控制器的請求。
  2. 如申請專利範圍第1項的積體電路,更包含:第二電路,用以從第二埠控制器接收請求,該第二電路用以代表該第二埠控制器發送請求至該第三狀態機,其中該第三狀態機係配置以反應於從該第一電路和該第二電路之任一者的請求在該第三狀態之間轉移。
  3. 如申請專利範圍第2項的積體電路,其中該第二電 路包含:第四狀態機,用以基於各者代表第二埠控制器對於電源的請求和對於該第一時脈信號的請求兩者在第四狀態之間轉移,該第四狀態機用以產生第四控制信號;第五狀態機,用以基於代表該第二埠控制器對於該第二時脈信號的請求在第五狀態之間轉移,該第五狀態機用以產生第五控制信號;及第二時脈控制邏輯,用以反應於該第四控制信號將該第一時脈信號向該第二埠控制器傳送,並且反應於該第五控制信號將該第二時脈信號向該第二埠控制器傳送。
  4. 如申請專利範圍第1項的積體電路,其中該積體電路之第一功能方塊包括該第一電路,該積體電路更包含第二功能方塊,其中多於二個埠控制器係耦接以經由包括該第一功能方塊和該第二功能方塊的階層式介面架構來存取該實體層電路。
  5. 如申請專利範圍第4項的積體電路,其中各者支援第一協定的埠控制器係經由該第二功能方塊耦接至該第一功能方塊,且其中支援第二協定的另一個埠控制器係耦接至該第一功能方塊而無關於該第二功能方塊。
  6. 如申請專利範圍第1項的積體電路,其中該積體電路駐於包括該第一埠控制器或該實體層電路的晶片上系統。
  7. 如申請專利範圍第1項的積體電路,其中該實體層電路係與包括行動實體層(M-PHY)規格相容。
  8. 如申請專利範圍第1項的積體電路,其中該第一埠控制器支援與晶片間超高速USB規格(SuperSpeed USB Inter-chip)相容的通訊。
  9. 如申請專利範圍第1項的積體電路,其中該第一埠控制器支援與通用快閃記憶體儲存規格相容的通訊。
  10. 如申請專利範圍第1項的積體電路,其中該第一埠控制器支援與行動周邊元件互連快遞規格相容的通訊。
  11. 一種在積體電路上的方法,該方法包含:基於各者代表第一埠控制器對於電源的請求和對於第一時脈信號的請求兩者將第一電路之第一狀態機於第一狀態之間轉移;基於代表該第一埠控制器對於第二時脈信號的請求將該第一電路之第二狀態機在第二狀態之間轉移,其中該第一時脈信號之第一頻率大於該第二時脈信號之第二頻率;反應於來自該第一狀態機的第一控制信號,將該第一時脈信號從該第一電路之第一時脈控制邏輯向該第一埠控制器傳送;反應於來自該第二狀態機的第二控制信號,將該第二時脈信號從該第一電路之第一時脈控制邏輯向該第一埠控制器傳送;基於來自該第一狀態機和該第二狀態機的請求將該第一電路之第三狀態機在第三狀態之間轉移;及將代表該第一埠控制器的請求從該第三狀態機發送到實體層電路。
  12. 如申請專利範圍第11項的方法,更包含:利用該積體電路之第二電路進行:從第二埠控制器接收請求;及代表該第二埠控制器發送請求至該第三狀態機;及反應於來自該第二電路的請求進一步將該第三狀態機在該第三狀態之間轉移。
  13. 如申請專利範圍第12項的方法,更包含:基於各者代表第二埠控制器對於電源的請求和對於該第一時脈信號的請求兩者將該第二電路之第四狀態機在第四狀態之間轉移;利用該第四狀態機產生第四控制信號;基於代表該第二埠控制器對於該第二時脈信號的請求將該第二電路之第五狀態機在第五狀態之間轉移;以該第五狀態機產生第五控制信號;反應於該第四控制信號將該第一時脈信號從該第二電路的第二時脈控制邏輯向該第二埠控制器傳送;以及反應於該第五控制信號將該第二時脈信號從該第二時脈控制邏輯向該第二埠控制器傳送。
  14. 如申請專利範圍第11項的方法,其中該積體電路之第一功能方塊包括該第一電路,該積體電路更包含第二功能方塊,其中多於二個的埠控制器係耦接以經由包括該第一功能方塊和該第二功能方塊的階層式介面架構來存取該實體層電路。
  15. 如申請專利範圍第14項的方法,其中各者支援第 一協定的埠控制器係經由該第二功能方塊耦接至該第一功能方塊,且其中支援第二協定的另一埠控制器係耦接至該第一功能方塊而無關於該第二功能方塊。
  16. 如申請專利範圍第11項的方法,其中該積體電路駐於包括該第一埠控制器或該實體層電路的晶片上系統上。
  17. 如申請專利範圍第11項的方法,其中該實體層電路係與包括行動實體層(M-PHY)規格相容。
  18. 一種系統,包含:積體電路,包括:第一電路,包含:第一狀態機,配置以基於各者代表第一埠控制器對於電源的請求和對於第一時脈信號的請求兩者在第一狀態之間轉移,該第一狀態機更用以產生第一控制信號;第二狀態機,配置以基於代表該第一埠控制器對於第二時脈信號的請求在第二狀態之間轉移,其中該第一時脈信號之第一頻率大於該第二時脈信號的第二頻率,該第二狀態機更用以產生第二控制信號;及第一時脈控制邏輯,反應於該第一控制信號用以將該第一時脈信號向該第一埠控制器傳送,且更反應於該第二控制信號用以將該第二時脈信號向該第一埠控制器傳送;及第三狀態機,配置以基於來自該第一狀態機和該第二狀態機的請求在第三狀態之間轉移,該第三狀態機用以 代表該第一埠控制器從該第一電路發送請求至實體層電路;及觸控螢幕,用以基於經由該第一埠控制器的交換呈現顯示。
  19. 如申請專利範圍第18項的系統,該積體電路更包含:第二電路,用以接收來自第二埠控制器的請求,該第二電路用以代表該第二埠控制器發送請求至該第三狀態機,其中該第三狀態機係配置以反應於來自該第一電路和該第二電路之任一者的請求在該第三狀態之間轉移。
  20. 如申請專利範圍第19項的系統,其中該第二電路包含:第四狀態機,用以基於各者代表第二埠控制器對於電源的請求和對於該第一時脈信號的請求兩者在第四狀態之間轉移,該第四狀態機用以產生第四控制信號;第五狀態機,用以基於代表該第二埠控制器對於該第二時脈信號的請求在第五狀態之間轉移,該第五狀態機用以產生第五時脈信號;及第二時脈控制邏輯,用以反應於該第四控制信號將該第一時脈信號向該第二埠控制器傳送,並且用以反應於該第五控制信號將該第二時脈信號向該第二埠控制器傳送。
  21. 如申請專利範圍第18項的系統,其中該積體電路之第一功能方塊包括該第一電路,該積體電路更包含第二功能方塊,其中多於二個埠控制器係耦接以經由包括該第 一功能方塊和該第二功能方塊的階層式介面架構來存取該實體層電路。
  22. 如申請專利範圍第21項的系統,其中各者支援第一協定的埠控制器係經由該第二功能方塊耦接至該第一功能方塊,且其中支援第二協定的另一個埠控制器係耦接至該第一功能方塊而無關於該第二功能方塊。
  23. 如申請專利範圍第18項的系統,其中該積體電路駐於包括該第一埠控制器或該實體層電路的晶片上系統上。
TW105105125A 2015-03-25 2016-02-22 用於分享跨多個協定之實體層邏輯的設備、系統及方法 TWI593263B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/668,886 US9697168B2 (en) 2015-03-25 2015-03-25 Apparatus, system and method for sharing physical layer logic across multiple protocols

Publications (2)

Publication Number Publication Date
TW201703480A TW201703480A (zh) 2017-01-16
TWI593263B true TWI593263B (zh) 2017-07-21

Family

ID=56976651

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105105125A TWI593263B (zh) 2015-03-25 2016-02-22 用於分享跨多個協定之實體層邏輯的設備、系統及方法

Country Status (4)

Country Link
US (1) US9697168B2 (zh)
EP (1) EP3275156B1 (zh)
TW (1) TWI593263B (zh)
WO (1) WO2016153619A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106294252B (zh) * 2015-06-05 2019-07-09 瑞昱半导体股份有限公司 超高速芯片互连装置及其连接控制方法
JP6455382B2 (ja) * 2015-09-24 2019-01-23 富士通株式会社 制御装置および制御プログラム
US10374920B2 (en) * 2015-10-08 2019-08-06 Commscope Technologies Llc Communication media and methods for providing indication of signal power to a network entity
US10444999B2 (en) * 2016-10-13 2019-10-15 Qualcomm Incorporated Universal flash storage (UFS) host design for supporting embedded UFS and UFS card
KR20220046948A (ko) * 2020-10-08 2022-04-15 삼성전자주식회사 스토리지 컨트롤러, 스토리지 시스템 및 그 동작방법
CN115866156B (zh) * 2023-03-01 2023-05-26 上海励驰半导体有限公司 数据处理方法、装置、电子设备及存储介质

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007028252A2 (en) 2005-09-08 2007-03-15 Nortel Networks Limited Load balancing for an air interface protocol architecture with a plurality of heterogenous physical layer modes
US7913022B1 (en) * 2007-02-14 2011-03-22 Xilinx, Inc. Port interface modules (PIMs) in a multi-port memory controller (MPMC)
KR101193057B1 (ko) 2011-04-06 2012-10-22 엠텍비젼 주식회사 복수의 센서 데이터 입력 방법 및 장치
US8755404B2 (en) 2012-04-25 2014-06-17 Gainspan Corporation Facilitating communication between resource-constrained devices and wireless communication terminals
US8848850B2 (en) 2012-09-25 2014-09-30 Intel Corporation Pulse width modulation receiver circuitry
RU2633126C2 (ru) 2013-02-28 2017-10-11 Интел Корпорейшн Усиление механизма перечисления и/или конфигурации одного протокола межсоединений для другого протокола межсоединений
US9563260B2 (en) * 2013-03-15 2017-02-07 Intel Corporation Systems, apparatuses, and methods for synchronizing port entry into a low power state
WO2014171937A1 (en) * 2013-04-17 2014-10-23 Intel Corporation Device, method and system for operation of a low power phy with a pcie protocol stack

Also Published As

Publication number Publication date
EP3275156B1 (en) 2020-04-01
EP3275156A4 (en) 2018-12-05
US9697168B2 (en) 2017-07-04
US20160283434A1 (en) 2016-09-29
EP3275156A1 (en) 2018-01-31
WO2016153619A1 (en) 2016-09-29
TW201703480A (zh) 2017-01-16

Similar Documents

Publication Publication Date Title
TWI593263B (zh) 用於分享跨多個協定之實體層邏輯的設備、系統及方法
US10084698B2 (en) Selectively enabling first and second communication paths using a repeater
US10083147B2 (en) Apparatuses and methods for multilane universal serial bus (USB2) communication over embedded universal serial bus (eUSB2)
CN109891399B (zh) 在相同的物理串行总线集线器上产生多个虚拟串行总线集线器实例的装置和方法
US9753529B2 (en) Systems, apparatuses, and methods for synchronizing port entry into a low power status
CN106209695B (zh) 给加载/存储通信协议提供低功率物理单元
KR101565357B1 (ko) 타임아웃을 처리하기 위한 시스템, 방법 및 장치
US9411762B2 (en) Method and system for platform management messages across peripheral component interconnect express (PCIe) segments
US9405718B2 (en) Leveraging an enumeration and/or configuration mechanism of one interconnect protocol for a different interconnect protocol
US11263165B2 (en) Apparatuses for periodic universal serial bus (USB) transaction scheduling at fractional bus intervals
US20160378704A1 (en) Dynamically configure connection modes on a system based on host device capabilities
US20180173666A1 (en) APPARATUSES AND METHODS TO COUPLE AN EMBEDDED UNIVERSAL SERIAL BUS (eUSB) CIRCUIT TO A UNIVERSAL SERIAL BUS (USB) TRANSCEIVER INTERFACE CIRCUIT
US9766683B2 (en) Interconnect to communicate information uni-directionally
US11256639B2 (en) Method, apparatus, system to enhance the device policy manager (DPM) to manage an expended USB-C ecosystem
US11231937B2 (en) Autonomous host detection for communication port management
US10719469B2 (en) Inband messaging method for integrated type-C universal serial bus detection using enhanced serial peripheral interconnect
US20190036365A1 (en) Method, apparatus and system to enhance a device policy manager to manage devices based on battery condition
US20210389371A1 (en) Debug data communication system for multiple chips
CN107092335B (zh) 优化的链路训练及管理机制
US10873525B2 (en) Dynamic asymmetric communication path allocation
TW200939025A (en) Providing a high-speed connection between a memory medium of a mobile device and an external device
US20090292849A1 (en) Adaptable pci express controller core
US20240104043A1 (en) Enabling universal core motherboard with flexible input-output ports