TWI590291B - A gas supply device and a - Google Patents

A gas supply device and a Download PDF

Info

Publication number
TWI590291B
TWI590291B TW103142807A TW103142807A TWI590291B TW I590291 B TWI590291 B TW I590291B TW 103142807 A TW103142807 A TW 103142807A TW 103142807 A TW103142807 A TW 103142807A TW I590291 B TWI590291 B TW I590291B
Authority
TW
Taiwan
Prior art keywords
gas
gas flow
control device
flow control
deposition
Prior art date
Application number
TW103142807A
Other languages
Chinese (zh)
Other versions
TW201532113A (en
Inventor
xu-sheng Zhou
hong-qing Wang
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed filed Critical
Publication of TW201532113A publication Critical patent/TW201532113A/en
Application granted granted Critical
Publication of TWI590291B publication Critical patent/TWI590291B/en

Links

Description

一種氣體供應裝置及其等離子體反應裝置 Gas supply device and plasma reaction device thereof

本發明涉及等離子體處理技術領域,尤其涉及一種等離子體反應裝置的快速供氣技術領域。 The invention relates to the technical field of plasma processing, and in particular to the technical field of rapid gas supply of a plasma reaction device.

等離子體反應裝置廣泛應用於積體電路的製造工藝中,如沉積、刻蝕等。其中,常用的等離子體反應裝置包括電容耦合型等離子體反應裝置CCP和電感耦合型等離子體裝置ICP,等離子體反應裝置的原理主要是使用射頻功率將輸入反應裝置中的反應氣體解離成等離子體,利用該等離子體對放置於其內部的基片進行等離子體刻蝕處理,不同刻蝕工藝需要的反應氣體不盡相同。 Plasma reaction devices are widely used in the manufacturing process of integrated circuits, such as deposition, etching, and the like. Among them, the commonly used plasma reaction device includes a capacitive coupling type plasma reaction device CCP and an inductively coupled plasma device ICP. The principle of the plasma reaction device mainly uses the RF power to dissociate the reaction gas input into the reaction device into a plasma. The plasma placed on the inside of the substrate is plasma-etched by the plasma, and the reaction gases required by different etching processes are not the same.

如在矽通孔刻蝕工藝中,由於需要刻蝕的矽通孔深度較大,為了能夠有效的進行刻蝕,常採用下述步驟進行刻蝕:第一,刻蝕步驟,在等離子體反應腔內通入刻蝕氣體,在矽基底表面進行通孔刻蝕;第二,聚合物沉積步驟,在等離子體反應腔內通入沉積氣體,所述沉積氣體在通孔側壁沉積形成側壁保護。刻蝕步驟和沉積步驟交替進行,直至通孔刻蝕完成。採用該方法的特點是能夠刻蝕較深的矽孔,但是由於刻蝕步驟和沉積步驟交替進行,會在側壁形成扇貝狀的粗糙表面,對矽孔的後續工藝產生不良影響,故為了保證矽孔刻蝕的合格率,需要矽孔側壁的粗糙表面越小越好,越光滑越好。可以想到,一種降低矽孔側壁扇貝狀粗糙表面的方 式是提高刻蝕步驟和沉積步驟的交替頻率,降低每一步刻蝕步驟和沉積步驟所需時間,然而,隨著所需時間的降低,等離子體反應裝置內各種參數的不穩定性和不確定性隨之產生。當刻蝕步驟和沉積步驟的時間間隔小於1s時,為等離子體反應裝置提供反應氣體的氣體流量控制閥MFC成為一個瓶頸,MFC無法達到如此快速的切換。如果需要刻蝕步驟和沉積步驟的交替時間小於0.5s,MFC將無法達到反應裝置的需求,使得整個刻蝕工藝出現不穩定狀況,工藝結果無法保證可重複和可控制。因此,不同反應氣體快速切換並及時輸送到等離子體處理裝置內是目前矽通孔刻蝕的急需解決的問題。 For example, in the tantalum via etching process, since the depth of the via hole to be etched is large, in order to effectively perform etching, the following steps are often used for etching: first, etching step, in plasma reaction An etching gas is introduced into the cavity to perform via etching on the surface of the germanium substrate. Second, in the polymer deposition step, a deposition gas is introduced into the plasma reaction chamber, and the deposition gas is deposited on the sidewall of the via hole to form sidewall protection. The etching step and the deposition step are alternated until the via etching is completed. The method is characterized in that it can etch a deeper pupil, but since the etching step and the deposition step are alternately performed, a scalloped rough surface is formed on the sidewall, which adversely affects the subsequent process of the pupil, so that the flaw is ensured. The pass rate of the hole etch requires that the rough surface of the sidewall of the boring is as small as possible, and the smoother the better. It is conceivable that a method of reducing the scalloped rough surface of the pupil side wall The equation is to increase the alternating frequency of the etching step and the deposition step, and reduce the time required for each step of etching and deposition, however, as the required time decreases, the instability and uncertainty of various parameters in the plasma reactor Sex comes with it. When the time interval between the etching step and the deposition step is less than 1 s, the gas flow control valve MFC that supplies the reaction gas to the plasma reactor becomes a bottleneck, and the MFC cannot achieve such a rapid switching. If the alternate time of the etching step and the deposition step is less than 0.5 s, the MFC will not be able to meet the requirements of the reaction device, making the entire etching process unstable, and the process result cannot be guaranteed to be repeatable and controllable. Therefore, the rapid switching of different reaction gases and timely delivery to the plasma processing apparatus is an urgent problem to be solved in the current through-hole etching.

為了解決上述技術問題,本發明提供一種氣體供應裝置,所述裝置包括一刻蝕氣體源和一沉積氣體源,所述刻蝕氣體源和所述沉積氣體源後端分別連接一氣體流量控制裝置,所述氣體流量控制裝置後端分別連接兩個控制閥門,每個氣體流量控制裝置的一個控制閥門連接一排氣裝置,另一控制閥門與真空反應腔相連,所述流量控制裝置可以在小於1秒的時候內打開、閉合或者低氣體流量狀態和高氣體流量狀態的切換。 In order to solve the above technical problem, the present invention provides a gas supply device, the device includes an etching gas source and a deposition gas source, and the etching gas source and the deposition gas source are respectively connected with a gas flow control device at a rear end thereof. The back end of the gas flow control device is respectively connected with two control valves, one control valve of each gas flow control device is connected to one exhaust device, and the other control valve is connected to the vacuum reaction chamber, and the flow control device can be less than 1 Open, close, or switch between low gas flow state and high gas flow state in seconds.

優選的,所述刻蝕氣體源和所述沉積氣體源後端分別通過一控制閥門與所述氣體流量控制裝置相連。 Preferably, the etching gas source and the back end of the deposition gas source are respectively connected to the gas flow control device through a control valve.

優選的,所述每個氣體流量控制裝置相鄰兩次切換輸出的氣體流量不同。 Preferably, each of the gas flow control devices has different gas flow rates for switching between two adjacent outputs.

優選的,所述每個氣體流量控制裝置後端連接的兩控制閥門交替打開、關閉,其交替頻率大於等於所述氣體流量控制裝置的切換頻率。 Preferably, the two control valves connected to the rear end of each gas flow control device are alternately opened and closed, and the alternate frequency thereof is greater than or equal to the switching frequency of the gas flow control device.

優選的,與所述真空反應腔連接的兩控制閥門打開時,流經氣體流量控制裝置的氣體流量大於與排氣裝置連接的兩控制閥門打開時流經氣體流量控制裝置的氣體流量。 Preferably, when the two control valves connected to the vacuum reaction chamber are opened, the flow rate of the gas flowing through the gas flow control device is greater than the flow rate of the gas flowing through the gas flow control device when the two control valves connected to the exhaust device are opened.

優選的,所述氣體流量控制裝置為CMOS感應氣體流量控制裝置。 Preferably, the gas flow control device is a CMOS induction gas flow control device.

進一步的,本發明還公開了一種等離子體反應裝置,包括一真空反應腔,所述真空反應腔內設置一放置基片的基座,所述基座連接一射頻功率源,所述真空反應腔外設置一氣體供應裝置,所述氣體供應裝置包括一刻蝕氣體源和一沉積氣體源,所述刻蝕氣體源和所述沉積氣體源後端分別連接一氣體流量控制裝置,所述氣體流量控制裝置後端分別連接兩個控制閥門,每個氣體流量控制裝置的一個控制閥門連接一排氣裝置,另一控制閥門與真空反應腔相連,所述刻蝕氣體和所述沉積氣體在所述流量控制裝置的切換下交替注入所述真空反應腔,所述刻蝕氣體和所述沉積氣體的交替時間小於1秒。 Further, the present invention also discloses a plasma reaction apparatus comprising a vacuum reaction chamber, wherein a susceptor for placing a substrate is disposed in the vacuum reaction chamber, the susceptor is connected to a radio frequency power source, and the vacuum reaction chamber a gas supply device is disposed outside, the gas supply device includes an etch gas source and a deposition gas source, and the etch gas source and the back end of the deposition gas source are respectively connected to a gas flow control device, and the gas flow control device Two control valves are respectively connected to the rear end of the device, one control valve of each gas flow control device is connected to one exhaust device, and the other control valve is connected to the vacuum reaction chamber, and the etching gas and the deposition gas are at the flow rate. The vacuum reaction chamber is alternately injected under the switching of the control device, and the alternate time of the etching gas and the deposition gas is less than 1 second.

優選的,所述等離子體反應裝置內進行刻蝕工藝和沉積工藝,刻蝕工藝時與刻蝕氣體源連接的氣體流量控制裝置為高氣體流量狀態,與沉積氣體源連接的氣體流量控制裝置為低氣體流量狀態;沉積工藝時與沉積氣體源連接的氣體流量控制裝置為高氣體流量狀態,與刻蝕氣體源連接的氣體流量控制裝置為低氣體流量狀態。 Preferably, the plasma reaction device performs an etching process and a deposition process, wherein the gas flow control device connected to the etching gas source during the etching process is in a high gas flow state, and the gas flow control device connected to the deposition gas source is The low gas flow state; the gas flow control device connected to the deposition gas source during the deposition process is in a high gas flow state, and the gas flow control device connected to the etching gas source is in a low gas flow state.

優選的,所述低氣體流量狀態的刻蝕氣體和沉積氣體占高流量狀態的刻蝕氣體和沉積氣體的百分比可以小於等於5%。 Preferably, the percentage of the etching gas and the deposition gas in the low gas flow state in the high gas flow state may be 5% or less.

優選的,所述等離子體反應裝置包括一排氣泵,所述排氣裝 置與所述排氣泵相連。 Preferably, the plasma reaction device includes an exhaust pump, and the exhaust device Connected to the exhaust pump.

優選的,所述刻蝕反應氣體和所述沉積反應氣體交替時間小於等於0.5s。 Preferably, the etching reaction gas and the deposition reaction gas alternate for less than or equal to 0.5 s.

本發明的優點在於:所述氣體供應裝置裝置在包括一刻蝕氣體源和一沉積氣體源,所述刻蝕氣體源和所述沉積氣體源後端分別連接一氣體流量控制裝置,優選的所述氣體流量控制裝置為CMOS感應氣體流量控制裝置,可以實現1s內高低氣體流量的切換,所述氣體流量控制裝置後端分別連接兩個控制閥門,每個氣體流量控制裝置的一個控制閥門連接一排氣裝置,另一控制閥門與真空反應腔相連。採用本技術方案,不僅可以有效的過濾掉MFC頻繁切換導致的反應氣體激增和延遲,還能大大節約排放到排氣裝置20內的反應氣體,相比現有技術,節約了近一半的反應氣體,有效提高了刻蝕工藝的原材料利用率。 An advantage of the present invention is that the gas supply device includes an etch gas source and a deposition gas source, and the etch gas source and the deposition gas source are respectively connected to a gas flow control device at a rear end thereof, preferably The gas flow control device is a CMOS induction gas flow control device, which can realize switching of high and low gas flow rates within 1 s. The gas flow control device is connected with two control valves at the rear end, and one control valve of each gas flow control device is connected to one row. The gas device is connected to the vacuum reaction chamber. By adopting the technical solution, not only the reaction gas surge and delay caused by frequent switching of the MFC can be effectively filtered out, but also the reaction gas discharged into the exhaust device 20 can be greatly saved, and nearly half of the reaction gas is saved compared with the prior art. Effectively improve the utilization rate of raw materials in the etching process.

10‧‧‧氣體供應裝置 10‧‧‧ gas supply unit

13-18‧‧‧控制閥門 13-18‧‧‧Control valve

20‧‧‧排氣裝置 20‧‧‧Exhaust device

100‧‧‧真空反應腔 100‧‧‧vacuum reaction chamber

105‧‧‧反應腔側壁 105‧‧‧reaction chamber sidewall

110‧‧‧基座 110‧‧‧Base

115‧‧‧靜電卡盤 115‧‧‧Electrostatic chuck

120‧‧‧基片 120‧‧‧Substrate

125‧‧‧排氣泵 125‧‧‧Exhaust pump

130‧‧‧絕緣視窗 130‧‧‧Insulated window

140‧‧‧電感耦合線圈 140‧‧‧Inductive Coupling Coil

145‧‧‧射頻功率源 145‧‧‧RF power source

150‧‧‧氣體噴入口 150‧‧‧ gas injection

160‧‧‧等離子體 160‧‧‧ Plasma

第1圖,為一種電感耦合型等離子體反應裝置結構示意圖。 Fig. 1 is a schematic view showing the structure of an inductively coupled plasma reactor.

第2圖,為本發明所述氣體供應裝置示意圖。 Fig. 2 is a schematic view of the gas supply device of the present invention.

第3圖,為理想狀況下刻蝕氣體和沉積氣體進入真空反應腔的脈衝示意圖。 Figure 3 is a schematic diagram of the pulse of the etching gas and deposition gas entering the vacuum reaction chamber under ideal conditions.

第4圖,為實際工作中MFC輸出刻蝕氣體和沉積氣體的脈衝示意圖。 Figure 4 is a schematic diagram of the pulse of the MFC output etching gas and deposition gas in actual operation.

本發明公開了一種氣體供應裝置及其所在的等離子體反應裝置,為使本發明的上述目的、特徵和優點能夠更為明顯易懂,下面結合附圖和實施例對本發明的具體實施方式做詳細的說明。 The present invention has been disclosed in detail with reference to the accompanying drawings and embodiments. instruction of.

第1圖示出一種電感耦合型等離子體反應裝置結構示意圖,電感耦合型等離子體反應裝置包括真空反應腔100,真空反應腔包括由金屬材料製成的大致為圓柱形的反應腔側壁105,反應腔側壁105上方設置一絕緣視窗130,絕緣視窗130上方設置電感耦合線圈140,電感耦合線圈140連接射頻功率源145。反應腔側壁105靠近絕緣視窗130的一端設置氣體噴入口150,氣體噴入口150連接氣體供應裝置10。氣體供應裝置10中的反應氣體經過氣體噴入口150進入真空反應腔100,射頻功率源145的射頻功率驅動電感耦合線圈140產生較強的高頻交變磁場,使得低壓的反應氣體被電離產生等離子體160。在真空反應腔100的下游位置設置一基座110,基座110上放置靜電卡盤115用於對基片120進行支撐和固定。等離子體160中含有大量的電子、離子、激發態的原子、分子和自由基等活性粒子,上述活性粒子可以和待處理基片的表面發生多種物理和化學反應,使得基片表面的形貌發生改變,即完成刻蝕過程。真空反應腔100的下方還設置一排氣泵125,用於將反應副產物排出真空反應腔內。 1 is a schematic structural view of an inductively coupled plasma reactor including a vacuum reaction chamber 100 including a substantially cylindrical reaction chamber sidewall 105 made of a metal material, the reaction An insulating window 130 is disposed above the sidewall of the cavity 105. An inductive coupling coil 140 is disposed above the insulating window 130, and the inductive coupling coil 140 is connected to the RF power source 145. A gas injection port 150 is disposed at one end of the reaction chamber side wall 105 near the insulating window 130, and the gas injection port 150 is connected to the gas supply device 10. The reaction gas in the gas supply device 10 enters the vacuum reaction chamber 100 through the gas injection port 150, and the RF power of the RF power source 145 drives the inductive coupling coil 140 to generate a strong high-frequency alternating magnetic field, so that the low-pressure reaction gas is ionized to generate plasma. Body 160. A susceptor 110 is disposed at a position downstream of the vacuum reaction chamber 100, and an electrostatic chuck 115 is placed on the susceptor 110 for supporting and fixing the substrate 120. The plasma 160 contains a large amount of active particles such as electrons, ions, excited atoms, molecules, and radicals, and the active particles can undergo various physical and chemical reactions with the surface of the substrate to be processed, so that the surface morphology of the substrate occurs. Change, that is, complete the etching process. An exhaust pump 125 is also disposed below the vacuum reaction chamber 100 for discharging reaction by-products into the vacuum reaction chamber.

在第1圖所示的實施例中,基片120為矽材料,反應腔內進行的刻蝕工藝為矽通孔刻蝕工藝,又稱TSV刻蝕工藝。本刻蝕工藝的特點是,需要刻蝕的矽通孔深度較大,為了避免刻蝕過程中矽通孔的形貌發生彎曲,保證刻蝕的通孔符合要求,目前常用的一種刻蝕方法叫做博世工藝。博世工藝包括兩個步驟,第一,刻蝕步驟,在真空反應腔內通入刻蝕氣體,在矽基底表面進行通孔刻蝕;第二,聚合物沉積步驟,在真空反應腔內通入沉積氣體,所述沉積氣體在通孔側壁沉積形成側壁保護。刻蝕步驟和沉積步驟交替進行,直至通孔刻蝕完成。採用該方法的特點是能夠刻蝕較深 的矽孔,但是由於刻蝕步驟和沉積步驟交替進行,會在側壁的交替處形成扇貝狀的粗糙表面,對矽孔的後續工藝產生不良影響,故為了保證矽孔刻蝕的合格率,需要矽孔側壁的粗糙表面越小越好,越光滑越好。可以想到,一種降低矽孔側壁扇貝狀粗糙表面的方式是提高刻蝕步驟和沉積步驟的交替頻率,降低每一步刻蝕步驟和沉積步驟所需時間,然而,隨著所需時間的降低,等離子體反應裝置內各種參數的不穩定性和不確定性隨之產生。當刻蝕步驟和沉積步驟的時間間隔小於1s時,為真空反應腔提供反應氣體的氣體流量控制閥MFC成為一個瓶頸,傳統MFC無法達到快速的切換,因此在反應進程中,一直保持常開狀態,通過其後端連接的兩控制閥門控制反應氣體源中的氣體交替進入真空反應腔或者排氣裝置,採用本技術方案的最大缺點是反應氣體保持常開,有一半的刻蝕氣體和沉積氣體被排放到排氣裝置,浪費嚴重。 In the embodiment shown in FIG. 1, the substrate 120 is made of germanium material, and the etching process performed in the reaction chamber is a germanium via etching process, which is also called a TSV etching process. The etching process is characterized in that the depth of the through hole to be etched is large, in order to avoid bending of the shape of the through hole during the etching process, and ensuring that the through hole of the etching meets the requirements, an etching method commonly used at present It is called Bosch Craft. The Bosch process consists of two steps. First, an etching step is performed by introducing an etching gas into the vacuum reaction chamber to perform via etching on the surface of the crucible substrate. Second, a polymer deposition step is performed in the vacuum reaction chamber. A deposition gas is deposited on the sidewalls of the vias to form sidewall protection. The etching step and the deposition step are alternated until the via etching is completed. The feature of this method is that it can be etched deeper The pupil, but due to the etching step and the deposition step alternately, a scalloped rough surface is formed at the alternate side walls, which adversely affects the subsequent process of the pupil, so in order to ensure the pass rate of the pupil etching, it is required The smaller the rough surface of the pupil side wall, the better, the smoother the better. It is conceivable that a way to reduce the scalloped rough surface of the pupil sidewall is to increase the alternating frequency of the etching step and the deposition step, and to reduce the time required for each etching step and deposition step, however, as the required time decreases, the plasma The instability and uncertainty of various parameters in the bulk reaction device are followed. When the time interval between the etching step and the deposition step is less than 1 s, the gas flow control valve MFC that supplies the reaction gas to the vacuum reaction chamber becomes a bottleneck, and the conventional MFC cannot achieve rapid switching, so that the reaction is always in a normally open state. The two control valves connected at the back end control the gas in the reaction gas source to alternately enter the vacuum reaction chamber or the exhaust device. The biggest disadvantage of adopting the technical solution is that the reaction gas remains normally open, and half of the etching gas and the deposition gas are It is discharged to the exhaust device and wastes a lot.

第2圖示出本發明所述的一種氣體供應裝置10,由於本發明的刻蝕工藝為TSV刻蝕工藝,因此氣體供應裝置10包括刻蝕氣體源5和沉積氣體源6,刻蝕氣體源5和沉積氣體源6分別通過一控制閥門17和控制閥門18連接一氣體流量控制裝置MFC11和MFC12,MFC11後端連接控制閥門13和控制閥門14,其中控制閥門13控制刻蝕氣體進入真空反應腔100,控制閥門14控制刻蝕氣體進入排氣裝置20;MFC12後端連接控制閥門15和控制閥門16,其中控制閥門16控制沉積氣體進入真空反應腔100,控制閥門15控制沉積氣體進入排氣裝置20。在本實施例中,MFC11和MFC12為CMOS感應流量控制裝置,其可以實現在1s內開、關或高流量、低流量的切換,然而,實際工作中發現,CMOS感應流量控制裝置的開、關或高 流量、低流量切換過程中不能產生如第3圖所示的理想脈衝氣體,而是在啟動瞬間氣體流量有一個激增,在斷開或降低氣體流量時氣體不能立即斷開,如第4圖所示,此種情況會導致刻蝕氣體和沉積氣體切換時,高於所需氣體的量進入真空反應腔,同時真空反應腔內混雜另一種反應氣體,造成TSV刻蝕工藝進程不可控,影響刻蝕工藝的順利進行。 2 shows a gas supply device 10 according to the present invention. Since the etching process of the present invention is a TSV etching process, the gas supply device 10 includes an etching gas source 5 and a deposition gas source 6, and an etching gas source. 5 and the deposition gas source 6 are respectively connected to a gas flow control device MFC11 and MFC12 through a control valve 17 and a control valve 18, and the rear end of the MFC 11 is connected to the control valve 13 and the control valve 14, wherein the control valve 13 controls the etching gas into the vacuum reaction chamber. 100, the control valve 14 controls the etching gas into the exhaust device 20; the rear end of the MFC 12 is connected to the control valve 15 and the control valve 16, wherein the control valve 16 controls the deposition gas to enter the vacuum reaction chamber 100, and the control valve 15 controls the deposition gas into the exhaust device. 20. In this embodiment, the MFC 11 and the MFC 12 are CMOS inductive flow control devices, which can realize switching of on/off or high flow and low flow in 1 s. However, in actual work, it is found that the CMOS inductive flow control device is turned on and off. Or high In the flow rate and low flow switching process, the ideal pulse gas as shown in Fig. 3 cannot be generated, but there is a surge in the gas flow rate at the start of the start, and the gas cannot be disconnected immediately when the gas flow rate is turned off or lowered, as shown in Fig. 4. It shows that when the etching gas and the deposition gas are switched, the amount of gas higher than the required gas enters the vacuum reaction chamber, and another reaction gas is mixed in the vacuum reaction chamber, which causes the TSV etching process to be uncontrollable, affecting the engraving. The etch process went smoothly.

本發明的重點在於在CMOS感應流量控制裝置後端設置兩個控制閥門,兩個控制閥門可以將氣體切換時的激增和延遲過濾,達到如第3圖所示理想狀況下的脈衝輸出。考慮到MFC打開和閉合的切換時氣體輸出極不穩定,本實施例採用將MFC在高流量氣體輸出和低流量氣體輸出間切換。具體工作原理為:在刻蝕步驟中,將MFC11切換到高氣體流量狀態,刻蝕氣體源5中的刻蝕氣體通過控制閥門17進入MFC11,MFC11能精確測量流經其中的刻蝕氣體流量,打開控制閥門13,關閉控制閥門14,刻蝕氣體經控制閥門13進入真空反應腔100,進行刻蝕反應步驟,此時,MFC12切換到低氣體流量狀態,MFC12後端的控制閥門15打開,控制閥門16關閉,此時低流量的沉積氣體經由控制閥門15進入排氣裝置20。刻蝕步驟結束後開始沉積步驟,此時,MFC12調節至高氣體流量狀態,控制閥門15關閉,控制閥門16打開,沉積氣體自沉積氣體源6通過控制閥門18進入MFC12,MFC12能精確測量流經其中的沉積氣體流量,沉積反應所需的沉積氣體經過控制閥門16進入真空反應腔100,完成沉積反應,此時,MFC11調節至低氣體流量狀態,控制閥門13關閉,控制閥門14打開,低流量的刻蝕氣體經控制閥門14進入排氣裝置20。在本實施例中,低流量狀態的刻蝕氣體和沉積氣體占高流量狀態的刻蝕氣體和沉積氣體的百分比可以小於等 於5%,採用本技術方案,不僅可以有效的過濾掉MFC頻繁切換導致的反應氣體激增和延遲,還能大大節約排放到排氣裝置20內的反應氣體,相比現有技術,節約了近一半的反應氣體,有效提高了刻蝕工藝的原材料利用率。 The focus of the present invention is to provide two control valves at the rear end of the CMOS inductive flow control device. The two control valves can filter the surge and delay of the gas switching to achieve the pulse output under ideal conditions as shown in FIG. In view of the extremely unstable gas output at the switching of the MFC opening and closing, this embodiment employs switching the MFC between a high flow gas output and a low flow gas output. The specific working principle is: in the etching step, the MFC 11 is switched to a high gas flow state, and the etching gas in the etching gas source 5 enters the MFC 11 through the control valve 17, and the MFC 11 can accurately measure the flow rate of the etching gas flowing therethrough. The control valve 13 is opened, the control valve 14 is closed, and the etching gas enters the vacuum reaction chamber 100 through the control valve 13 to perform an etching reaction step. At this time, the MFC 12 is switched to a low gas flow state, and the control valve 15 at the rear end of the MFC 12 is opened to control the valve. 16 is closed, at which time low flow of deposition gas enters the exhaust unit 20 via the control valve 15. At the end of the etching step, the deposition step begins. At this time, the MFC 12 is adjusted to a high gas flow state, the control valve 15 is closed, the control valve 16 is opened, and the deposition gas is introduced from the deposition gas source 6 into the MFC 12 through the control valve 18, and the MFC 12 can accurately measure the flow therethrough. The deposition gas flow rate, the deposition gas required for the deposition reaction enters the vacuum reaction chamber 100 through the control valve 16 to complete the deposition reaction. At this time, the MFC 11 is adjusted to a low gas flow state, the control valve 13 is closed, the control valve 14 is opened, and the flow rate is low. The etching gas enters the exhaust device 20 via the control valve 14. In this embodiment, the percentage of the etching gas and the deposition gas in the low flow state may be less than the percentage of the etching gas and the deposition gas in the high flow state. At 5%, the technical solution can not only effectively filter out the reaction gas surge and delay caused by frequent switching of the MFC, but also greatly save the reaction gas discharged into the exhaust device 20, saving nearly half compared with the prior art. The reaction gas effectively improves the utilization rate of raw materials in the etching process.

MFC後端的閥門能過濾MFC氣體激增和延遲的方法為,當MFC切換到高氣體流量狀態時,連接真空反應腔的控制閥門13和控制閥門16延遲一定時間打開,如延遲30ms,避過反應氣體的激增狀態;當MFC切換到低氣體流量狀態前,連接排氣裝置20的控制閥門14和控制閥門15提前一定時間打開,如30ms,將MFC延遲時間段的氣體排放到排氣裝置20內,避免不需要的反應氣體進入上一個反應步驟,影響刻蝕反應或者沉積反應的進行。排氣裝置20可以與真空反應腔的排氣泵125相連,與反應副產物一起進入後續廢氣處理裝置(圖中未示出)。 The MFC rear-end valve can filter the MFC gas surge and delay. When the MFC switches to the high gas flow state, the control valve 13 and the control valve 16 connected to the vacuum reaction chamber are delayed for a certain time to open, such as a delay of 30 ms, avoiding the reaction gas. The surge state; before the MFC switches to the low gas flow state, the control valve 14 and the control valve 15 connected to the exhaust device 20 are opened for a certain time, for example, 30 ms, and the gas of the MFC delay period is discharged into the exhaust device 20, The unwanted reaction gas is prevented from entering the previous reaction step, affecting the progress of the etching reaction or the deposition reaction. The venting device 20 can be coupled to an exhaust pump 125 of the vacuum reaction chamber, along with the reaction by-products, to a subsequent exhaust gas treatment device (not shown).

所述刻蝕氣體包括CF4、O2、SF6或Ar中的一種或多種,所述沉積氣體包括C4F8、氬氣和氦氣中的一種或多種。所述刻蝕氣體和所述沉積氣體交替時間小於等於1s。優選的,所述刻蝕氣體和所述沉積氣體交替時間小於等於0.5s。通過採用本發明所述的氣體供應裝置,可以節約近一半的反應氣體,既節省了原材料降低了成本,同時還能減少了處理反應氣體的成本,避免了有害氣體進入空氣中,對環境造成污染。 The etching gas includes one or more of CF 4 , O 2 , SF 6 or Ar, and the deposition gas includes one or more of C 4 F 8 , argon gas, and helium gas. The etching gas and the deposition gas alternate for less than or equal to 1 s. Preferably, the etching gas and the deposition gas alternate for less than or equal to 0.5 s. By adopting the gas supply device of the invention, nearly half of the reaction gas can be saved, which not only saves the cost of the raw materials, but also reduces the cost of processing the reaction gas, avoids harmful gases entering the air, and pollutes the environment. .

本發明雖然以較佳實施例公開如上,但其並不是用來限定本發明,任何本領域技術人員在不脫離本發明的精神和範圍內,都可以做出可能的變動和修改,因此本發明的保護範圍應當以本發明權利要求所界定的範圍為准。 The present invention is disclosed in the above preferred embodiments, but it is not intended to limit the present invention, and any one skilled in the art can make possible variations and modifications without departing from the spirit and scope of the invention. The scope of protection should be determined by the scope defined by the claims of the present invention.

10‧‧‧氣體供應裝置 10‧‧‧ gas supply unit

100‧‧‧真空反應腔 100‧‧‧vacuum reaction chamber

105‧‧‧反應腔側壁 105‧‧‧reaction chamber sidewall

110‧‧‧基座 110‧‧‧Base

115‧‧‧靜電卡盤 115‧‧‧Electrostatic chuck

120‧‧‧基片 120‧‧‧Substrate

125‧‧‧排氣泵 125‧‧‧Exhaust pump

130‧‧‧絕緣視窗 130‧‧‧Insulated window

140‧‧‧電感耦合線圈 140‧‧‧Inductive Coupling Coil

145‧‧‧射頻功率源 145‧‧‧RF power source

150‧‧‧氣體噴入口 150‧‧‧ gas injection

160‧‧‧等離子體 160‧‧‧ Plasma

Claims (10)

一種氣體供應裝置,其特徵在於,所述裝置包括一刻蝕氣體源和一沉積氣體源,所述刻蝕氣體源和所述沉積氣體源後端分別連接一氣體流量控制裝置,所述氣體流量控制裝置後端分別連接兩個控制閥門,每個氣體流量控制裝置的一個控制閥門連接一排氣裝置,另一控制閥門與真空反應腔相連,所述氣體流量控制裝置可以在小於1秒的時候內打開、閉合或者低氣體流量狀態和高氣體流量狀態的切換;當所述氣體流量控制裝置切換到打開或高氣體流量狀態時,連接所述真空反應腔的所述控制閥門延遲一時間後打開,避過反應氣體的激增狀態;當所述氣體流量控制裝置切換到閉合或低氣體流量狀態前,連接所述排氣裝置的控制閥門提前一段時間打開。 A gas supply device, comprising: an etching gas source and a deposition gas source, wherein the etching gas source and the back end of the deposition gas source are respectively connected to a gas flow control device, the gas flow control Two control valves are respectively connected to the rear end of the device, one control valve of each gas flow control device is connected to one exhaust device, and the other control valve is connected to the vacuum reaction chamber, and the gas flow control device can be less than 1 second. Opening, closing, or switching of a low gas flow state and a high gas flow state; when the gas flow control device is switched to an open or high gas flow state, the control valve connected to the vacuum reaction chamber is opened after a delay, The surge state of the reaction gas is avoided; the control valve connected to the exhaust device is opened a certain time before the gas flow control device is switched to the closed or low gas flow state. 如申請專利範圍第1項所述之氣體供應裝置,其中:所述刻蝕氣體源和所述沉積氣體源後端分別通過一控制閥門與所述氣體流量控制裝置相連。 The gas supply device of claim 1, wherein the etching gas source and the back end of the deposition gas source are respectively connected to the gas flow control device through a control valve. 如申請專利範圍第1項所述之氣體供應裝置,其中:所述每個氣體流量控制裝置相鄰兩次切換輸出的氣體流量不同。 The gas supply device of claim 1, wherein: each of the gas flow control devices has a different gas flow rate that is switched between two adjacent switches. 如申請專利範圍第1項所述之氣體供應裝置,其中:所述每個氣體流量控制裝置後端連接的兩控制閥門交替打開、關閉,其交替頻率大於等於所述氣體流量控制裝置的切換頻率。 The gas supply device of claim 1, wherein: the two control valves connected to the rear end of each gas flow control device are alternately opened and closed, and the alternating frequency thereof is greater than or equal to the switching frequency of the gas flow control device. . 如申請專利範圍第1項所述之氣體供應裝置,其中:與所述真空反應腔連接的兩控制閥門打開時,流經氣體流量控制裝置的氣體流量大於與排氣裝置連接的兩控制閥門打開時流經氣體流量控制裝置的氣體流量。 The gas supply device of claim 1, wherein: when the two control valves connected to the vacuum reaction chamber are opened, the gas flow rate flowing through the gas flow control device is greater than the two control valves connected to the exhaust device are opened. The flow of gas through the gas flow control device. 如申請專利範圍第1項所述之氣體供應裝置,其中:所述氣體流量控制裝置為CMOS感應氣體流量控制裝置。 The gas supply device of claim 1, wherein the gas flow control device is a CMOS induction gas flow control device. 一種等離子體反應裝置,包括一真空反應腔,所述真空反應腔內設置一放置基片的基座,所述基座連接一射頻功率源,其特徵在於:所述真空反應腔外設置一氣體供應裝置,所述氣體供應裝置包括一刻蝕氣體源和一沉積氣體源,所述刻蝕氣體源和所述沉積氣體源後端分別連接一氣體流量控制裝置,所述氣體流量控制裝置後端分別連接兩個控制閥門,每個氣體流量控制裝置的一個控制閥門連接一排氣裝置,另一控制閥門與真空反應腔相連,所述刻蝕氣體和所述沉積氣體在所述氣體流量控制裝置的切換下交替注入所述真空反應腔,所述刻蝕氣體和所述沉積氣體的交替時間小於1秒;當所述氣體流量控制裝置切換到打開或高氣體流量狀態時,連接所述真空反應腔的所述控制閥門延遲一時間後打開,避過反應氣體的激增狀態;當所述氣體流量控制裝置切換到閉合或低氣體流量狀態前,連接所述排氣裝置的控制閥門提前一段時間打開。 A plasma reaction device includes a vacuum reaction chamber, a susceptor for placing a substrate is disposed in the vacuum reaction chamber, and the susceptor is connected to a radio frequency power source, wherein: a gas is disposed outside the vacuum reaction chamber a gas supply device comprising an etch gas source and a deposition gas source, wherein the etch gas source and the deposition gas source are respectively connected to a gas flow control device at a rear end thereof, and the gas flow control device has a back end respectively Connecting two control valves, one control valve of each gas flow control device is connected to one exhaust device, and the other control valve is connected to the vacuum reaction chamber, and the etching gas and the deposition gas are in the gas flow control device Alternatingly injecting the vacuum reaction chamber under switching, the alternating time of the etching gas and the deposition gas is less than 1 second; connecting the vacuum reaction chamber when the gas flow control device is switched to an open or high gas flow state The control valve is opened after a delay, avoiding the surge state of the reaction gas; when the gas flow control device is switched to The control valve connected to the venting device opens a certain amount of time in advance before closing or low gas flow conditions. 如申請專利範圍第7項所述之等離子體反應裝置,其中:所述等離子體反應裝置內進行刻蝕工藝和沉積工藝,刻蝕工藝時與刻蝕氣體源連接的氣體流量控制裝置為高氣體流量狀態,與沉積氣體源連接的氣體流量控制裝置為低氣體流量狀態;沉積工藝時與沉積氣體源連接的氣體流量控制裝置為高氣體流量狀態,與刻蝕氣體源連接的氣體流量控制裝置為低氣體流量狀態。 The plasma reaction device of claim 7, wherein: the plasma reaction device performs an etching process and a deposition process, and the gas flow control device connected to the etching gas source during the etching process is a high gas. The flow state, the gas flow control device connected to the deposition gas source is a low gas flow state; the gas flow control device connected to the deposition gas source during the deposition process is a high gas flow state, and the gas flow control device connected to the etching gas source is Low gas flow status. 如申請專利範圍第8項所述之等離子體反應裝置,其中:所述低氣體流量狀態的刻蝕氣體和沉積氣體占高氣體流量狀態的刻蝕氣體和沉積氣體 的百分比可以小於等於5%。 The plasma reaction apparatus according to claim 8, wherein: the etching gas and the deposition gas in the low gas flow state occupy an etching gas and a deposition gas in a high gas flow state. The percentage can be less than or equal to 5%. 如申請專利範圍第7項所述之等離子體反應裝置,其中:所述等離子體反應裝置包括一排氣泵,所述排氣裝置與所述排氣泵相連。 The plasma reaction apparatus of claim 7, wherein the plasma reaction apparatus comprises an exhaust pump, and the exhaust apparatus is connected to the exhaust pump.
TW103142807A 2013-12-30 2014-12-09 A gas supply device and a TWI590291B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310745217.0A CN104752137A (en) 2013-12-30 2013-12-30 Gas supply device and plasma reaction device

Publications (2)

Publication Number Publication Date
TW201532113A TW201532113A (en) 2015-08-16
TWI590291B true TWI590291B (en) 2017-07-01

Family

ID=53591662

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103142807A TWI590291B (en) 2013-12-30 2014-12-09 A gas supply device and a

Country Status (2)

Country Link
CN (2) CN110137069B (en)
TW (1) TWI590291B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107195525B (en) * 2017-05-16 2018-09-25 中国电子科技集团公司第四十八研究所 A kind of inductively coupled plasma etching equipment
CN113948358B (en) * 2020-07-17 2024-03-12 中微半导体设备(上海)股份有限公司 Plasma processing device and method for forming semiconductor structure

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN85200263U (en) * 1985-04-01 1986-01-01 南京工学院 Cmos integrated flow-transducer
US6924235B2 (en) * 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP2007214406A (en) * 2006-02-10 2007-08-23 Hitachi Metals Ltd Semiconductor manufacturing apparatus mounted with mass-flow-rate controller having flow-rate testing function
TWI447249B (en) * 2010-05-17 2014-08-01 Hon Hai Prec Ind Co Ltd System for supplying mixed gases, sputtering apparatus and sputtering method
US8669185B2 (en) * 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
JP5723678B2 (en) * 2011-05-31 2015-05-27 東京エレクトロン株式会社 Plasma processing apparatus and gas supply method thereof
JP6068462B2 (en) * 2011-06-30 2017-01-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method and apparatus for fast gas exchange, fast gas switching, and programmable gas delivery
JP5756974B2 (en) * 2011-12-06 2015-07-29 株式会社デンソー Manufacturing method of semiconductor device, measuring method in semiconductor etching process
JP5937385B2 (en) * 2012-03-16 2016-06-22 東京エレクトロン株式会社 Gas supply method, gas supply system and semiconductor manufacturing apparatus for semiconductor manufacturing apparatus
CN102832096B (en) * 2012-09-20 2015-11-25 中微半导体设备(上海)有限公司 A kind of gas supply device for vacuum treatment installation and gas supply thereof and changing method

Also Published As

Publication number Publication date
CN110137069B (en) 2021-07-09
TW201532113A (en) 2015-08-16
CN110137069A (en) 2019-08-16
CN104752137A (en) 2015-07-01

Similar Documents

Publication Publication Date Title
TWI664676B (en) Etching method
US8883028B2 (en) Mixed mode pulsing etching in plasma processing systems
JP6349257B2 (en) Hybrid pulsed plasma processing system
KR100886273B1 (en) Plasma processing apparatus and plasma processing method
US10217610B2 (en) Arrangements for manipulating plasma confinement within a plasma processing system and methods thereof
TWI584374B (en) Plasma etching method and plasma etching device
EP1973140A2 (en) Plasma species and uniformity control through pulsed VHF operation
CN104867815B (en) A kind of clean method of etching reaction cavity
KR102363778B1 (en) Etching method
KR102302314B1 (en) Plasma processing method and plasma processing apparatus
TWI545285B (en) A gas supply device and a plasma reaction device
JP6327970B2 (en) Method for etching an insulating film
TWI590291B (en) A gas supply device and a
JP6043046B2 (en) Etching gas supply method and etching apparatus
WO2013069510A1 (en) Temperature control method, control device, and plasma treatment device
TW201630068A (en) Plasma etching method
JP2014229751A (en) Plasma processing apparatus and processing method
CN108496246B (en) Slit valve door coating and method for cleaning slit valve door
KR102387482B1 (en) Gas supply control method
TW202139787A (en) Substrate processing method and substrate processing apparatus
CN112420507A (en) Method for processing substrate, device manufacturing method, and plasma processing apparatus
JP7061981B2 (en) Plasma etching equipment and plasma etching method
TWI775166B (en) Plasma processing apparatus and method for processing substrates
KR102275078B1 (en) Apparatus for treating substrate and method for treating substrate