TWI524531B - Fin-type field effect transistor (finfet) and method of fabricating a semiconductor device - Google Patents

Fin-type field effect transistor (finfet) and method of fabricating a semiconductor device Download PDF

Info

Publication number
TWI524531B
TWI524531B TW102147388A TW102147388A TWI524531B TW I524531 B TWI524531 B TW I524531B TW 102147388 A TW102147388 A TW 102147388A TW 102147388 A TW102147388 A TW 102147388A TW I524531 B TWI524531 B TW I524531B
Authority
TW
Taiwan
Prior art keywords
fin
region
dry
width
gate structure
Prior art date
Application number
TW102147388A
Other languages
Chinese (zh)
Other versions
TW201431087A (en
Inventor
尙 皮耶 柯林基
江國誠
吳志強
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201431087A publication Critical patent/TW201431087A/en
Application granted granted Critical
Publication of TWI524531B publication Critical patent/TWI524531B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection

Description

鰭型場效電晶體與半導體裝置之製造方法 Fin field effect transistor and method of manufacturing semiconductor device

本發明係關於積體電路製作,且特別是關於一種鰭型場效電晶體以及一種半導體裝置之製造方法。 The present invention relates to the fabrication of integrated circuits, and more particularly to a fin field effect transistor and a method of fabricating a semiconductor device.

為了追求更高的元件密度、更佳表現及更低成本,半導體工業已演進至奈米技術製程節點。隨著演進的進行,起因於製造與設計問題等挑戰促成了如鰭型場效電晶體裝置(finFET device)之三維設計的發展。典型之鰭型場效電晶體係藉由如蝕刻基板之一矽層之一部所形成之延伸自一基板處之極薄之一垂直”鰭部”(或鰭結構,fin or fin-like structure)所形成。此鰭部通常包括矽,並形成了電晶體裝置之主體(body)。電晶體之通道則形成於垂直延伸的鰭部之內。閘極則形成(例如包覆(wrapping))於鰭部之上。此類型之閘極允許了對於通道之更大控制情形。然而,仍存在有對於閘極的更大控制情形的需求。施行上述控制之方法則包括了完全環繞閘極(gate-all-around)及/或奧米茄(omega)結構或準環繞(quasi-surround)結構的採用。同樣地,準環繞結構的製作於導入至絕緣層上覆矽基板(SOI substrate)時,其形成遭遇了製程挑戰。 In order to pursue higher component density, better performance and lower cost, the semiconductor industry has evolved into a nanotechnology process node. As the evolution progresses, challenges arising from manufacturing and design issues have led to the development of three-dimensional designs such as fin-type field-effect transistor devices. A typical fin-type field effect crystal system is formed by extruding one of the tantalum layers of one of the substrates and extending from a very thin vertical "fin" at a substrate (or fin or fin-like structure). ) formed. This fin typically includes a crucible and forms the body of the transistor device. The channels of the transistor are formed within the vertically extending fins. The gate is formed (eg, wrapped) over the fin. This type of gate allows for greater control of the channel. However, there is still a need for greater control of the gate. The method of performing the above control includes the use of a completely gate-all-around and/or omega structure or a quasi-surround structure. Similarly, the fabrication of the quasi-surround structure is subject to process challenges when it is introduced into the SOI substrate on the insulating layer.

因此,於現今具有較佳控制情形之閘極結構之製 造方法對於部分用途為足夠時,仍可能需要額外之改善情形。 Therefore, in the current structure of the gate structure with better control conditions When the method is sufficient for some uses, additional improvements may still be required.

依據一實施例,本發明提供了一種半導體裝置之製造方法,包括:提供一基板,具有延伸自一第一表面之一鰭部,其中該鰭部包括具有半導體材料之第一組成物之一第一區以及上覆之具有半導體材料之第二組成物之第二區,其中該第二組成物係不同於該第一組成物;修改該鰭部之該第一區,以減少該半導體材料之該第一組成物之一數量;以及形成一閘極結構於該鰭部之該第二區上。 According to an embodiment, the present invention provides a method of fabricating a semiconductor device, comprising: providing a substrate having a fin extending from a first surface, wherein the fin includes one of a first composition having a semiconductor material a region and a second region of the overlying second composition having a semiconductor material, wherein the second composition is different from the first composition; modifying the first region of the fin to reduce the semiconductor material a quantity of the first composition; and forming a gate structure on the second region of the fin.

依據又一實施例,本發明提供了一種半導體裝置之製造方法,包括:提供一主體半導體基板;成長一第一磊晶層於該主體半導體基板上;成長一第二磊晶層於該第一磊晶層上;形成包括該第一磊晶層與該第二磊晶層之一鰭元件;蝕刻該鰭元件之該第一磊晶層,以形成具有少於該鰭元件之該第二磊晶層之一寬度之一寬度之一幹區;以及形成一電晶體之一通道區於該鰭元件之該第二磊晶層內。 According to still another embodiment, the present invention provides a method of fabricating a semiconductor device, including: providing a main semiconductor substrate; growing a first epitaxial layer on the main semiconductor substrate; growing a second epitaxial layer on the first Forming a fin element including the first epitaxial layer and the second epitaxial layer; etching the first epitaxial layer of the fin element to form the second protrusion having less than the fin element One of the widths of one of the widths of one of the crystal layers; and a channel region forming a transistor in the second epitaxial layer of the fin element.

依據另一實施例,本發明提供了一種鰭型場效電晶體,包括:一基板;一鰭部,設置於該基板上,其中該鰭部包括一非主動區、位於該非主動區上之一幹區、以及位於該幹區上之一主動區,其中該幹區具有一第一寬度而該主動區具有一第二寬度,該第一寬度少於該第二寬度,且其中該幹區具有一第一組成物而該主動區具有一第二組成物,該第二組成物不同於該第一組成物;以及一閘極結構,設置於該主動區上。 According to another embodiment, the present invention provides a fin field effect transistor, comprising: a substrate; a fin portion disposed on the substrate, wherein the fin portion includes an inactive region and one of the inactive regions a dry zone, and an active zone on the dry zone, wherein the dry zone has a first width and the active zone has a second width, the first width being less than the second width, and wherein the dry zone has a first composition and the active region has a second composition different from the first composition; and a gate structure disposed on the active region.

為讓本發明之上述目的、特徵及優點能更明顯易 懂,下文特舉一較佳實施例,並配合所附的圖式,作詳細說明如下。 In order to make the above objects, features and advantages of the present invention more obvious It is to be understood that the following detailed description of the preferred embodiments and the accompanying drawings are set forth below.

100‧‧‧製造方法 100‧‧‧Manufacture method

102、104、106、108‧‧‧步驟 102, 104, 106, 108 ‧ ‧ steps

200‧‧‧半導體裝置 200‧‧‧Semiconductor device

202‧‧‧鰭部 202‧‧‧Fin

204‧‧‧主動區 204‧‧‧Active Area

206‧‧‧幹區 206‧‧‧ dry area

208‧‧‧非主動區 208‧‧‧inactive area

210‧‧‧閘極結構 210‧‧‧ gate structure

210a‧‧‧閘極介電層 210a‧‧‧ gate dielectric layer

210b‧‧‧閘極電極層 210b‧‧‧gate electrode layer

210c‧‧‧介面層 210c‧‧‧Interface

212‧‧‧閘極電極 212‧‧‧gate electrode

214‧‧‧隔離元件 214‧‧‧Isolation components

400‧‧‧製造方法 400‧‧‧Manufacture method

402、404、406、408、410‧‧‧步驟 402, 404, 406, 408, 410‧‧‧ steps

502‧‧‧基板 502‧‧‧Substrate

504‧‧‧主體層 504‧‧‧ body layer

506‧‧‧第一層 506‧‧‧ first floor

508‧‧‧第一層 508‧‧‧ first floor

602‧‧‧鰭部 602‧‧‧Fin

604‧‧‧主動區 604‧‧‧active area

606‧‧‧幹區 606‧‧‧ dry area

608‧‧‧非主動區 608‧‧‧inactive area

1000‧‧‧製造方法 1000‧‧‧Manufacturing method

1002、1004、1006、1008、1010、1012‧‧‧步驟 1002, 1004, 1006, 1008, 1010, 1012‧ ‧ steps

1102‧‧‧鰭部 1102‧‧‧Fin

1104‧‧‧氧化區 1104‧‧‧Oxidation zone

1202‧‧‧鰭部 1202‧‧‧Fin

1204‧‧‧氧化區 1204‧‧‧Oxidation zone

1402‧‧‧隔離元件 1402‧‧‧Isolation components

1502‧‧‧幹區 1502‧‧ ‧ dry area

1602‧‧‧幹區 1602‧‧ ‧ dry area

1702‧‧‧幹區 1702‧‧‧ Dry area

1704‧‧‧氧化材料 1704‧‧‧Oxidized materials

1800‧‧‧鰭型場效電晶體 1800‧‧‧Fin field effect transistor

1802‧‧‧幹區 1802‧‧‧ Dry area

1804‧‧‧氧化部 1804‧‧‧Oxidation Department

1806‧‧‧半導體材料部 1806‧‧‧Semiconductor Materials Division

1808‧‧‧間隔物元件 1808‧‧‧ spacer elements

1810‧‧‧介電層/層間介電層 1810‧‧‧Dielectric/Interlayer Dielectric Layer

1900‧‧‧鰭型場效電晶體裝置 1900‧‧‧Fin type field effect transistor device

1902‧‧‧幹區 1902‧‧‧ Dry area

1904‧‧‧氧化部 1904‧‧‧Oxidation Department

1904a‧‧‧第一部 1904a‧‧‧ first

1904b‧‧‧第二部 1904b‧‧‧ second

1906‧‧‧半導體材料部 1906‧‧‧Semiconductor Materials Division

2000‧‧‧鰭型場效電晶體裝置 2000‧‧‧Fin type field effect transistor device

2002‧‧‧幹區 2002‧‧‧ dry area

2004‧‧‧氧化部 2004‧‧‧Oxidation Department

2006‧‧‧半導體材料部 2006‧‧‧Semiconductor Materials Division

2100‧‧‧鰭型場效電晶體裝置 2100‧‧‧Fin type field effect transistor device

2102‧‧‧幹區 2102‧‧‧ Dry area

2104‧‧‧氧化部 2104‧‧‧Oxidation Department

2106‧‧‧半導體材料部 2106‧‧‧Semiconductor Materials Division

2200‧‧‧鰭型場效電晶體裝置 2200‧‧‧Fin type field effect transistor device

2202‧‧‧幹區 2202‧‧‧ Dry area

2204‧‧‧氧化部 2204‧‧‧Oxidation Department

2206‧‧‧半導體材料部 2206‧‧‧Semiconductor Materials Division

2300‧‧‧鰭型場效電晶體裝置 2300‧‧‧Fin type field effect transistor device

2302‧‧‧幹區 2302‧‧‧ Dry area

2302a‧‧‧第一部 2302a‧‧‧ first

2302b‧‧‧第二部 2302b‧‧‧ second

2304‧‧‧氧化部 2304‧‧‧Oxidation Department

2306‧‧‧半導體材料部 2306‧‧‧Semiconductor Materials Division

2400‧‧‧鰭型場效電晶體裝置 2400‧‧‧Fin type field effect transistor device

2402‧‧‧幹區 2402‧‧‧ Dry area

2404‧‧‧氧化部 2404‧‧‧Oxidation Department

2406‧‧‧半導體材料部 2406‧‧‧Semiconductor Materials Division

2500‧‧‧鰭型場效電晶體裝置 2500‧‧‧Fin type field effect transistor device

2502‧‧‧幹區 2502‧‧ ‧ dry area

2504‧‧‧氧化部 2504‧‧‧Oxidation Department

2506‧‧‧半導體材料部 2506‧‧‧Semiconductor Materials Division

Wa‧‧‧寬度 Wa‧‧‧Width

Ws‧‧‧寬度 Ws‧‧‧Width

Ws1‧‧‧寬度 Ws1‧‧‧Width

Ws2‧‧‧寬度 Ws2‧‧‧Width

Ws3‧‧‧寬度 Ws3‧‧‧Width

Wp‧‧‧寬度 Wp‧‧‧Width

t1‧‧‧厚度 T1‧‧‧ thickness

t2‧‧‧厚度 T2‧‧‧ thickness

第1圖為一流程圖,顯示了依據本發明之一實施例之一種鰭型場效電晶體裝置(finFET device)之製造方法。 1 is a flow chart showing a method of fabricating a fin field effect transistor device (finFET device) in accordance with an embodiment of the present invention.

第2圖為一立體圖,顯示了依據本發明之一實施例之一種鰭型場效電晶體裝置。值得注意的是,如下文討論般,僅顯示了一鰭型場效電晶體元件之一部(例如是一閘極結構之四分之一)。 2 is a perspective view showing a fin field effect transistor device in accordance with an embodiment of the present invention. It is worth noting that, as discussed below, only one portion of a fin field effect transistor component (e.g., one quarter of a gate structure) is shown.

第3圖為一剖面圖,顯示了依據本發明之另一實施例之一種鰭型場效電晶體裝置。 Figure 3 is a cross-sectional view showing a fin field effect transistor device in accordance with another embodiment of the present invention.

第4圖為一流程圖,顯示了依據本發明之一實施例之具有一蝕刻幹區(etched stem region)之一種鰭型場效電晶體裝置之製造方法。 4 is a flow chart showing a method of fabricating a fin field effect transistor device having an etched stem region in accordance with an embodiment of the present invention.

第5-9圖為一系列剖面圖,顯示了依據如第4圖所示之製造方法中之一或多個步驟所製作出之一鰭型場效電晶體裝置之一實施例。 Figures 5-9 are a series of cross-sectional views showing one embodiment of a fin field effect transistor device fabricated in accordance with one or more of the fabrication methods illustrated in Figure 4.

第10圖為一流程圖,顯示了依據本發明之一實施例之具有一氧化幹區(oxidized stem region)之一種鰭型場效電晶體裝置之製造方法。 Figure 10 is a flow chart showing a method of fabricating a fin field effect transistor device having an oxidized stem region in accordance with an embodiment of the present invention.

第11-17圖為一系列剖面圖,顯示了依據如第10圖所示之製造方法中之一或多個步驟所製作出之一鰭型場效電晶體裝置之多個實施例。 Figures 11-17 are a series of cross-sectional views showing various embodiments of a fin field effect transistor device fabricated in accordance with one or more of the fabrication methods illustrated in Figure 10.

第18a、18b、19a、19b、20a、20b、21a、21b、22a、22b、23a、23b圖為一系列剖面圖,顯示了依據本發明之一實施例之一製造方法中之一或多個步驟所製作出之一鰭型場效電晶體裝置之多個實施例。 18a, 18b, 19a, 19b, 20a, 20b, 21a, 21b, 22a, 22b, 23a, 23b are a series of cross-sectional views showing one or more of the manufacturing methods in accordance with one embodiment of the present invention The steps produce a plurality of embodiments of a fin field effect transistor device.

第24a、24b、25a、25b圖為一系列剖面圖,顯示了依據本發明之一實施例之一製造方法中之一或多個步驟所製作出之一p通道鰭型場效電晶體裝置之多個實施例。 24a, 24b, 25a, 25b are a series of cross-sectional views showing a p-channel fin field effect transistor device fabricated in one or more steps in a fabrication method in accordance with one embodiment of the present invention. Multiple embodiments.

可以理解的是,於下文中提供了用於施行本發明之不同特徵之多個不同實施例,或範例。基於簡化本發明之目的,以下描述了元件與設置情形之特定範例。然而,此些元件與設置情形僅作為範例之用而非用於限制本發明。此外,於描述中關於於一第二元件之上或上之第一元件的形成可包括了第一元件與第二元件係為直接接觸之實施情形,且亦包括了於第一元件與第二元件之間包括了額外元件之實施情形,因而使得第一元件與第二元件之間並未直接接觸。基於簡化與清楚之目的,多個元件可任意地繪示為不同之尺寸。 It will be appreciated that a number of different embodiments, or examples, are provided below for performing different features of the present invention. Specific examples of components and setup scenarios are described below for the purpose of simplifying the present invention. However, such elements and arrangements are for illustrative purposes only and are not intended to limit the invention. Furthermore, the formation of the first component on or in a second component in the description may include the implementation of the first component in direct contact with the second component, and also includes the first component and the second component. The implementation of additional components is included between the components such that there is no direct contact between the first component and the second component. Multiple components may be arbitrarily shown in different sizes for the purposes of simplicity and clarity.

第1圖為一流程圖,顯示了依據本發明之各個層面之一種鰭型場效電晶體裝置(FinFET device)之製造方法100。可以理解的是可於如第1圖所示之製造方法100之前、之中或之後施行額外步驟,且於此方法之其他實施例中,可取代或消除於下文中所述之部分步驟。於下文中所述,關於鰭型場效電晶體裝置之描述係指包括了奈米線電晶體(nanowire transistor)之任何鰭基、多重閘極電晶體(fin-based,multi-gate transistor)。在此描述之鰭型場效電晶體裝置可位於一微處理器、記憶胞、及/或其他積體電路之內。 1 is a flow chart showing a method 100 of fabricating a fin field effect transistor device (FinFET device) in accordance with various aspects of the present invention. It will be appreciated that additional steps may be performed before, during or after the fabrication method 100 as shown in FIG. 1, and in other embodiments of the method, some of the steps described below may be substituted or eliminated. As described below, the description of a fin field effect transistor device refers to any fin-based, multi-gate transistor including a nanowire transistor (fin-based, multi-gate). Transistor). The fin field effect transistor devices described herein can be located within a microprocessor, memory cell, and/or other integrated circuitry.

製造方法100起始於步驟102,首先提供一半導體基板。此基板可為如包括結晶之矽及/或鍺之元素態半導體材料、如包括碳化矽、砷化鎵之化合物半導體材料、如包括磷化鎵、磷化銦、砷化銦及/或銻化銦之III-V族半導體材料、如包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或、GaInAsP之合金半導體材料或其組合。此半導體基板可包括經過適當摻雜(例如p型導電性或n型導電性)之多個區域。此半導體基板可能並非為一絕緣層上覆矽基板(SOI substrate),或換句話說為一主體半導體基板(bulk semiconductor substrate)。於其他實施例中,此半導體基板為一絕緣層上覆矽基板(SOI substrate)。此基板可包括數個磊晶層,且例如稱為一多膜層基板(multilayer substrate)。 Manufacturing method 100 begins at step 102 by first providing a semiconductor substrate. The substrate may be an elemental semiconductor material such as crystalline germanium and/or germanium, such as a compound semiconductor material including tantalum carbide or gallium arsenide, such as including gallium phosphide, indium phosphide, indium arsenide, and/or germanium. A III-V semiconductor material of indium, such as an alloy semiconductor material including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP, or a combination thereof. The semiconductor substrate can include a plurality of regions that are suitably doped (eg, p-type conductivity or n-type conductivity). The semiconductor substrate may not be an SOI substrate, or in other words, a bulk semiconductor substrate. In other embodiments, the semiconductor substrate is an insulating layer overlying SOI substrate. The substrate can include a plurality of epitaxial layers and is, for example, referred to as a multilayer substrate.

製造方法100接著繼續步驟104,形成延伸自基板之一鰭元件(或鰭部)。可形成數個鰭部,因而使得可於此些鰭部之間插入有如淺溝槽隔離(STI)元件之數個隔離區。此些鰭狀物可包括如矽(矽鰭部)之任何適當材料。於一實施例中,此些鰭部可包括成長於主體半導體基板上及/或主體半導體基板本身之如一或多個磊晶層之多重膜層。鰭部可藉由包括不同之沉積、微影、蝕刻、磊晶、及/或其他適當製程之任一適當製程所形成。微影製程之一範例可包括形成一光阻層(阻劑)以覆蓋基板(例如為位於一矽層或其他磊晶層上)、曝光光阻以形成一圖案、施行一曝光後烘焙製程、以及顯影此光阻以形成包括此 光阻之一遮蔽元件。可接著採用反應性離子蝕刻製程及/或其他適當製程以蝕刻矽層而形成鰭部。或者,此些鰭部可藉由一雙重圖案微影(DPL)製程所形成。雙重圖案微影為藉由將圖案分成兩交錯圖案而於一基板上製作出一圖案之方法。雙重圖案微影可達成更強之元件(例如鰭部)密度。可使用包括雙重曝光(例如採用兩組光罩)之多種雙重圖案微影、形成鄰近元件之間隔物以及移除元件以形成間隔物之圖案、固定阻劑及/或其他適當製程之多種雙重圖案微影。再次地,每一鰭部可包括多重膜層(例如主體半導體基板以及覆蓋之磊晶層)。或者,可於淺溝槽隔離元件內之開口內磊晶成長一鰭部。舉例來說,可藉由蝕刻基板內之矽鰭部以製作出此些開口或孔洞、於此些鰭部之間的空間內填入淺溝槽隔離物,以及接著蝕刻去除此些鰭部以形成此些開口。 Manufacturing method 100 then proceeds to step 104 to form a fin element (or fin) that extends from one of the substrates. A plurality of fins may be formed such that a plurality of isolation regions such as shallow trench isolation (STI) elements may be interposed between the fins. Such fins may comprise any suitable material such as a scorpion (skull fin). In one embodiment, the fins may comprise multiple layers of one or more epitaxial layers grown on the body semiconductor substrate and/or the body semiconductor substrate itself. The fins may be formed by any suitable process including deposition, lithography, etching, epitaxy, and/or other suitable processes. An example of a lithography process can include forming a photoresist layer (resist) to cover the substrate (eg, on a germanium layer or other epitaxial layer), exposing the photoresist to form a pattern, performing an exposure post-baking process, And developing the photoresist to form including One of the photoresists shields the component. The fins may then be formed using a reactive ion etching process and/or other suitable process to etch the germanium layer. Alternatively, the fins may be formed by a dual pattern lithography (DPL) process. The double pattern lithography is a method of forming a pattern on a substrate by dividing the pattern into two staggered patterns. Double pattern lithography achieves a higher density of components such as fins. A variety of double pattern lithography including double exposure (e.g., using two sets of reticle), spacers forming adjacent elements, and removing elements to form a pattern of spacers, a fixed resist, and/or other suitable double patterns of suitable processes can be used. Lithography. Again, each fin may comprise multiple layers (eg, a host semiconductor substrate and a covered epitaxial layer). Alternatively, a fin can be epitaxially grown in the opening in the shallow trench isolation element. For example, the openings or holes can be made by etching the fins in the substrate, the shallow trench spacers are filled in the spaces between the fins, and then the fins are removed by etching. These openings are formed.

製造方法100接著進行步驟106,於延伸自基板的鰭結構(亦稱為鰭部)內形成一幹區(stem region)。此幹區包括了位於鰭部之主動區下之鰭部之區域。鰭部之主動區可提供了與此鰭部相關之電晶體裝置(即鰭型場效電晶體)之通道區。相較於主動區,幹區之寬度可為減少的、部分被氧化的、全部被氧化的及/或經減少於鰭部之主動區下方之導電區其他適合方法所處理(值得注意的是,相對於裝置之閘極長度之鰭部的尺寸於下文中係如以下討論之剖面圖中所示般稱為其寬度)。於下文中將進一步解說形成幹區之數個實施例。 Manufacturing method 100 then proceeds to step 106 to form a stem region within the fin structure (also referred to as the fin) extending from the substrate. This dry zone includes the area of the fin below the active area of the fin. The active region of the fin can provide a channel region for the transistor device associated with the fin (ie, the fin field effect transistor). The width of the dry zone may be reduced, partially oxidized, fully oxidized, and/or reduced by conductive regions below the active region of the fin, as compared to the active region (notably, The dimensions of the fin relative to the gate length of the device are referred to below as widths as shown in the cross-sectional views discussed below. Several embodiments for forming a dry zone will be further explained below.

幹區可形成於鰭部之具有一特定組成物之一部內,其例如為不同於鰭部之主動區之組成物。於一實施例中, 幹區包括了相較於鰭部之主動區的組成物而經過選擇性蝕刻及/或選擇性氧化之一組成物。幹區可包括一第一磊晶層,而主動區可包括一第二磊晶層。鰭部之一非主動區(passivation region)可位於幹區之下。於一實施例中,非主動區可具有主體半導體基板之一組成物。 The dry zone may be formed in a portion of the fin having a particular composition, such as a composition different from the active region of the fin. In an embodiment, The dry zone includes one of a composition that is selectively etched and/or selectively oxidized compared to the composition of the active region of the fin. The dry zone can include a first epitaxial layer and the active zone can include a second epitaxial layer. One of the fin regions may be located below the dry region. In an embodiment, the inactive region may have a composition of a body semiconductor substrate.

於一實施例中,幹區係於一置換閘極(replacement-gate)或閘極最後(gate-last)方法中形成(例如修改原先形成之鰭部)。於一實施例中,於鰭部形成之後,於其上形成一假閘極(dummy gate)。間隔物元件以及環繞之層間介電層可形成並環繞假閘極結構。接著移除此假閘極結構而形成一溝槽。幹區的形成(例如蝕刻及或氧化)可於溝槽所提供之開口內施行。 In one embodiment, the dry zone is formed in a replacement-gate or gate-last method (eg, modifying a previously formed fin). In one embodiment, after the fin is formed, a dummy gate is formed thereon. A spacer element and a surrounding interlayer dielectric layer can form and surround the dummy gate structure. This dummy gate structure is then removed to form a trench. The formation of the dry regions (e.g., etching and or oxidation) can be performed within the openings provided by the trenches.

方法100接著繼續步驟108,形成閘極結構於鰭狀物之主動區上。閘極結構可藉由一置換閘極或閘極最後方法(例如形成於前述之溝槽內)所形成。閘極結構可包括一閘極介電層、一閘極電極層、及/或如上蓋層、介面層、功函數層、擴散/阻障層等其他之適當膜層。可圖案化閘極結構及/或鰭部,以使得閘極結構可包裹圍繞(wrap around)鰭結構之一部。舉例來說,閘極結構可接觸至少鰭結構之主動區的至少三個表面(例如頂面與相對之數個側面)。於另一實施例中,閘極可包裹環繞(wrap around)或準環繞(quasi-around)此鰭結構,使得閘極結構接觸了鰭結構之主動區的一第四表面(例如底面)。於下文中,如此之閘極可稱為為一奧米茄閘極(omega-gate)或準環繞閘極(quasi-around gate)結構。 The method 100 then proceeds to step 108 to form a gate structure on the active region of the fin. The gate structure can be formed by a replacement gate or gate final method (e.g., formed in the aforementioned trench). The gate structure may include a gate dielectric layer, a gate electrode layer, and/or other suitable film layers such as a cap layer, an interface layer, a work function layer, a diffusion/barrier layer, and the like. The gate structure and/or fins may be patterned such that the gate structure may wrap around one of the fin structures. For example, the gate structure can contact at least three surfaces of the active region of at least the fin structure (eg, the top surface and the opposite sides). In another embodiment, the gate may wrap around or quasi-around the fin structure such that the gate structure contacts a fourth surface (eg, the bottom surface) of the active region of the fin structure. Hereinafter, such a gate can be referred to as an omega-gate or quasi-around gate structure.

閘極介電層可包括如氧化矽、氮化矽、高藉墊償數介電材料、其他適當介電材料、及/或其組合。高介電常數介電材料之範例包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、二氧化鉿-氧化鋁合金、其他適當之高介電常數介電材料、及/或其組合。閘極電極包括了任何適當材料,例如為多晶矽、鋁、銅、鈦、鉭、鎢、鉬、氮化鉭、矽化鎳、矽化鈷、氮化鈦、氮化鎢、TiAl、TiAlN、TaCN、TaC、TaSiN、金屬合金、其他適當材料、及/或其組合。可採用閘極最後或置換閘極方法以形成此閘極結構。數個源極/汲極區元件可形成於位於鰭結構之主動區之兩側上延伸部之上。此些源極/汲極區可採用離子佈值、擴散、雷射回火、磊晶成長、及/或其他適當製程所形成。 The gate dielectric layer can include, for example, hafnium oxide, tantalum nitride, high dielectric materials, other suitable dielectric materials, and/or combinations thereof. Examples of high dielectric constant dielectric materials include HfO 2 , HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, zirconia, alumina, ceria-oxidized aluminum alloy, other suitable high dielectric constant dielectric materials, and/or Or a combination thereof. The gate electrode includes any suitable material such as polysilicon, aluminum, copper, titanium, tantalum, tungsten, molybdenum, tantalum nitride, nickel telluride, cobalt telluride, titanium nitride, tungsten nitride, TiAl, TiAlN, TaCN, TaC. , TaSiN, metal alloys, other suitable materials, and/or combinations thereof. The gate last or replacement gate method can be employed to form the gate structure. A plurality of source/drain region elements may be formed over the extensions on both sides of the active region of the fin structure. Such source/drain regions may be formed by ion cloth values, diffusion, laser tempering, epitaxial growth, and/or other suitable processes.

製造方法100可採用如第4圖與第10圖所分別顯示製造方法400與製造方法1000之下述任一方法而施行。製造方法100之部分實施例的功效或其部分功效可包括如改善次臨界斜率(擺幅)(subthreshold slope(swing))。次臨界斜率的改善可增加相關電晶體之Ion/Ioff比例。如此可降低供應電壓及/或功率消耗。製造方法100或其部分亦可提供相關電晶體之汲極引致能障下降(Drain-Induced Barrier Lowering,DIBL)的改善情形,其亦可改善裝置的表現。 The manufacturing method 100 can be carried out by any of the following methods of the manufacturing method 400 and the manufacturing method 1000 as shown in FIGS. 4 and 10, respectively. The efficacy of some of the embodiments of the method of manufacture 100, or portions thereof, may include, for example, improving the subthreshold slope (swing). An improvement in the subcritical slope can increase the Ion/Ioff ratio of the associated transistor. This reduces supply voltage and/or power consumption. Manufacturing method 100 or portions thereof may also provide an improvement in Drain-Induced Barrier Lowering (DIBL) of the associated transistor, which may also improve device performance.

第2-3圖顯示了具有一鰭部(fin)202之一種半導體裝置200之一實施例之一部。此半導體裝置200係繪示為一鰭型場效電晶體裝置(例如一電晶體)或其任一部份(例如一鰭部)。值得注意的是,第2圖僅顯示了半導體裝置200之一部。舉例來 說,僅顯示了半導體裝置200之四分之一或四分之一圓(例如面向鰭部202之中央線向下之一側的以及橫跨鰭部中央線之一側之一部),而未顯示其鏡像。可更理解的是,於半導體裝置200之其他實施例中,可於半導體裝置200內增加額外元件,且部分之下述元件可被取代或消除。 Figures 2-3 show a portion of one embodiment of a semiconductor device 200 having a fin 202. The semiconductor device 200 is illustrated as a fin field effect transistor device (eg, a transistor) or any portion thereof (eg, a fin). It is to be noted that FIG. 2 shows only one part of the semiconductor device 200. For example That is, only one quarter or one quarter of the circle of the semiconductor device 200 is displayed (for example, one side of the center line facing the fin 202 and one side of the side line of the center line of the fin), and Its mirroring is not shown. It will be further appreciated that in other embodiments of semiconductor device 200, additional components may be added to semiconductor device 200, and portions of the following components may be replaced or eliminated.

鰭部202包括一主動區(passive region)204、一幹區(stem region)206、與一非主動區(passive region)208。半導體裝置200之通道(channel)可形成於主動區204內。如圖所示之幹區206可具有少於主動區204之寬度Wa及/或非主動區208之寬度Wp之一寬度Ws。寬度Wp可大體等於寬度Wa。寬度Ws可約為寬度Wa及/或寬度Wp之1-99%。於一實施例中,寬度Ws係約為寬度Wa及/或寬度Wp之40-60%。於另一實施例中,鰭部的寬度(Wa及/或Wp)可約為10奈米而幹區的寬度Ws可約為5奈米。於又一範例中,於一實施例中,鰭部的寬度(Wa及/或Wp)可約為6奈米,而幹區的寬度Ws可約為3奈米。於一實施例中,降低寬度Ws約50%可提供Ioff之約10倍的減少情形。 The fin portion 202 includes a passive region 204, a stem region 206, and a passive region 208. A channel of the semiconductor device 200 may be formed in the active region 204. The dry zone 206 as shown may have a width Ws that is less than the width Wa of the active zone 204 and/or the width Wp of the inactive zone 208. The width Wp can be substantially equal to the width Wa. The width Ws may be about 1-99% of the width Wa and/or the width Wp. In one embodiment, the width Ws is about 40-60% of the width Wa and/or the width Wp. In another embodiment, the width of the fins (Wa and/or Wp) may be about 10 nanometers and the width of the dry region Ws may be about 5 nanometers. In yet another example, in one embodiment, the width (Wa and/or Wp) of the fins may be about 6 nanometers, and the width Ws of the dry regions may be about 3 nanometers. In one embodiment, reducing the width Ws by about 50% provides a reduction of about 10 times Ioff.

圖示之閘極結構(及其部份)係位於鰭部202上並環繞之。閘極結構210可包括一閘極介電層210a與一閘極電極210b。然而,可存在有數個其他之膜層。於鄰近閘極結構210處形成有源極/汲極區212。於一實施例中,源極/汲極區212為一磊晶成長半導體。如淺溝槽隔離(STI)元件之一隔離元件214係形成於鄰近鰭部之處。 The illustrated gate structure (and portions thereof) is located on the fin 202 and surrounds it. The gate structure 210 can include a gate dielectric layer 210a and a gate electrode 210b. However, there may be several other layers of film. A source/drain region 212 is formed adjacent the gate structure 210. In one embodiment, the source/drain region 212 is an epitaxial growth semiconductor. An isolation element 214, such as one of shallow trench isolation (STI) elements, is formed adjacent the fin.

值得注意的是,於一實施例中,半導體裝置200並非形成於一絕緣層上覆矽(SOI)基板上。舉例來說,半導體裝 置200可形成於一主體(例如半導體)基板上。於其他實施例中,半導體裝置200係形成於一絕緣層上覆矽(SOI)基板上。 It should be noted that in an embodiment, the semiconductor device 200 is not formed on an insulating layer overlying SOI substrate. For example, semiconductor equipment The spacer 200 can be formed on a body (eg, a semiconductor) substrate. In other embodiments, the semiconductor device 200 is formed on an insulating layer overlying SOI substrate.

值得注意的是,如第2、3圖所示之幹區204僅作為示範之用而非用於限制本發明之範疇。舉例來說,依據本發明其他實施例將於下文中討論,且包括具有減少寬度之鰭部材料之氧化形態的一幹區或大體相似於裝置之主動區及/或非主動區之寬度之一寬度的一幹區。 It is to be noted that the dry zone 204 as shown in Figures 2 and 3 is for illustrative purposes only and is not intended to limit the scope of the invention. For example, other embodiments in accordance with the present invention will be discussed below, and include a dry zone having a reduced width fin material or a width substantially similar to the width of the active and/or inactive regions of the device. A dry area of width.

如前所述,相較於具有固定寬度之鰭部及/或沒有幹區之一傳統鰭型場效電晶體裝置,半導體裝置200可具有表現上的改善。舉例來說,於一實施例中,一幹區的形成具有前述之降低Ioff的功效。而於另一範例中,於一實施例內,一幹區的形成改善了飽和次臨界電壓斜率(SSat)。於又一範例中,於一實施例內,一幹部的形成則改善汲極引致能障下降(DIBL)。 As previously mentioned, the semiconductor device 200 can have an improvement in performance compared to a conventional fin field effect transistor device having a fixed width fin and/or no dry region. For example, in one embodiment, the formation of a dry zone has the aforementioned effect of reducing Ioff. In another example, in one embodiment, the formation of a dry region improves the saturated sub-threshold voltage slope (SSat). In yet another example, in one embodiment, the formation of a stem improves the drain induced barrier (DIBL).

請參照第4圖,顯示了一種鰭型場效電晶體裝置(FinFET device)之製造方法400。此製造方法400可為製造方法100之一實施例,且可大體相似如所前討論之製造方法100及/或半導體裝置200,其對應於第1、2與3圖之情形。第5、6、7、8、9圖顯示了一種鰭型場效電晶體(同樣為沿a-a平面)之一示範實施例之對應於製造方法400之一或多個步驟之剖面圖。 Referring to FIG. 4, a method 400 of fabricating a fin field effect transistor device (FinFET device) is shown. This fabrication method 400 can be an embodiment of the fabrication method 100 and can be substantially similar to the fabrication method 100 and/or semiconductor device 200 discussed above, which corresponds to the context of Figures 1, 2, and 3. Figures 5, 6, 7, 8, and 9 show cross-sectional views of one or more steps of an exemplary embodiment of a fin field effect transistor (also along the a-a plane) corresponding to fabrication method 400.

製造方法400起使於步驟402,提供具有至少一磊晶層(epitaxial layer)之一基板。此基板可大體相似參照第1、2及/或3圖之上述情形。此基板可包括數個膜層,例如為具有一或多個磊晶層形成於其上之一主體層(bulk layer)。於一實施例 中,主體層與磊晶層具有不同之組成物。舉例來說,於一實施例中,基板包括具有一矽鍺層(SiGe layer)(例如經磊晶成長或其他沈積所形成)沈積於其上之一矽主體基板(silicon bulk substrate)。如一矽層之另一半導體層可形成於矽鍺層上(例如經磊晶成長或其他沈積所形成)。然而,本發明並非僅限於矽/矽鍺之組合情形。舉例來說,半導體材料之任何組合情形皆可形成於多膜層基板中。於一實施例中,半導體材料包括III-V族材料。半導體材料之範例包括了鍺(Ge)、矽鍺(SiGe)、碳化矽鍺(SiGeC)、碳化矽(SiC)、矽(Si)及/或其他適當材料。如下所示,選擇半導體材料的標準可包括所使用材料之間於氧化率及/或蝕刻率存在有差異性(例如於鰭部之主動區及/或非主動區之蝕刻率/氧化率與於鰭部之幹區之蝕刻/氧化率之間的差異性)。 Manufacturing method 400 proceeds to step 402 by providing a substrate having at least one epitaxial layer. This substrate can be substantially similar to the above-described case of Figures 1, 2 and/or 3. The substrate may comprise a plurality of film layers, such as a bulk layer having one or more epitaxial layers formed thereon. In an embodiment The main layer and the epitaxial layer have different compositions. For example, in one embodiment, the substrate includes a silicon bulk substrate having a SiGe layer (eg, formed by epitaxial growth or other deposition) deposited thereon. Another semiconductor layer, such as a layer of germanium, may be formed on the germanium layer (eg, formed by epitaxial growth or other deposition). However, the present invention is not limited to the combination of 矽/矽锗. For example, any combination of semiconductor materials can be formed in a multi-film substrate. In one embodiment, the semiconductor material comprises a III-V material. Examples of semiconductor materials include germanium (Ge), germanium (SiGe), germanium carbide (SiGeC), tantalum carbide (SiC), germanium (Si), and/or other suitable materials. As shown below, the criteria for selecting a semiconductor material can include differences in oxidation rate and/or etch rate between the materials used (eg, etch rate/oxidation rate in the active and/or inactive regions of the fin). The difference between the etching/oxidation rate of the dry region of the fin).

請參照第5圖所示之範例,首先提供一基板502。基板502為一多膜層基板(multilayer substrate)。此基板502包括一主體層(bulk layer)504、一第一層506與一第二層508。可藉由一磊晶成長製程以形成一個或/多個之第一層506及/或508。第一層506可稱為一幹區形成層(stem-region forming layer)。第一層506可具有不同於主體層504及/或第二層508之一組成物。第一層504之氧化率及/或蝕刻率可不同於第二層508及/或主體層504之氧化率及/或蝕刻率。於一實施例中,第一層506包括了相較於主體層504及/或第二層508之組成物具有增加之蝕刻率及/或氧化率之一組成物。於一實施例中,主體層504與第二層508包括大體相似之組成物。於一實施例中,主體層504 為矽、第二層508為矽、而第一層506為矽鍺(SiGe)。於另一實施例中,主體層504及/或第二層可經過適當摻雜(例如為P型矽)。 Referring to the example shown in FIG. 5, a substrate 502 is first provided. The substrate 502 is a multi-layer substrate. The substrate 502 includes a bulk layer 504, a first layer 506 and a second layer 508. The first layer 506 and/or 508 may be formed by an epitaxial growth process. The first layer 506 can be referred to as a stem-region forming layer. The first layer 506 can have a composition that is different from one of the body layer 504 and/or the second layer 508. The oxidation rate and/or etch rate of the first layer 504 can be different than the oxidation rate and/or etch rate of the second layer 508 and/or the body layer 504. In one embodiment, the first layer 506 includes a composition having an increased etch rate and/or oxidation rate compared to the composition of the body layer 504 and/or the second layer 508. In one embodiment, body layer 504 and second layer 508 comprise substantially similar compositions. In an embodiment, the body layer 504 For 矽, the second layer 508 is 矽 and the first layer 506 is 矽锗 (SiGe). In another embodiment, the body layer 504 and/or the second layer may be suitably doped (eg, P-type germanium).

製造方法400接著進行步驟404,於基板上形成一或多個鰭部(fin)。此些鰭部可大體相似參照第1、2及/或3圖之上述情形而形成。此些鰭部可分別為一多膜層鰭部(例如包括數個膜層及/或組成物)。請參照如第6圖所示之一範例,鰭部602係形成於基板502內。鰭部602包括了主體層504、第一層506與第二層508。鰭狀物602亦可包括經定義之主動區604、幹區(stem region)606與非主動區608。此些區域將於下述步驟中詳細討論之。 Manufacturing method 400 then proceeds to step 404 to form one or more fins on the substrate. Such fins can be formed substantially similarly to the above described examples of Figures 1, 2 and/or 3. The fins may each be a multi-layered fin (eg, including a plurality of layers and/or compositions). Referring to an example as shown in FIG. 6, the fins 602 are formed in the substrate 502. The fin 602 includes a body layer 504, a first layer 506, and a second layer 508. The fin 602 can also include a defined active region 604, a stem region 606, and an inactive region 608. These areas will be discussed in detail in the steps below.

製造方法400接著進行步驟406,形成鄰近且介於此些鰭結構之間之一隔離區。此隔離區可大體相似參照第1、2及/或3圖之上述情形而形成。此隔離區可包括淺溝槽隔離(STI)元件。於一實施例中,隔離區包括如二氧化矽之介電材料。於一實施例中,步驟406可早於步驟404之前實施。請參照第7圖所示範例,於基板502上鄰近於鰭部602之處設置一隔離元件214。於一實施例中,隔離元件214具有大體與幹區606之一表面共平面之一頂面(top surface)。於一實施例中,隔離元件214具有與幹區606之一表面非共平面(例如位於其下或低於其)之一頂面。 Manufacturing method 400 then proceeds to step 406 to form an isolation region adjacent and between the fin structures. This isolation region can be formed substantially similarly to the above described examples of Figures 1, 2 and/or 3. This isolation region can include shallow trench isolation (STI) elements. In one embodiment, the isolation region comprises a dielectric material such as hafnium oxide. In an embodiment, step 406 can be implemented prior to step 404. Referring to the example shown in FIG. 7, an isolation element 214 is disposed on the substrate 502 adjacent to the fin 602. In one embodiment, the spacer element 214 has a top surface that is substantially coplanar with one of the surfaces of the dry region 606. In one embodiment, the isolation element 214 has a top surface that is non-coplanar with one surface of the dry region 606 (eg, below or below it).

製造方法400接著進行步驟408,於鰭部內形成一幹區。於一實施例中。幹區係藉由蝕刻鰭部之一幹區形成區所形成。於一實施例中,可採用反應離子蝕刻、濕蝕刻、乾蝕刻、 及/或適當蝕刻製程蝕刻此幹區形成區。上述蝕刻可於幹區之鰭狀物處形成一減少寬度(鰭部之寬度可對應於定義閘極長度之鰭部之主動區之尺寸)。上述蝕刻可提供鰭部之一幹區具有少於主動區之寬度及/或下方非主動區之寬度之一寬度。請參照第8圖所示範例,鰭部之幹區606係經過蝕刻(即蝕刻第一層506)而使得寬度Ws減少。於一實施例中,此寬度Ws可約為寬度Wa及/或寬度Wp之1-99%。於一實施例中,寬度Ws約為寬度Wa及/或寬度Wp的40-60%。 Manufacturing method 400 then proceeds to step 408 to form a dry zone within the fin. In an embodiment. The dry zone is formed by etching a dry zone forming region of the fin. In an embodiment, reactive ion etching, wet etching, dry etching, or the like may be employed. And/or a suitable etching process etches the dry region forming region. The etch can form a reduced width at the fin of the dry region (the width of the fin can correspond to the size of the active region of the fin defining the length of the gate). The etching may provide that one of the fin regions has a width that is less than a width of the active region and/or a width of the lower inactive region. Referring to the example shown in FIG. 8, the dry region 606 of the fin is etched (ie, the first layer 506 is etched) such that the width Ws is reduced. In one embodiment, the width Ws can be about 1-99% of the width Wa and/or the width Wp. In one embodiment, the width Ws is about 40-60% of the width Wa and/or the width Wp.

製造方法400接著進行步驟410,其中閘極結構係形成於鰭部之主動區上。鰭部之主動區覆蓋了於步驟408內所提供的之鰭部之幹區。此閘極結構可大體相似參照第1、2及3圖之上述情形。於一實施例中,閘極結構包括了一閘極介電層與一閘極電極層。請參照第9圖範例,閘極結構210係設置於鰭部604之主動區上。閘極結構210包括一閘極介電層210b與一閘極電極層210a。 Manufacturing method 400 then proceeds to step 410 where a gate structure is formed on the active region of the fin. The active area of the fin covers the dry area of the fin provided in step 408. This gate structure can be substantially similar to the above described with reference to Figures 1, 2 and 3. In one embodiment, the gate structure includes a gate dielectric layer and a gate electrode layer. Referring to the example of FIG. 9, the gate structure 210 is disposed on the active area of the fin 604. The gate structure 210 includes a gate dielectric layer 210b and a gate electrode layer 210a.

於一實施例中,步驟410包括採用閘極最後或置換閘極方法之以形成一金屬閘極結構。於一實施例中,早於鰭部之幹區(例如藉由步驟408之蝕刻)形成前,於鰭部上形成一假閘極(例如多晶矽)結構。接著移除此假閘極結構之一部,而留下之環繞之間隔物與介電材料(例如層間介電層)之材料定義出可用於形成置換閘極之一溝槽。假閘極(例如多晶矽)的移除露出了下方之鰭部結構。可參照步驟408而接著蝕刻露出之鰭部以形成前述之幹區。如此提供了用於蝕刻鰭狀物之幹區的一自對準蝕刻。 In one embodiment, step 410 includes using a gate last or replacement gate method to form a metal gate structure. In one embodiment, a dummy gate (eg, polysilicon) structure is formed on the fins prior to formation of the dry regions of the fins (eg, by etching at step 408). A portion of the dummy gate structure is then removed, leaving the surrounding spacer and the material of the dielectric material (eg, the interlayer dielectric layer) defining a trench that can be used to form the replacement gate. The removal of the dummy gate (e.g., polysilicon) reveals the underlying fin structure. Referring to step 408, the exposed fins are then etched to form the aforementioned dry regions. A self-aligned etch for etching the dry regions of the fins is thus provided.

請參照第10圖,顯示了一種鰭型場效電晶體裝置(FinFET device)之製造方法1000。此製造方法1000可為製造方法100之一實施例,且可大體相似如所前討論之製造方法100及/或半導體裝置200,其對應於第1、2與3圖之情形。第5、6、7、8、11與12圖顯示了一種鰭型場效電晶體(同樣為沿第2圖內a-a平面之剖面圖)之一示範實施例之對應於製造方法1000之一或多個步驟之剖面圖。 Referring to Figure 10, a method 1000 of fabricating a fin field effect transistor device (FinFET device) is shown. The fabrication method 1000 can be an embodiment of the fabrication method 100 and can be substantially similar to the fabrication method 100 and/or semiconductor device 200 discussed above, which corresponds to the context of Figures 1, 2, and 3. Figures 5, 6, 7, 8, 11 and 12 show one of the exemplary embodiments of a fin field effect transistor (also a cross-sectional view along the aa plane in Figure 2) corresponding to one of the fabrication methods 1000 or A cross-sectional view of multiple steps.

製造方法1000起使於步驟1002,提供具有數個(例如兩個)磊晶層(epitaxial layer)形成於其上之一主體半導體基板。步驟1002可大體相似大體相似參照第14圖之上述步驟402之情形。第5圖繪示了一示範實施例,且其如前所述一樣。製造方法1000接著進行步驟1004,形成延伸自基板之一鰭部或數個鰭部。鰭部包括一或數個磊晶層以及主體半導體材料。步驟1004可大體相似參照第4圖之上述步驟404之情形。第6圖顯示了一示範實施例並如前所述。製造方法1000接著進行步驟1006,於鄰近及/或介於鰭結構之間形成一隔離區。步驟1006可大體相似參照第4圖之上述步驟406之情形。第7圖顯示了一示範實施例並如前所述。 Manufacturing method 1000, in step 1002, provides a host semiconductor substrate having a plurality of (eg, two) epitaxial layers formed thereon. Step 1002 can be substantially similar to that of the above-described step 402 of Figure 14 in general similarity. Figure 5 depicts an exemplary embodiment and is as previously described. Manufacturing method 1000 then proceeds to step 1004 to form a fin or fins extending from one of the substrates. The fins include one or several epitaxial layers and a host semiconductor material. Step 1004 can be substantially similar to the above-described step 404 of FIG. Figure 6 shows an exemplary embodiment and is as previously described. Manufacturing method 1000 then proceeds to step 1006 to form an isolation region adjacent and/or between the fin structures. Step 1006 can be substantially similar to the above-described step 406 of FIG. Figure 7 shows an exemplary embodiment and is as previously described.

製造方法1000接著進行步驟1008,於鰭部內形成一幹區。步驟1008可大體相似參照第4圖之上述步驟408之情形。第8圖繪示了一示範實施例並如前所述。於一實施例中,幹區係藉由參照第8圖所示之上述情形之減少鰭部之一幹區形成區之一寬度而形成。 Manufacturing method 1000 then proceeds to step 1008 to form a dry zone within the fin. Step 1008 can be substantially similar to the above-described step 408 of FIG. Figure 8 depicts an exemplary embodiment and is as previously described. In one embodiment, the dry zone is formed by reducing the width of one of the dry zone forming regions of the fin by referring to the above-described situation illustrated in FIG.

製造方法1000接著進行步驟1010,以氧化幹區。 於一實施例中,於參照前述步驟1008之上述幹區蝕刻製程之後氧化幹區。於另一實施例中,可早於蝕刻之前或於蝕刻同時氧化幹區。於一實施例中,幹區包括了可氧化成矽鍺氧(SiGeO)之矽鍺。然而,其亦可包括其他組成物,例如二氧化矽、SiGeCO、SiCO、GeO、及/或其他適當氧化物(oxides)。 Manufacturing method 1000 then proceeds to step 1010 to oxidize the dry zone. In one embodiment, the dry region is oxidized after referring to the above-described dry region etching process of the above step 1008. In another embodiment, the dry region can be oxidized prior to or prior to etching. In one embodiment, the dry zone includes a ruthenium that can be oxidized to helium oxygen (SiGeO). However, it may also include other compositions such as cerium oxide, SiGeCO, SiCO, GeO, and/or other suitable oxides.

於一實施例中,係部分氧化幹區。第11圖繪示了具有部分氧化一幹區606所形成氧化區(oxidized region)1104之一鰭部1102之一實施例。氧化區1104並非延伸穿過幹區606。幹區606可具有一寬度Ws,大體相似於前述情形。於一實施例中,氧化區1104為SiGeO。然而,其亦可包括其他組成物,例如二氧化矽、SiGeCO、SiCO、GeO2、及/或其他適當氧化物(oxides)。 In one embodiment, the dry zone is partially oxidized. FIG. 11 illustrates an embodiment of one of the fins 1102 having an oxidized region 1104 formed by a partial oxidation-dry region 606. Oxidation zone 1104 does not extend through dry zone 606. The dry zone 606 can have a width Ws that is generally similar to the foregoing. In one embodiment, the oxidized region 1104 is SiGeO. However, it may also include other compositions such as cerium oxide, SiGeCO, SiCO, GeO 2 , and/or other suitable oxides.

於另一實施例中,則完全地氧化此幹區。第12圖繪示了具有大體完全氧化之一幹區606之一鰭部1202之一實施例。氧化區1204延伸通過了幹區606。幹區606可具有一寬度Ws,大體相似於前述情形。於一實施例中,氧化區1204係為SiGeO。然而,,其亦可包括其他組成物,例如二氧化矽、SiGeCO、SiCO、GeO2、及/或其他適當氧化物(oxides)。 In another embodiment, the dry zone is completely oxidized. Figure 12 depicts an embodiment of one of the fins 1202 having one of the substantially dry regions 606. Oxidation zone 1204 extends through dry zone 606. The dry zone 606 can have a width Ws that is generally similar to the foregoing. In one embodiment, the oxidized region 1204 is SiGeO. However, it may also include other compositions such as cerium oxide, SiGeCO, SiCO, GeO 2 , and/or other suitable oxides.

製造方法1000接著進行步驟1012,形成一閘極結構於鰭部之主動區上。步驟1012可大體相似參照第4圖之步驟410之上述情形。鰭部之主動區覆蓋了由步驟1008與1010所提供之鰭部之幹區。請參照第13圖所示之範例,閘極結構210係設置於鰭部1102之主動區上。閘極結構210包括了一閘極介電層210b與一閘極電極層210a。值得注意的是,第13圖繪示了形 成於鰭部1102上之一閘極結構。於其他實施例中,可於鰭部1202上形成包括了完全氧化之幹區606之大體相似之一閘極結構。 Manufacturing method 1000 then proceeds to step 1012 to form a gate structure on the active region of the fin. Step 1012 can be substantially similar to the above-described scenario of step 410 of FIG. The active area of the fin covers the dry area of the fin provided by steps 1008 and 1010. Referring to the example shown in FIG. 13, the gate structure 210 is disposed on the active area of the fin 1102. The gate structure 210 includes a gate dielectric layer 210b and a gate electrode layer 210a. It is worth noting that Figure 13 shows the shape A gate structure is formed on the fin 1102. In other embodiments, a substantially similar gate structure including a fully oxidized dry region 606 can be formed on the fin 1202.

於一實施例中,步驟1012包括了閘極最後或置換閘極技術一部中之形成一金屬閘極。於一實施例中,早於形成或處理鰭部之幹區(例如於步驟1008及/或1010中之蝕刻及/或氧化)之前,形成一假閘極(例如多晶矽)結構於鰭部上。接著移除假閘極結構之一部,而於基板上留下如間隔物與介電材料(例如層間介電層)並定義出用於形成置換閘極之一溝槽。假閘極結構的移除露出了鰭結構。接著參照前述之步驟1008及/或1010蝕刻及/或氧化露出之鰭結構,以形成幹區。如此提供了鰭部之幹區的一自對準製程,例如蝕刻。 In one embodiment, step 1012 includes forming a metal gate in the gate last or replacement gate technique. In one embodiment, a dummy gate (eg, polysilicon) structure is formed on the fins prior to forming or processing the dry regions of the fins (eg, etching and/or oxidation in steps 1008 and/or 1010). A portion of the dummy gate structure is then removed leaving a spacer and dielectric material (eg, an interlayer dielectric layer) on the substrate and defining a trench for forming a replacement gate. The removal of the dummy gate structure reveals the fin structure. The exposed fin structure is then etched and/or oxidized by reference to steps 1008 and/or 1010 described above to form a dry region. This provides a self-aligned process of the dry regions of the fins, such as etching.

於前述製造方法1000所討論以及如第7、8、9、11、12所示之一實施例中,形成於數個鰭部之間之隔離區的頂面大體與幹區之一底面共平面。然而,亦可能包括下述之其他型態。 In an embodiment as described in the foregoing manufacturing method 1000 and as shown in the seventh, eighth, ninth, eleventh, and twelfth embodiments, the top surface of the isolation region formed between the plurality of fins is substantially coplanar with one of the bottom surfaces of the dry region. . However, other types as described below may also be included.

舉例來說,於製造方法1000之步驟1006之其他實施例中,形成了一隔離區。此隔離區可形成並鄰近於鰭部,使得隔離結構具有坐落於鰭部之幹區之底面之平面上之一頂面。第14圖為一範例並繪示了具有坐落於第一磊晶層506以及對應之幹區606之底面上之隔離元件1402之一頂面。隔離元件1402可大體相似參照前述第1、2及/或3圖之情形。此隔離區可包括淺溝槽隔離(STI)結構。於一實施例中,此隔離區包括了如二氧化矽之介電材料。 For example, in other embodiments of step 1006 of fabrication method 1000, an isolation region is formed. The isolation region can be formed and adjacent to the fin such that the isolation structure has a top surface that lies on a plane of the bottom surface of the dry region of the fin. FIG. 14 is an example and illustrates a top surface of an isolation element 1402 having a bottom surface that is located on a first epitaxial layer 506 and a corresponding dry region 606. The spacer element 1402 can be substantially similar to the above-described first, second, and/or third figures. This isolation region can include a shallow trench isolation (STI) structure. In one embodiment, the isolation region comprises a dielectric material such as hafnium oxide.

於如此之實施例中,製造方法1000接著進行步驟 1008,形成一幹區於鰭部內。步驟1008可大體相似參照第4圖之步驟408之前述情形。然而,當隔離區覆蓋鰭狀物之幹區之側壁之一部時,幹區之一部並不會受到蝕刻。第15圖繪示了第一磊晶層506經過蝕刻以形成一幹區1502。幹區1502包括具有一寬度Ws之一第一部以及具有一寬度Ws2之一第二部,寬度Ws2可大體相似於寬度Wp。於一實施例中,幹區1502可大體位於第二層508之中央之下。 In such an embodiment, the manufacturing method 1000 then proceeds to the steps 1008, forming a dry zone in the fin. Step 1008 can generally be similar to the foregoing scenario of step 408 of FIG. However, when the isolation region covers one of the sidewalls of the dry region of the fin, one of the dry regions is not etched. FIG. 15 illustrates that the first epitaxial layer 506 is etched to form a dry region 1502. The dry zone 1502 includes a first portion having a width Ws and a second portion having a width Ws2 that may be substantially similar to the width Wp. In an embodiment, the dry zone 1502 can be generally below the center of the second layer 508.

於一實施例中,製造方法1000接著進行步驟1010,氧化幹區(具有經蝕刻露出之一第一部以及位於隔離結構之頂面下之一第二部)。大體相似於參照步驟1010之前述情形,於一實施例中,幹區包括了可氧化成為矽鍺氧(SiGeO)之矽鍺。然而,其亦可包括其他組成物,例如二氧化矽、SiGeCO、SiCO、GeO2、及/或其他適當氧化物(oxides)。 In one embodiment, the fabrication method 1000 then proceeds to step 1010 to oxidize the dry region (having a first portion exposed through the etch and a second portion under the top surface of the isolation structure). Substantially similar to the foregoing with reference to step 1010, in one embodiment, the dry region includes a ruthenium that can be oxidized to helium oxygen (SiGeO). However, it may also include other compositions such as cerium oxide, SiGeCO, SiCO, GeO2, and/or other suitable oxides.

於一實施例中,幹區係完全地氧化。第16圖顯示了具有完全氧化之一幹區1602之一鰭部之一實施例。此氧化區延伸穿過幹區之寬度。於一實施例中,完全氧化之幹區1602可大體集中於第二層508之中央之下。 In one embodiment, the dry zone is completely oxidized. Figure 16 shows an embodiment of a fin having one of the complete dry regions 1602. This oxidized zone extends through the width of the dry zone. In one embodiment, the fully oxidized dry zone 1602 can be generally concentrated below the center of the second layer 508.

於一實施例中,幹區係為部分氧化。第17圖繪示了具有部分氧化之一幹區1702之一鰭部之一實施例。此部分氧化之幹區1702包括了並不延伸穿過幹區(如剩餘之半導體材料506之一部)之一氧化材料(oxidized material)1704。於一實施例中,氧化材料1704為矽鍺氧(SiGeO),而幹區1702之半導體材料506為矽鍺。然而,其亦可包括其他組成物,例如矽與氧化矽、碳化矽鍺(silicon germanium carbide)與SiGeCO、碳化矽與 SiCO、鍺與氧化鍺(GeO)、及/或其他適當之半導體及其氧化物。值得注意的是,部分氧化之幹區1702可大體集中於第二層508之中央之下。 In one embodiment, the dry zone is partially oxidized. Figure 17 depicts an embodiment of a fin having one of the partially dried regions 1702. This partially oxidized dry region 1702 includes an oxidized material 1704 that does not extend through the dry region (eg, one of the remaining semiconductor materials 506). In one embodiment, the oxidized material 1704 is germanium oxide (SiGeO) and the semiconductor material 506 of the dry region 1702 is germanium. However, it may also include other constituents such as tantalum and niobium oxide, silicon germanium carbide and SiGeCO, tantalum carbide and SiCO, germanium and germanium oxide (GeO), and/or other suitable semiconductors and their oxides. It is noted that the partially oxidized dry zone 1702 can be generally concentrated below the center of the second layer 508.

製造方法1000接著進行步驟1012,形成一閘極結構於鰭部之主動區上。步驟1012可大體相似於前所述。值得注意的是,第13圖顯示了形成於鰭部1102上之一閘極結構。於部分實施例中,於具有如元件1602及/或1702之一幹區之一鰭部上形成有一大體相似閘極結構。 Manufacturing method 1000 then proceeds to step 1012 to form a gate structure on the active region of the fin. Step 1012 can be generally similar to that described above. It is to be noted that Fig. 13 shows a gate structure formed on the fin 1102. In some embodiments, a substantially similar gate structure is formed on a fin having one of the dry regions of elements 1602 and/or 1702.

如第16圖及/或17圖所示之範例之實施例可提供如降低介於閘極結構與基板之間的電容值之功效。 An exemplary embodiment as shown in Figures 16 and/or 17 can provide the effect of reducing the capacitance value between the gate structure and the substrate.

第11-17圖顯示了可採用參照第10圖之前述製造方法1000所形成之一鰭型場效電晶體裝置或其數個部分之數個實施例。然而,此些實施例並非用以限制本發明,且亦可形成其他型態之鰭型場效電晶體。舉例來說,幹區之不同型態包括了可能形成之被氧化之幹區與鰭部之部份、氧化之數量或相似物。第18-25圖為數個範例,但並非用以限定本發明。第18-25圖之裝置可採用製造方法1000之一或多個步驟所形成。第18a、19a、20a、21a、22a、23a、24a、與25a係為一剖面圖(如沿第2圖內之線段a-a)。第18a、19a、20a、21a、22a、23a、24a、與25a係為一剖面圖(如沿第2圖內之線段b-b)。換句話說,其即為自源極至汲極之鰭部的剖面圖。 Figures 11-17 illustrate several embodiments of a fin field effect transistor device or portions thereof that may be formed using the aforementioned fabrication method 1000 of Figure 10. However, these embodiments are not intended to limit the invention, and may also form other types of fin field effect transistors. For example, different types of dry zones include portions of the dried regions and fins that may be formed, the amount of oxidation, or the like. Figures 18-25 are a few examples, but are not intended to limit the invention. The apparatus of Figures 18-25 can be formed using one or more of the manufacturing methods 1000. The 18a, 19a, 20a, 21a, 22a, 23a, 24a, and 25a are a cross-sectional view (e.g., along the line a-a in Fig. 2). The 18a, 19a, 20a, 21a, 22a, 23a, 24a, and 25a are a cross-sectional view (e.g., along the line b-b in Fig. 2). In other words, it is a cross-sectional view of the fin from the source to the drain.

請參照第18a與18b圖,顯示了一鰭型場效電晶體(FinFET)1800。此鰭型場效電晶體1800包括具有一非主動區(passive region)608與一主動區(active region)604之一基板 502。鰭部(fin)之一幹區(stem region)1802係設置於非主動區608與主動區604之間。於鄰近鰭部處設置有數個隔離元件1402。此些隔離元件1402包括了低於幹區1802之頂面之一頂面。閘極結構210係設置於鰭部之主動區604之上。閘極結構210包括一介面層(interface layer)210c、一閘極介電層210a、與一閘極電極層210b。於一實施例中,閘極電極層210b為一金屬閘極電極。於一實施例中,閘極介電層210a為高介電常數(high-k)介電材料。中間層210c可包括如氧化矽及或其他適當材料之一介電材料。於閘極結構210之側壁上設置有間隔物元件(spacer element)1808。於一實施例中,此些間隔物1808係為如氮化矽、二氧化矽、氮氧化矽及/或其組合之介電材料。此些間隔物1808可藉由如包括了磊晶與異質磊晶之沉積以及包括濕蝕刻製程及/或乾蝕刻製程蝕刻之習知傳統製程所形成。間隔物材料可藉由物理氣象沉積(濺鍍)(PVD(sputtering))、化學氣相沉積(CVD)、電漿加強型化學氣相沈積(PECVD)、大氣壓化學氣相沈積(APCVD)、低壓化學氣相沈積(LPCVD)、高密度電漿化學氣相沈積(HDPCVD)、原子層沈積(ALD)、及/或其他已知製程所沈積。 Please refer to Figures 18a and 18b for a fin field effect transistor (FinFET) 1800. The fin field effect transistor 1800 includes a substrate having a passive region 608 and an active region 604. 502. A stem region 1802 of the fin is disposed between the inactive region 608 and the active region 604. A plurality of isolation elements 1402 are disposed adjacent the fins. The spacer elements 1402 include a top surface that is lower than the top surface of the dry region 1802. The gate structure 210 is disposed over the active region 604 of the fin. The gate structure 210 includes an interface layer 210c, a gate dielectric layer 210a, and a gate electrode layer 210b. In one embodiment, the gate electrode layer 210b is a metal gate electrode. In one embodiment, the gate dielectric layer 210a is a high-k dielectric material. The intermediate layer 210c may comprise a dielectric material such as yttria and or other suitable material. A spacer element 1808 is disposed on a sidewall of the gate structure 210. In one embodiment, the spacers 1808 are dielectric materials such as tantalum nitride, hafnium oxide, hafnium oxynitride, and/or combinations thereof. Such spacers 1808 can be formed by conventional conventional processes including deposition of epitaxial and heteroepitaxial epitaxy and etching including wet etching processes and/or dry etching processes. The spacer material can be separated by physical weather deposition (PVD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atmospheric pressure chemical vapor deposition (APCVD), low pressure. Chemical vapor deposition (LPCVD), high density plasma chemical vapor deposition (HDPCVD), atomic layer deposition (ALD), and/or other known processes are deposited.

於基板上沈積有一介電層1810,其亦稱為一層間介電層(ILD)。此層間介電層1810可包括如四乙基矽氧烷氧化物(TEOS oxide)、未摻雜矽玻璃(un-doped silicon glass)、或如硼磷矽玻璃(BPSG)、熔融矽玻璃(FSG)、磷矽玻璃(PSG)、硼矽玻璃(BSG)之摻雜氧化矽(doped silicon oxide)、及/或其他已知材料。層間介電層可藉由一電漿加強型化學氣相沈積(PECVD) 製程或其他之已知技術所形成。 A dielectric layer 1810, also referred to as an interlayer dielectric layer (ILD), is deposited over the substrate. The interlayer dielectric layer 1810 may include, for example, TEOS oxide, un-doped silicon glass, or such as borophosphoquinone glass (BPSG), fused glass (FSG). ), phosphonium glass (PSG), doped silicon oxide of borax glass (BSG), and/or other known materials. The interlayer dielectric layer can be cured by a plasma enhanced chemical vapor deposition (PECVD) Processes or other known techniques are formed.

一源極/汲極區212則係設置於鄰近閘極結構處。源極/汲極區212可大體相似參照第1、2及/或3圖之前述實施情形。 A source/drain region 212 is disposed adjacent to the gate structure. The source/drain regions 212 can be substantially similar to the previous implementations of Figures 1, 2, and/or 3.

鰭部之幹區1802包括一氧化部1804與一半導體材料部1806。於一實施例中,氧化部1804係大體相似於製造方法1000之步驟1010所形成。(值得注意的是,幹區1802具有大體相似於非主動區608及/或主動區604之寬度之一寬度)。氧化部1804具有約為5-30奈米之一厚度t1。氧化部1804延伸,使得其位於源極/汲極區212之下。半導體材料部1806可為鰭部之未氧化之一部(例如氧化部1804之部分可為半導體材料部1806之組成物之氧化物)。於一實施例中,氧化部1804為矽鍺氧(SiGeOx),而半導體材料部1806為矽鍺。然而,亦可能為其他之半導體材料及其氧化物之組合情形。 The dry region 1802 of the fin includes an oxidized portion 1804 and a semiconductor material portion 1806. In one embodiment, the oxidized portion 1804 is formed substantially similar to the step 1010 of the fabrication method 1000. (It is worth noting that the dry zone 1802 has a width that is substantially similar to the width of the inactive zone 608 and/or the active zone 604). The oxidized portion 1804 has a thickness t1 of about 5-30 nm. The oxidized portion 1804 extends such that it is below the source/drain region 212. The semiconductor material portion 1806 can be one of the unoxidized portions of the fin portion (eg, the portion of the oxidized portion 1804 can be an oxide of the composition of the semiconductor material portion 1806). In one embodiment, the oxidized portion 1804 is germanium oxide (SiGeOx) and the semiconductor material portion 1806 is germanium. However, it is also possible to combine other semiconductor materials and their oxides.

請參照第19a圖與第19b圖,顯示了可採用本發明之前述一或多個製造方法所形成之一鰭型場效電晶體裝置1900之另一實施例。此鰭型場效電晶體裝置1900包括具有一非主動區608與一主動區604之一基板502。鰭部之一幹區1902係設置於非主動區608與主動區604之間。隔離元件1402、閘極結構210、間隔物元件1808、層間介電層1810及源極/汲極區212可大體相似參照第18a與18b圖之前述實施情形。 Referring to Figures 19a and 19b, another embodiment of a fin field effect transistor device 1900 that can be formed using one or more of the foregoing methods of fabrication of the present invention is shown. The fin field effect transistor device 1900 includes a substrate 502 having an inactive region 608 and an active region 604. A dry region 1902 of the fin is disposed between the inactive region 608 and the active region 604. The isolation element 1402, the gate structure 210, the spacer element 1808, the interlayer dielectric layer 1810, and the source/drain regions 212 can be substantially similar to the foregoing implementations of Figures 18a and 18b.

鰭部之幹區1902包括一氧化部1904與一半導體材料部1906。於一實施例中,氧化部1904係大體相似於製造方法1000之步驟1010所形成。氧化部1904包括具有一寬度Ws之一第一部1904a以及具有較大之一寬度Ws2之一第二部1904b。寬度 Ws可大體相似於前述之實施情形。Ws2可大體相似參照第2圖與第3圖之前述Wa及/或Wp之實施情形。氧化部1904具有約為5-30奈米之一厚度t1。氧化部1904延伸,使得其位於源極/汲極區212之下。半導體材料部1906可為鰭部之未氧化之一部(例如氧化部1904之部分可為半導體材料部1906之組成物之氧化物)。於一實施例中,氧化部1904為矽鍺氧(SiGeOx),而半導體材料部1906為矽鍺。值得注意的是,一層半導體材料係位於源極/汲極區212(例如主動區604)之下方。於一實施例中,位於源極/汲極區下方之半導體材料之厚度t2約介於5-10奈米。於一實施例中,位於源極/汲極區下方之半導體材料係為矽。 The dry region 1902 of the fin includes an oxidized portion 1904 and a semiconductor material portion 1906. In one embodiment, the oxidized portion 1904 is formed substantially similar to the step 1010 of the fabrication method 1000. The oxidized portion 1904 includes a first portion 1904a having a width Ws and a second portion 1904b having a larger one width Ws2. width Ws can be substantially similar to the aforementioned implementation. Ws2 can be substantially similar to the implementation of Wa and/or Wp described above in Figures 2 and 3. The oxidized portion 1904 has a thickness t1 of about 5-30 nm. The oxidized portion 1904 extends such that it is below the source/drain region 212. The semiconductor material portion 1906 can be one of the unoxidized portions of the fin (eg, the portion of the oxidized portion 1904 can be an oxide of the composition of the semiconductor material portion 1906). In one embodiment, the oxidized portion 1904 is germanium oxide (SiGeOx) and the semiconductor material portion 1906 is germanium. It is worth noting that a layer of semiconductor material is located below the source/drain region 212 (e.g., active region 604). In one embodiment, the thickness of the semiconductor material under the source/drain regions is about 5-10 nm. In one embodiment, the semiconductor material underlying the source/drain regions is germanium.

請參照第20a圖與第20b圖,顯示了可採用本發明之前述一或多個製造方法所形成之一鰭型場效電晶體裝置2000之另一實施例。此鰭型場效電晶體裝置2000包括具有一非主動區608與一主動區604之一基板502。鰭部之一幹區2002係設置於非主動區608與主動區604之間。隔離元件1402、閘極結構210、間隔物元件1808、層間介電層1810及源極/汲極區212可大體相似參照第18a與18b圖之前述實施情形。 Referring to Figures 20a and 20b, another embodiment of a fin field effect transistor device 2000 formed using one or more of the foregoing methods of fabrication of the present invention is shown. The fin field effect transistor device 2000 includes a substrate 502 having an inactive region 608 and an active region 604. A dry zone 2002 of the fin is disposed between the inactive zone 608 and the active zone 604. The isolation element 1402, the gate structure 210, the spacer element 1808, the interlayer dielectric layer 1810, and the source/drain regions 212 can be substantially similar to the foregoing implementations of Figures 18a and 18b.

鰭部之幹區2002包括一氧化部2004與一半導體材料部2006。於一實施例中,氧化部2004係大體相似於製造方法1000之步驟1010所形成。氧化部2004包括具有大體相似於鰭部之非主動區608及/或主動區604之寬度之一寬度。半導體材料部2006可為鰭部之未氧化之一部(例如氧化部2004之部分可為半導體材料部2006之組成物之氧化物)。於一實施例中,氧化部2004為矽鍺氧(SiGeO),而半導體材料部2006為矽鍺。氧化 部2004具有約為5-30奈米之一厚度t1。氧化部2004延伸,使得其並未位於全部之源極/汲極區212之下。於一實施例中,氧化部2004僅位於源極/汲極區212之一部之下。如此於一通道區下提供了氧化物(例如矽鍺氧)。值得注意的是,一層半導體材料係位於源極/汲極區212(例如主動區604)之下方。於一實施例中,位於源極/汲極區212下方之半導體材料之厚度t2約介於5-10奈米。於一實施例中,位於源極/汲極區下方之半導體材料係為矽。 The dry region 2002 of the fin includes an oxidized portion 2004 and a semiconductor material portion 2006. In one embodiment, the oxidized portion 2004 is substantially similar to the step 1010 of the method of manufacturing 1000. The oxidized portion 2004 includes a width having a width that is substantially similar to the width of the inactive region 608 and/or the active region 604 of the fin. The semiconductor material portion 2006 may be one of the unoxidized portions of the fins (for example, a portion of the oxidized portion 2004 may be an oxide of a composition of the semiconductor material portion 2006). In one embodiment, the oxidized portion 2004 is germanium oxide (SiGeO) and the semiconductor material portion 2006 is germanium. Oxidation The portion 2004 has a thickness t1 of about 5-30 nm. The oxidized portion 2004 extends such that it is not located below all of the source/drain regions 212. In one embodiment, the oxidized portion 2004 is located only below one of the source/drain regions 212. An oxide (e.g., helium oxygen) is provided under a channel region. It is worth noting that a layer of semiconductor material is located below the source/drain region 212 (e.g., active region 604). In one embodiment, the thickness of the semiconductor material under the source/drain regions 212 is about 5-10 nm. In one embodiment, the semiconductor material underlying the source/drain regions is germanium.

請參照第21a圖與第21b圖,顯示了可採用本發明之前述一或多個製造方法所形成之一鰭型場效電晶體裝置2100之另一實施例。此鰭型場效電晶體裝置2100包括具有一非主動區608與一主動區604之一基板502。鰭部之一幹區2102係設置於非主動區608與主動區604之間。隔離元件1402、閘極結構210、間隔物元件1808、層間介電層1810及源極/汲極區212可大體相似參照第18a與18b圖之前述實施情形。 Referring to Figures 21a and 21b, another embodiment of a fin field effect transistor device 2100 formed using one or more of the foregoing fabrication methods of the present invention is shown. The fin field effect transistor device 2100 includes a substrate 502 having an inactive region 608 and an active region 604. A dry region 2102 of the fin is disposed between the inactive region 608 and the active region 604. The isolation element 1402, the gate structure 210, the spacer element 1808, the interlayer dielectric layer 1810, and the source/drain regions 212 can be substantially similar to the foregoing implementations of Figures 18a and 18b.

鰭部之幹區2102包括一氧化部2104與一半導體材料部2106。於一實施例中,氧化部2104係大體相似於製造方法1000之步驟1010所形成。氧化部2104包括具有一寬度Ws之一第一部2104a以及具有較大之一寬度Ws2之一第二部2104b。寬度Ws可大體相似於前述之實施情形。Ws2可大體相似參照第2圖與第3圖之前述Wa及/或Wp之實施情形。具有厚度上差異之幹區2102可藉由參照如第1、4與10圖之前述實施情形中方法所形成。氧化部2104具有約為5-30奈米之一厚度t1。半導體材料部2106可為鰭部之未氧化之一部(例如氧化部2104之部分可為半 導體材料部2106之組成物之氧化物)。於一實施例中,氧化部2104為矽鍺氧(SiGeOx),而半導體材料部2106為矽鍺。氧化部2104延伸,使得其並未位於全部之源極/汲極區212之下。於一實施例中,氧化部2104僅位於源極/汲極區212之一部之下。如此於一通道區下提供了氧化物(例如矽鍺氧)。值得注意的是,一層半導體材料係位於源極/汲極區212(例如主動區604)之下方。於一實施例中,位於源極/汲極區212下方之半導體材料之厚度t2約介於5-10奈米。於一實施例中,位於源極/汲極區下方之半導體材料係為矽。 The dry region 2102 of the fin includes an oxidized portion 2104 and a semiconductor material portion 2106. In one embodiment, the oxidized portion 2104 is formed substantially similar to the step 1010 of the fabrication method 1000. The oxidized portion 2104 includes a first portion 2104a having a width Ws and a second portion 2104b having a larger one width Ws2. The width Ws can be substantially similar to the aforementioned implementation. Ws2 can be substantially similar to the implementation of Wa and/or Wp described above in Figures 2 and 3. The dry zone 2102 having a difference in thickness can be formed by referring to the method of the foregoing embodiment as shown in Figures 1, 4 and 10. The oxidized portion 2104 has a thickness t1 of about 5-30 nm. The semiconductor material portion 2106 may be one of the unoxidized portions of the fin portion (for example, the portion of the oxidized portion 2104 may be a half An oxide of the composition of the conductor material portion 2106). In one embodiment, the oxidized portion 2104 is germanium oxide (SiGeOx) and the semiconductor material portion 2106 is germanium. The oxidized portion 2104 extends such that it is not located below all of the source/drain regions 212. In one embodiment, the oxidized portion 2104 is located only below one of the source/drain regions 212. An oxide (e.g., helium oxygen) is provided under a channel region. It is worth noting that a layer of semiconductor material is located below the source/drain region 212 (e.g., active region 604). In one embodiment, the thickness of the semiconductor material under the source/drain regions 212 is about 5-10 nm. In one embodiment, the semiconductor material underlying the source/drain regions is germanium.

請參照第22a圖與第22b圖,顯示了可採用本發明之前述一或多個製造方法所形成之一鰭型場效電晶體裝置2200之另一實施例。此鰭型場效電晶體裝置2200包括具有一非主動區608與一主動區604之一基板502。鰭部之一幹區2202係設置於非主動區608與主動區604之間。隔離元件1402、閘極結構210、間隔物元件1808、層間介電層1810及源極/汲極區212可大體相似參照第18a與18b圖之前述實施情形。 Referring to Figures 22a and 22b, another embodiment of a fin field effect transistor device 2200 formed using one or more of the foregoing fabrication methods of the present invention is shown. The fin field effect transistor device 2200 includes a substrate 502 having an inactive region 608 and an active region 604. A dry region 2202 of the fin is disposed between the inactive region 608 and the active region 604. The isolation element 1402, the gate structure 210, the spacer element 1808, the interlayer dielectric layer 1810, and the source/drain regions 212 can be substantially similar to the foregoing implementations of Figures 18a and 18b.

鰭部之幹區2202包括一氧化部2204與一半導體材料部2206。於一實施例中,氧化部2204係大體相似於製造方法1000之步驟1010所形成。鰭部之幹區2202之寬度可大體相似於幹區之主動區及/或非主動區之寬度。於一實施例中,氧化部2204為矽鍺氧(SiGeO),而半導體材料部2206為矽鍺。值得注意的是,一層半導體材料係位於源極/汲極區212(例如主動區604)之下方。於一實施例中,位於源極/汲極區212下方之半導體材料之厚度t2約介於5-10奈米。於一實施例中,位於源極/ 汲極區下方之半導體材料係為矽。 The dry region 2202 of the fin includes an oxidized portion 2204 and a semiconductor material portion 2206. In one embodiment, the oxidized portion 2204 is formed substantially similar to the step 1010 of the fabrication method 1000. The width of the dry region 2202 of the fin may be substantially similar to the width of the active region and/or the inactive region of the dry region. In one embodiment, the oxidized portion 2204 is germanium oxide (SiGeO) and the semiconductor material portion 2206 is germanium. It is worth noting that a layer of semiconductor material is located below the source/drain region 212 (e.g., active region 604). In one embodiment, the thickness of the semiconductor material under the source/drain regions 212 is about 5-10 nm. In an embodiment, at the source / The semiconductor material below the bungee zone is 矽.

請參照第23a圖與第23b圖,顯示了可採用本發明之前述一或多個製造方法所形成之一鰭型場效電晶體裝置2300之另一實施例。此鰭型場效電晶體裝置2300包括具有一非主動區608與一主動區604之一基板502。鰭部之一幹區2302係設置於非主動區608與主動區604之間。隔離元件1402、閘極結構210、間隔物元件1808、層間介電層1810及源極/汲極區212可大體相似參照第18a與18b圖之前述實施情形。 Referring to Figures 23a and 23b, another embodiment of a fin field effect transistor device 2300 formed using one or more of the foregoing methods of fabrication of the present invention is shown. The fin field effect transistor device 2300 includes a substrate 502 having an inactive region 608 and an active region 604. A dry region 2302 of the fin is disposed between the inactive region 608 and the active region 604. The isolation element 1402, the gate structure 210, the spacer element 1808, the interlayer dielectric layer 1810, and the source/drain regions 212 can be substantially similar to the foregoing implementations of Figures 18a and 18b.

鰭部之幹區2302包括一氧化部2304與一半導體材料部2306。於一實施例中,氧化部2304係大體相似於製造方法1000之步驟1010所形成。 The dry region 2302 of the fin includes an oxidized portion 2304 and a semiconductor material portion 2306. In one embodiment, the oxidized portion 2304 is formed substantially similar to the step 1010 of the fabrication method 1000.

鰭部之幹區2302包括了具有一寬度Ws之第一部2302a以及較大之一寬度Ws3之一第二部2302b。寬度Ws3可大體相似參照如第2與3圖內之前述寬度Wa及/或寬度Wp之實施情形。此些不同之寬度可藉由參照如第1、4與10圖之前述實施情形中幹區蝕刻製程所形成。半導體材料部2306可為鰭部之未氧化之一部(例如氧化部2304之部分可為半導體材料部2306之組成物之氧化物)。於一實施例中,氧化部2304為矽鍺氧(SiGeOx),而半導體材料部2306為矽鍺。值得注意的是,一層半導體材料係位於源極/汲極區212(例如主動區604)之下方。於一實施例中,位於源極/汲極區212下方之半導體材料之厚度t2約介於5-10奈米。於一實施例中,位於源極/汲極區下方之半導體材料係為矽。 The dry region 2302 of the fin includes a first portion 2302a having a width Ws and a second portion 2302b having a larger one width Ws3. The width Ws3 can be substantially similar to the implementation of the aforementioned width Wa and/or width Wp as in Figures 2 and 3. These different widths can be formed by reference to the dry zone etching process as described in the foregoing embodiments of Figures 1, 4 and 10. The semiconductor material portion 2306 can be an unoxidized portion of the fin (eg, a portion of the oxidized portion 2304 can be an oxide of a composition of the semiconductor material portion 2306). In one embodiment, the oxidized portion 2304 is germanium oxide (SiGeOx) and the semiconductor material portion 2306 is germanium. It is worth noting that a layer of semiconductor material is located below the source/drain region 212 (e.g., active region 604). In one embodiment, the thickness of the semiconductor material under the source/drain regions 212 is about 5-10 nm. In one embodiment, the semiconductor material underlying the source/drain regions is germanium.

請參照第24a圖與第24b圖,顯示了可採用本發明 之前述一或多個製造方法所形成之一鰭型場效電晶體裝置2400之另一實施例。此鰭型場效電晶體裝置2400包括具有一非主動區608與一主動區604之一基板502。鰭部之一幹區2402係設置於非主動區608與主動區604之間。隔離元件1402、閘極結構210、間隔物元件1808、層間介電層1810及源極/汲極區212可大體相似參照第18a與18b圖之前述實施情形。 Please refer to Figures 24a and 24b, showing the use of the present invention. Another embodiment of one of the fin field effect transistor devices 2400 formed by one or more of the foregoing fabrication methods. The fin field effect transistor device 2400 includes a substrate 502 having an inactive region 608 and an active region 604. A dry region 2402 of the fin is disposed between the inactive region 608 and the active region 604. The isolation element 1402, the gate structure 210, the spacer element 1808, the interlayer dielectric layer 1810, and the source/drain regions 212 can be substantially similar to the foregoing implementations of Figures 18a and 18b.

鰭部之幹區2402包括一氧化部2404與一半導體材料部2406。於一實施例中,氧化部2404係大體相似於製造方法1000之步驟1010所形成。氧化部2404包括具有大體相似於鰭部之主動區及/或非主動區之寬度之一寬度。氧化部2404可具有介於約5-30奈米之厚度t1。氧化部2404延伸,使得其位於源極/汲極區212之下。半導體材料部2406可為鰭部之未氧化之一部(例如氧化部2404之部分可為半導體材料部2406之組成物之氧化物)。於一實施例中,氧化部2404為矽鍺氧(SiGeO),而半導體材料部2406為矽鍺。值得注意的是,一層半導體材料係位於源極/汲極區212(例如主動區604)之下方。於一實施例中,位於源極/汲極區下方之半導體材料之厚度t2約介於5-10奈米。於一實施例中,位於源極/汲極區下方之半導體材料係為矽。 The dry region 2402 of the fin includes an oxidized portion 2404 and a semiconductor material portion 2406. In one embodiment, the oxidized portion 2404 is formed substantially similar to the step 1010 of the fabrication method 1000. The oxidized portion 2404 includes one width having a width that is substantially similar to the active and/or inactive regions of the fin. The oxidized portion 2404 can have a thickness t1 of between about 5 and 30 nanometers. The oxidized portion 2404 extends such that it is below the source/drain region 212. The semiconductor material portion 2406 can be a portion of the fin that is not oxidized (eg, a portion of the oxidized portion 2404 can be an oxide of a composition of the semiconductor material portion 2406). In one embodiment, the oxidized portion 2404 is germanium oxide (SiGeO) and the semiconductor material portion 2406 is germanium. It is worth noting that a layer of semiconductor material is located below the source/drain region 212 (e.g., active region 604). In one embodiment, the thickness of the semiconductor material under the source/drain regions is about 5-10 nm. In one embodiment, the semiconductor material underlying the source/drain regions is germanium.

請參照第25a圖與第25b圖,顯示了可採用本發明之前述一或多個製造方法所形成之一鰭型場效電晶體裝置2500之另一實施例。此鰭型場效電晶體裝置2500包括具有一非主動區608與一主動區604之一基板502。鰭部之一幹區2502係設置於非主動區608與主動區604之間。隔離元件1402、閘極結構210、間隔物元件1808、層間介電層1810及源極/汲極區212 可大體相似參照第18a與18b圖之前述實施情形。 Referring to Figures 25a and 25b, another embodiment of a fin field effect transistor device 2500 formed using one or more of the foregoing methods of fabrication of the present invention is shown. The fin field effect transistor device 2500 includes a substrate 502 having an inactive region 608 and an active region 604. A dry region 2502 of the fin is disposed between the inactive region 608 and the active region 604. Isolation element 1402, gate structure 210, spacer element 1808, interlayer dielectric layer 1810, and source/drain region 212 The foregoing implementations of Figures 18a and 18b can be generally similar.

鰭部之幹區2502包括一氧化部2504與一半導體材料部2506。於一實施例中,氧化部2504係大體相似於製造方法1000之步驟1010所形成。氧化部2504包括具有大體相似於鰭部之主動區及/或非主動區之寬度之一寬度。幹區2502亦可包括前述之具有較窄寬度之一區域,例如參照第23圖所示情形。氧化部2504延伸,使得其位於源極/汲極區212之下。半導體材料部2506可為鰭部之未氧化之一部(例如氧化部2504之部分可為半導體材料部2506之組成物之氧化物)。於一實施例中,氧化部2504為矽鍺氧(SiGeO),而半導體材料部2506為矽鍺。於圖示之實施例中,位於源極/汲極區212(例如主動區604)之下方形成有更多之氧化物(例如氧化部2504)。值得注意的是,一層半導體材料係位於源極/汲極區212(例如主動區604)之下方。於一實施例中,位於源極/汲極區下方之半導體材料之厚度t2約介於5-10奈米。於一實施例中,位於源極/汲極區下方之半導體材料係為矽。 The dry region 2502 of the fin includes an oxidized portion 2504 and a semiconductor material portion 2506. In one embodiment, the oxidized portion 2504 is formed substantially similar to the step 1010 of the method 1000. The oxidized portion 2504 includes a width having a width that is substantially similar to the active and/or inactive regions of the fin. The dry zone 2502 can also include one of the aforementioned regions having a narrower width, such as the one shown in FIG. The oxidized portion 2504 extends such that it is below the source/drain region 212. The semiconductor material portion 2506 can be an unoxidized portion of the fin (eg, a portion of the oxidized portion 2504 can be an oxide of a composition of the semiconductor material portion 2506). In one embodiment, the oxidized portion 2504 is germanium oxide (SiGeO) and the semiconductor material portion 2506 is germanium. In the illustrated embodiment, more oxide (e.g., oxidized portion 2504) is formed beneath the source/drain region 212 (e.g., active region 604). It is worth noting that a layer of semiconductor material is located below the source/drain region 212 (e.g., active region 604). In one embodiment, the thickness of the semiconductor material under the source/drain regions is about 5-10 nm. In one embodiment, the semiconductor material underlying the source/drain regions is germanium.

總而言之,本發明之上述方法與裝置提供了應用於鰭型場效電晶體之一鰭元件之一幹區之多個實施例。值得注意的是,所揭示之不同實施例提供了不同之實施情形,且於不脫離本發明範疇之前提下,此些實施例中做了許多改變、替代與改造。 In summary, the above described methods and apparatus of the present invention provide various embodiments for applying to one of the dry regions of one of the fin elements of a fin field effect transistor. It is to be noted that the various embodiments disclosed are susceptible to various implementations, and many changes, substitutions and alterations are made in the embodiments without departing from the scope of the invention.

因此,可以理解的是於在此討論之較大實施例之一中提供了一種半導體裝置之製造方法。此方法包括:提供一基板,具有延伸自一第一表面(如頂面)之一鰭部。該鰭部包括 具有半導體材料之第一組成物之一第一區以及上覆之具有半導體材料之第二組成物之第二區。該第二組成物係不同於該第一組成物。舉例來說,於一實施例中,該第一組成物為矽鍺,而該第二組成物為矽。該方法接著進行,以修改該鰭部之該第一區,以減少該半導體材料之該第一組成物之一寬度。修改該鰭部之該第一區之示範方法包括了蝕刻該第一區以降低其寬度、氧化該第一區或部分之該第一區以降低該第一區之該導電材料部的寬度,及/或其他方法。於另一實施例中,此方法包括了蝕刻該第一區以及氧化該第一區。該方法接著繼續以形成一閘極結構於該鰭部之該第二區上。 Accordingly, it will be appreciated that a method of fabricating a semiconductor device is provided in one of the larger embodiments discussed herein. The method includes providing a substrate having a fin extending from a first surface (eg, a top surface). The fin includes A first region having a first composition of semiconductor material and a second region overlying the second composition having a semiconductor material. The second composition is different from the first composition. For example, in one embodiment, the first composition is ruthenium and the second composition is ruthenium. The method is then performed to modify the first region of the fin to reduce the width of one of the first compositions of the semiconductor material. An exemplary method of modifying the first region of the fin includes etching the first region to reduce its width, oxidizing the first region or portion of the first region to reduce a width of the conductive material portion of the first region, And/or other methods. In another embodiment, the method includes etching the first region and oxidizing the first region. The method then continues to form a gate structure on the second region of the fin.

於又一實施例中,該方法繼續以形成一假閘極結構於該鰭部上以及移除該假閘極結構以形成一溝槽。該第一區之蝕刻可接著藉由蝕刻位於該溝槽內之該第一區而施行。如此允許了一幹區的自對準形成或該鰭部之一部的寬度減少。 In yet another embodiment, the method continues to form a dummy gate structure on the fin and remove the dummy gate structure to form a trench. The etching of the first region can then be performed by etching the first region located within the trench. This allows self-alignment of a dry zone or a reduction in the width of one of the fins.

於又一實施例中,形成該閘極結構包括形成一介面於該閘極結構與該第二區之一頂面、一第一側面、一第二側面以及一底面之間。如此之閘極結構可稱為一奧米茄閘極結構或一準環繞閘極結構。於一實施例中,形成該閘極結構包括沉積一閘極介電材料於該鰭部之該第一區之一側壁上。而該閘極結構相關之一通道區可形成於該鰭部內。於一實施例中,該通道區僅位於該鰭部之該第二區內。 In still another embodiment, forming the gate structure includes forming an interface between the gate structure and a top surface of the second region, a first side, a second side, and a bottom surface. Such a gate structure can be referred to as an Omega gate structure or a quasi-surround gate structure. In one embodiment, forming the gate structure includes depositing a gate dielectric material on a sidewall of the first region of the fin. A channel region associated with the gate structure may be formed in the fin. In an embodiment, the channel region is located only in the second region of the fin.

於另一較廣實施例中,本發明描述了一種半導體裝置之製造方法,包括提供一主體半導體基板。該主體半導體基板可包括非絕緣層上覆矽(SOI)基板之一基板。接著成長一 第一磊晶層(例如矽鍺)於該主體半導體基板上,以及成長一第二磊晶層(例如矽)於該第一磊晶層上。接著,形成包括該第一磊晶層與該第二磊晶層之一鰭元件。接著蝕刻該鰭元件之該第一磊晶層,以形成具有少於該鰭元件之該第二磊晶層之一寬度之一寬度之一幹區。接著形成一電晶體之一通道區於該鰭元件之該第二磊晶層內。 In another broad embodiment, the invention features a method of fabricating a semiconductor device comprising providing a host semiconductor substrate. The bulk semiconductor substrate may include a substrate of a non-insulating layer overlying cerium (SOI) substrate. Then grow one A first epitaxial layer (eg, germanium) is on the main semiconductor substrate, and a second epitaxial layer (eg, germanium) is grown on the first epitaxial layer. Next, forming a fin element including the first epitaxial layer and the second epitaxial layer. The first epitaxial layer of the fin element is then etched to form a dry region having a width less than one of the widths of one of the second epitaxial layers of the fin element. A channel region of a transistor is then formed in the second epitaxial layer of the fin element.

於一實施例中,蝕刻該第一磊晶層係為一選擇性蝕刻,使得該第二磊晶層大體未被蝕刻。於一實施例中,該方法更包括形成一閘極結構於該鰭元件之該第二磊晶層上,其中該閘極結構接觸了該鰭元件之該第二磊晶層之至少四個表面(例如包括該底面以提供一準環繞或奧米茄閘極結構)。該方法更包括於蝕刻該第一磊晶層後,氧化該幹區。 In one embodiment, etching the first epitaxial layer is a selective etch such that the second epitaxial layer is substantially unetched. In one embodiment, the method further includes forming a gate structure on the second epitaxial layer of the fin element, wherein the gate structure contacts at least four surfaces of the second epitaxial layer of the fin element (For example, the bottom surface is included to provide a quasi-surround or Omega gate structure). The method further includes oxidizing the dry region after etching the first epitaxial layer.

於本發明中提供了多個裝置,其包括一鰭型場效電晶體,具有一基板以及設置於該基板上之一鰭部。該鰭部包括一非主動區、位於該非主動區上之一幹區、以及位於該幹區上之一主動區。該幹區具有一第一寬度而該主動區具有一第二寬度。該第一寬度少於該第二寬度。該幹區具有一第一寬度而該主動區具有一第二寬度。該第一寬度少於該第二寬度。該幹區與該主動區亦具有不同組成物。一閘極結構,設置於該主動區上。 In the present invention, a plurality of devices are provided that include a fin field effect transistor having a substrate and a fin disposed on the substrate. The fin includes an inactive area, a dry area on the inactive area, and an active area on the dry area. The dry zone has a first width and the active zone has a second width. The first width is less than the second width. The dry zone has a first width and the active zone has a second width. The first width is less than the second width. The dry zone and the active zone also have different compositions. A gate structure is disposed on the active area.

於一實施例中,該基板為一主體半導體基板(例如非絕緣層上覆矽基板)。於一實施例中,該閘極結構包括藉由如置換閘極技術所形成之一金屬閘極電極。於一實施例中,該閘極結構接觸了該鰭部之該主動區之一頂面、一第一側面、一 第二側面與一底面。因此,於一實施例中,該閘極結構可為一準環繞或奧米茄閘極結構。 In one embodiment, the substrate is a bulk semiconductor substrate (eg, a non-insulating layer overlying a germanium substrate). In one embodiment, the gate structure includes a metal gate electrode formed by, for example, a replacement gate technique. In one embodiment, the gate structure contacts a top surface of the active region of the fin, a first side, and a The second side and a bottom surface. Therefore, in an embodiment, the gate structure can be a quasi-surround or Omega gate structure.

雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此項技藝者,在不脫離本發明之精神和範圍內,當可作更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。 While the present invention has been described in its preferred embodiments, the present invention is not intended to limit the invention, and the invention may be modified and retouched without departing from the spirit and scope of the invention. The scope of protection is subject to the definition of the scope of the patent application attached.

100‧‧‧製造方法 100‧‧‧Manufacture method

102、104、106、108‧‧‧步驟 102, 104, 106, 108 ‧ ‧ steps

Claims (5)

一種半導體裝置之製造方法,包括:提供一基板,具有延伸自一第一表面之一鰭部,其中該鰭部包括具有半導體材料之第一組成物之一第一區以及上覆之具有半導體材料之第二組成物之第二區,其中該第二組成物係不同於該第一組成物;修改該鰭部之該第一區,以減少該半導體材料之該第一組成物之一數量;以及形成一閘極結構於該鰭部之該第二區上,其中修改該第一區包括蝕刻位於該第一區內之該半導體材料之第一組成物,以減少該第一區之寬度,且該修改更包括於該蝕刻後氧化該第一區。 A method of fabricating a semiconductor device, comprising: providing a substrate having a fin extending from a first surface, wherein the fin includes a first region of a first composition having a semiconductor material and an overlying semiconductor material a second region of the second composition, wherein the second composition is different from the first composition; modifying the first region of the fin to reduce a quantity of the first composition of the semiconductor material; And forming a gate structure on the second region of the fin, wherein modifying the first region comprises etching a first composition of the semiconductor material in the first region to reduce a width of the first region, And the modifying further comprises oxidizing the first region after the etching. 如申請專利範圍第1項所述之半導體裝置之製造方法,更包括:形成一假閘極結構於該鰭部上;以及移除該假閘極結構以形成一溝槽,其中該蝕刻該第一區係藉由蝕刻位於該溝槽內之該第一區而施行。 The method of fabricating a semiconductor device according to claim 1, further comprising: forming a dummy gate structure on the fin; and removing the dummy gate structure to form a trench, wherein the etching is performed A zone is performed by etching the first zone located within the trench. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中形成該閘極結構包括形成一介面於該閘極結構與該第二區之一頂面、一第一側面、一第二側面以及一底面之間。 The method of fabricating a semiconductor device according to claim 1, wherein the forming the gate structure comprises forming an interface between the gate structure and a top surface of the second region, a first side, and a second side And between the bottom surfaces. 一種半導體裝置之製造方法,包括:提供一主體半導體基板;成長一第一磊晶層於該主體半導體基板上;成長一第二磊晶層於該第一磊晶層上; 形成包括該第一磊晶層與該第二磊晶層之一鰭元件;蝕刻該鰭元件之該第一磊晶層,以形成具有少於該鰭元件之該第二磊晶層之一寬度之一寬度之一幹區;以及形成一電晶體之一通道區於該鰭元件之該第二磊晶層內,其中蝕刻該第一磊晶層為一選擇性蝕刻,使得該第二磊晶層大體未被蝕刻;且於蝕刻該第一磊晶層後,氧化該幹區。 A method of manufacturing a semiconductor device, comprising: providing a main semiconductor substrate; growing a first epitaxial layer on the main semiconductor substrate; growing a second epitaxial layer on the first epitaxial layer; Forming a fin element including the first epitaxial layer and the second epitaxial layer; etching the first epitaxial layer of the fin element to form a width of one of the second epitaxial layers having less than the fin element a dry region of one of the widths; and forming a channel region of a transistor in the second epitaxial layer of the fin element, wherein etching the first epitaxial layer into a selective etch, such that the second epitaxial layer The layer is substantially unetched; and after etching the first epitaxial layer, the dry region is oxidized. 一種鰭型場效電晶體,包括:一基板;一鰭部,設置於該基板上,其中該鰭部包括一非主動區、位於該非主動區上之一幹區、以及位於該幹區上之一主動區,其中該幹區具有一第一寬度而該主動區具有一第二寬度,該第一寬度少於該第二寬度,且其中該幹區具有一第一組成物而該主動區具有一第二組成物,該第二組成物不同於該第一組成物;以及一閘極結構,設置於該主動區上,其中位於該閘極結構之下之該幹區係至少經部分氧化。 A fin field effect transistor includes: a substrate; a fin portion disposed on the substrate, wherein the fin portion includes an inactive region, a dry region on the inactive region, and the dry region An active area, wherein the dry area has a first width and the active area has a second width, the first width is less than the second width, and wherein the dry area has a first composition and the active area has a second composition different from the first composition; and a gate structure disposed on the active region, wherein the dry region under the gate structure is at least partially oxidized.
TW102147388A 2013-01-18 2013-12-20 Fin-type field effect transistor (finfet) and method of fabricating a semiconductor device TWI524531B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/745,431 US9735255B2 (en) 2013-01-18 2013-01-18 Method for fabricating a finFET device including a stem region of a fin element

Publications (2)

Publication Number Publication Date
TW201431087A TW201431087A (en) 2014-08-01
TWI524531B true TWI524531B (en) 2016-03-01

Family

ID=51064350

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102147388A TWI524531B (en) 2013-01-18 2013-12-20 Fin-type field effect transistor (finfet) and method of fabricating a semiconductor device

Country Status (4)

Country Link
US (3) US9735255B2 (en)
KR (1) KR101522458B1 (en)
DE (1) DE102013104523B4 (en)
TW (1) TWI524531B (en)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9224849B2 (en) * 2012-12-28 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with wrapped-around gates and methods for forming the same
US9006786B2 (en) * 2013-07-03 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9147682B2 (en) 2013-01-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
US9735255B2 (en) 2013-01-18 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a finFET device including a stem region of a fin element
US9006842B2 (en) * 2013-05-30 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning strain in semiconductor devices
US9299810B2 (en) 2013-07-05 2016-03-29 Taiwan Semiconductor Manufacturing Company Limited Fin-type field effect transistor and method of fabricating the same
US9349850B2 (en) 2013-07-17 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Thermally tuning strain in semiconductor devices
US9224734B2 (en) * 2013-09-13 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with reduced leakage and methods of forming the same
US9502408B2 (en) * 2013-11-14 2016-11-22 Globalfoundries Inc. FinFET device including fins having a smaller thickness in a channel region, and a method of manufacturing same
US9159833B2 (en) 2013-11-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US20150170923A1 (en) * 2013-12-18 2015-06-18 Intermolecular, Inc. Feature Size Reduction in Semiconductor Devices by Selective Wet Etching
US9698240B2 (en) * 2014-03-31 2017-07-04 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US10468528B2 (en) 2014-04-16 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with high-k metal gate stack
US9209185B2 (en) 2014-04-16 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET device
US9721955B2 (en) 2014-04-25 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for SRAM FinFET device having an oxide feature
US9178067B1 (en) 2014-04-25 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
US9224736B1 (en) 2014-06-27 2015-12-29 Taiwan Semicondcutor Manufacturing Company, Ltd. Structure and method for SRAM FinFET device
US9941406B2 (en) * 2014-08-05 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with source/drain cladding
US9735256B2 (en) 2014-10-17 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features
US9496338B2 (en) 2015-03-17 2016-11-15 International Business Machines Corporation Wire-last gate-all-around nanowire FET
US20160322473A1 (en) * 2015-04-30 2016-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer Layer on Gate and Methods of Forming the Same
CN107636837B (en) * 2015-06-26 2021-11-30 英特尔公司 High electron mobility transistor with localized sub-fin isolation
US9773705B2 (en) 2015-06-30 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET channel on oxide structures and related methods
US9685528B2 (en) 2015-06-30 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin semiconductor device and method of manufacture with source/drain regions having opposite conductivities
US9960273B2 (en) * 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9786765B2 (en) * 2016-02-16 2017-10-10 Globalfoundries Inc. FINFET having notched fins and method of forming same
US10141189B2 (en) * 2016-12-29 2018-11-27 Asm Ip Holding B.V. Methods for forming semiconductors by diffusion
US10453752B2 (en) * 2017-09-18 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a gate-all-around semiconductor device
KR102421763B1 (en) 2017-11-08 2022-07-18 삼성전자주식회사 Semiconductor device and Method of fabricating the same
US11245005B2 (en) 2018-05-14 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor structure with extended contact structure
US10644157B2 (en) * 2018-07-31 2020-05-05 Globalfoundries Inc. Fin-type field effect transistors with uniform channel lengths and below-channel isolation on bulk semiconductor substrates and methods
US11362199B2 (en) * 2018-11-30 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
DE102019111297B4 (en) 2018-11-30 2023-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11024650B2 (en) * 2019-04-26 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and a method for fabricating the same
US11245024B2 (en) * 2020-04-09 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6359311B1 (en) 2001-01-17 2002-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same
US7172943B2 (en) * 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
US7863674B2 (en) * 2003-09-24 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
US6946377B2 (en) * 2003-10-29 2005-09-20 Texas Instruments Incorporated Multiple-gate MOSFET device with lithography independent silicon body thickness and methods for fabricating the same
US7352034B2 (en) * 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
JP2008085205A (en) * 2006-09-28 2008-04-10 Toshiba Corp Semiconductor device and its manufacturing method
US7449735B2 (en) * 2006-10-10 2008-11-11 International Business Machines Corporation Dual work-function single gate stack
US7485520B2 (en) * 2007-07-05 2009-02-03 International Business Machines Corporation Method of manufacturing a body-contacted finfet
US8048723B2 (en) * 2008-12-05 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs having dielectric punch-through stoppers
DE102008030864B4 (en) 2008-06-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device as a double-gate and tri-gate transistor, which are constructed on a solid substrate and method for producing the transistor
KR101471858B1 (en) * 2008-09-05 2014-12-12 삼성전자주식회사 Semiconductor device having bar type active pattern and method of manufacturing the same
US20100163952A1 (en) * 2008-12-31 2010-07-01 Chia-Hong Jan Flash Cell with Integrated High-K Dielectric and Metal-Based Control Gate
US8492235B2 (en) * 2010-12-29 2013-07-23 Globalfoundries Singapore Pte. Ltd. FinFET with stressors
US9608059B2 (en) * 2011-12-20 2017-03-28 Intel Corporation Semiconductor device with isolated body portion
US8697523B2 (en) * 2012-02-06 2014-04-15 International Business Machines Corporation Integration of SMT in replacement gate FINFET process flow
US8809131B2 (en) * 2012-07-17 2014-08-19 International Business Machines Corporation Replacement gate fin first wire last gate all around devices
US8901607B2 (en) * 2013-01-14 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9735255B2 (en) 2013-01-18 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a finFET device including a stem region of a fin element

Also Published As

Publication number Publication date
US20200058770A1 (en) 2020-02-20
DE102013104523A1 (en) 2014-07-24
KR101522458B1 (en) 2015-05-21
US20140203334A1 (en) 2014-07-24
DE102013104523B4 (en) 2016-10-06
US20180019325A1 (en) 2018-01-18
US9735255B2 (en) 2017-08-15
TW201431087A (en) 2014-08-01
US10461176B2 (en) 2019-10-29
US11133404B2 (en) 2021-09-28
KR20140093575A (en) 2014-07-28

Similar Documents

Publication Publication Date Title
TWI524531B (en) Fin-type field effect transistor (finfet) and method of fabricating a semiconductor device
US20240105778A1 (en) Multi-Gate Device And Method Of Fabrication Thereof
US9601598B2 (en) Method of manufacturing a fin-like field effect transistor (FinFET) device
US20180175036A1 (en) Multi-Gate Device and Method of Fabrication Thereof
TWI544529B (en) Finfet device and method for manufacturing the same
US8716156B1 (en) Methods of forming fins for a FinFET semiconductor device using a mandrel oxidation process
US9153657B2 (en) Semiconductor devices comprising a fin
TWI594435B (en) Method for forming a finfet device
KR20190039911A (en) Metal gate structure and methods thereof
TWI641135B (en) Finfet transistor with epitaxial structures
US20150279999A1 (en) Finfet devices with different fin heights in the channel and source/drain regions
TW201735268A (en) Method for fabricating multi-gate device
TW201727898A (en) Semiconductor device
US11682588B2 (en) Epitaxial source/drain and methods of forming same
TW201727793A (en) Semiconductor structure and fabricating method thereof
TW201719769A (en) Fabricating method of fin field effect transistor
TWI688099B (en) Semiconductor devices and methods for fabricating the same
US11557652B2 (en) Metal source/drain-based MOSFET and method for fabricating the same
KR102241974B1 (en) Semiconductor devices and methods of manufacturing the same
TWI629790B (en) Semiconductor device and method for fabricating the same
TWI525713B (en) Non-planar transistor fin fabrication
TW201806158A (en) FinFET device on silicon on insulator and method of forming the same
US9502561B1 (en) Semiconductor devices and methods of forming the same
TW201335986A (en) Fin structure and method of formation the same
WO2015008548A1 (en) Method for manufacturing semiconductor device