TWI512952B - Memory device and method of fabricating the same - Google Patents

Memory device and method of fabricating the same Download PDF

Info

Publication number
TWI512952B
TWI512952B TW102116127A TW102116127A TWI512952B TW I512952 B TWI512952 B TW I512952B TW 102116127 A TW102116127 A TW 102116127A TW 102116127 A TW102116127 A TW 102116127A TW I512952 B TWI512952 B TW I512952B
Authority
TW
Taiwan
Prior art keywords
charge storage
layer
patterned
forming
substrate
Prior art date
Application number
TW102116127A
Other languages
Chinese (zh)
Other versions
TW201444057A (en
Inventor
Chia Wen Cheng
Ching Hung Wang
Chi Sheng Peng
Original Assignee
Macronix Int Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Macronix Int Co Ltd filed Critical Macronix Int Co Ltd
Priority to TW102116127A priority Critical patent/TWI512952B/en
Publication of TW201444057A publication Critical patent/TW201444057A/en
Application granted granted Critical
Publication of TWI512952B publication Critical patent/TWI512952B/en

Links

Description

記憶元件及其製造方法Memory element and method of manufacturing same

本發明是有關於一種記憶元件及其製造方法。The present invention relates to a memory element and a method of fabricating the same.

非揮發性記憶體允許多次的資料程式化、讀取及抹除操作,甚至在記憶體的電源中斷後還能保存儲存於其中的資料。由於這些優點,非揮發性記憶體已成為個人電腦與電子設備中廣泛使用的記憶體。Non-volatile memory allows multiple data stylization, reading and erasing operations, and even saves the data stored in the memory after the power is interrupted. Because of these advantages, non-volatile memory has become a widely used memory in personal computers and electronic devices.

熟知的應用電荷儲存結構(charge storage structure)的可電程式化及抹除(electrically programmable and erasable)非揮發性記憶體技術,如電子可抹除可程式化唯讀記憶體(EEPROM)及快閃記憶體(flash記憶體)已使用於各種現代化應用。一般的快閃記憶體記憶胞將電荷儲存於浮置閘。另一種快閃記憶體使用非導體材料所組成的電荷捕捉結構(charge -trapping structure),例如氮化矽,以取代浮置閘的導體材料。當電荷捕捉記憶胞被程式化時,電荷被捕捉且不會移動穿過非導體的電荷捕捉結構。在不持續供應電源時,電荷會一直保持在電荷捕捉層中,維持其資料狀態,直到記憶胞被抹除。電荷捕捉記憶胞可以被操做成為二端記憶胞(two-sided cell)。也就是說,由於電荷不會移動穿過非導體電荷捕捉層,因此電荷可位於不同的電荷捕捉處。換言之,電荷捕捉結構型的快閃記憶體元件中,在每一個記憶胞中可以儲存一個位元以上的資訊。Well-known electrically programmable and erasable non-volatile memory technologies such as electronic erasable programmable read-only memory (EEPROM) and flash memory using charge storage structures Memory (flash memory) has been used in a variety of modern applications. A typical flash memory cell stores charge in a floating gate. Another type of flash memory uses a charge-trapping structure of a non-conducting material, such as tantalum nitride, to replace the conductive material of the floating gate. When the charge trapping memory cell is programmed, the charge is captured and does not move through the non-conductor charge trapping structure. When the power supply is not continuously supplied, the charge remains in the charge trapping layer, maintaining its data state until the memory cells are erased. The charge trapping memory cell can be manipulated as a two-sided cell. That is, since the charge does not move through the non-conductor charge trapping layer, the charge can be located at a different charge trap. In other words, in the flash memory structure of the charge trapping structure type, information of more than one bit can be stored in each memory cell.

操作裕度(memory operation window)。換言之,記憶體操作裕度藉由程式化位準(level)與抹除位準之間的差異來定義。由於記憶胞操作需要各種狀態之間的良好位準分離,因此需要大的記憶體操作裕度。然而,二位元記憶胞的效能通常隨著所謂「第二位元效應」而降低。在第二位元效應下,在電荷捕捉結構中定域化的電荷彼此互相影響。例如,在反向讀取期間,施加讀取偏壓至汲極端且檢測到儲存在靠近源極區的電荷(即第一位元)。然而,之後靠近汲極區的位元(即第二位元)產生讀取靠近源極區的第一位元的電位障。此能障可藉由施加適當的偏壓來克服,使用汲極感應能障降低(DIBL)效應來抑制靠近汲極區的第二位元的效應,且允許檢測第一位元的儲存狀態。然而,當靠近汲極區的第二位元被程式化至高啟始電壓狀態且靠近源極區的第一位元在未程式化狀態時,第二位元實質上提高了能障。因此,隨著關於第二位元的啟始電壓增加,第一位元的讀取偏壓已不足夠克服第二位元產生的電位障。因此,由於第二位元的啟始電壓增加,第一位元的啟始電壓提高,因而降低了記憶體操作裕度。第二位元效應減少了2位元記憶體的操作裕度。Operating margin (memory operation window). In other words, the memory operation margin is defined by the difference between the programmed level and the erase level. Since memory cell operation requires good level separation between various states, a large memory operation margin is required. However, the performance of a two-dimensional memory cell generally decreases with the so-called "second bit effect." Under the second bit effect, the charges localized in the charge trapping structure interact with each other. For example, during a reverse read, a read bias is applied to the drain terminal and a charge stored near the source region (ie, the first bit) is detected. However, the bit (i.e., the second bit) that is then near the drain region produces a potential barrier that reads the first bit near the source region. This energy barrier can be overcome by applying an appropriate bias voltage, using the drain-induced energy barrier reduction (DIBL) effect to suppress the effect of the second bit near the drain region, and allowing the storage state of the first bit to be detected. However, when the second bit near the drain region is programmed to a high start voltage state and the first bit near the source region is in an unprogrammed state, the second bit substantially increases the energy barrier. Therefore, as the starting voltage with respect to the second bit increases, the read bias of the first bit is not sufficient to overcome the potential barrier generated by the second bit. Therefore, since the starting voltage of the second bit increases, the starting voltage of the first bit increases, thereby reducing the memory operating margin. The second bit effect reduces the operating margin of the 2-bit memory.

此外,記憶胞的程式化可利用通道熱電子注入,而在通道區產生熱電子。當汲極側的記憶胞程式化時,由於被程式化的記憶胞的熱電子漂移,也會導致相鄰源極側的記憶胞同時被程式化的干擾問題。In addition, the stylization of memory cells can utilize channel hot electron injection to generate hot electrons in the channel region. When the memory cells on the drain side are programmed, the thermal electrons drifting from the programmed memory cells can also cause the memory cells on the adjacent source side to be stylized at the same time.

因此,亟需一種可以抑制第二位元效應以及避免程式化干擾的記憶體元件及其製造方法。Therefore, there is a need for a memory device that can suppress the second bit effect and avoid stylized interference, and a method of fabricating the same.

本發明提供一種記憶元件,其可以提供定位的電荷儲存區域,以使電荷可以完全定位化儲存,減少第二位元效應,減少程式化干擾的行為。The present invention provides a memory element that can provide a positioned charge storage region to allow charge to be fully localized, reduce second bit effects, and reduce stylized interference behavior.

本發明提供一種記憶元件的製造方法,其可以透過簡單的製程使得所製造的記憶元件可以提供定位的電荷儲存區域,以使電荷可以完全定位化儲存,得到較佳的第二位元,減少程式化干擾的行為。The invention provides a method for manufacturing a memory element, which can make a memory storage component can provide a positioned charge storage area through a simple process, so that the charge can be completely positioned and stored, thereby obtaining a better second bit and reducing the program. The act of disrupting.

本發明實施例提出一種記憶元件,包括基底、多數個第一絕緣結構、多數條位元線、多數個介電層、多數對電荷儲存結構以及多數條字元線。所述基底中具有多數個溝渠,各溝渠沿第一方向排列。所述第一絕緣結構位於所述溝渠中。所述位元線位於所述第一絕緣結構下方的所述基底中。各介電層位於相鄰的兩個第一絕緣結構之間的所述基底上。各電荷儲存結構位於相鄰的所述第一絕緣結構與所述介電層之間的所述基底上。各字元線沿第二方向排列,覆蓋所述第一絕緣結構、所述電荷儲存結構、所述介電層以及部分所述基底。Embodiments of the present invention provide a memory device including a substrate, a plurality of first insulating structures, a plurality of bit lines, a plurality of dielectric layers, a plurality of pairs of charge storage structures, and a plurality of word lines. There are a plurality of trenches in the substrate, and the trenches are arranged in the first direction. The first insulating structure is located in the trench. The bit line is located in the substrate below the first insulating structure. Each dielectric layer is on the substrate between adjacent two first insulating structures. Each charge storage structure is located on the substrate between the adjacent first insulating structure and the dielectric layer. Each of the word lines is arranged in a second direction to cover the first insulating structure, the charge storage structure, the dielectric layer, and a portion of the substrate.

依照本發明一實施例所述,每一字元線是由單一的導體層所組成,且所述單一的導體層填入於相鄰兩對電荷儲存結構之間的第一間隙以及各對電荷儲存結構之間的第二間隙。According to an embodiment of the invention, each word line is composed of a single conductor layer, and the single conductor layer is filled in a first gap between the two adjacent pairs of charge storage structures and each pair of charges A second gap between the storage structures.

依照本發明一實施例所述,上述的記憶元件更包括多數個第二絕緣結構,且其中每一第二絕緣結構,位於相對應的所述第一絕緣結構上,填入於相鄰兩對電荷儲存結構之間的第一間隙。每一字元線包括圖案化的第一導體層與圖案化的第二導體層。其中,每一圖案化的第一導體層,位於相鄰的兩個第二絕緣結構之間,填入於各對電荷儲存結構之間的第二間隙,且覆蓋所述電荷儲存結構以及所述介電層;以及所述圖案化的第二導體層,覆蓋於所述圖案化的第一導體層與所述絕緣結構。According to an embodiment of the invention, the memory element further includes a plurality of second insulating structures, and each of the second insulating structures is located on the corresponding first insulating structure and is filled in two adjacent pairs. A first gap between the charge storage structures. Each word line includes a patterned first conductor layer and a patterned second conductor layer. Wherein each patterned first conductor layer is located between two adjacent second insulating structures, is filled in a second gap between each pair of charge storage structures, and covers the charge storage structure and the a dielectric layer; and the patterned second conductor layer overlying the patterned first conductor layer and the insulating structure.

本發明實施例還提出一種記憶元件,包括:基底、多數個第一絕緣結構、多數條位元線、多數個介電層、多數對電荷儲存結構以及多數條字元線。所述基底中具有多數個溝渠,各溝渠沿第一方向排列。上述第一絕緣結構位於所述溝渠中。上述位元線位於所述第一絕緣結構下方的所述基底中。各介電層位於相鄰的兩個第一絕緣結構之間的所述基底上。各電荷儲存結構位於相鄰的所述第一絕緣結構與所述介電層之間的所述基底上。各字元線沿第二方向排列,所述字元線是由單一的導體層所組成,且所述導體層填入於相鄰兩對電荷儲存結構之間的第一間隙以及各對電荷儲存結構之間的第二間隙,並且與所述第一絕緣結構、所述電荷儲存結構、所述介電層以及部分所述基底接觸。Embodiments of the present invention also provide a memory device including: a substrate, a plurality of first insulating structures, a plurality of bit lines, a plurality of dielectric layers, a plurality of pairs of charge storage structures, and a plurality of word lines. There are a plurality of trenches in the substrate, and the trenches are arranged in the first direction. The first insulating structure is located in the trench. The bit line is located in the substrate below the first insulating structure. Each dielectric layer is on the substrate between adjacent two first insulating structures. Each charge storage structure is located on the substrate between the adjacent first insulating structure and the dielectric layer. Each word line is arranged in a second direction, the word line is composed of a single conductor layer, and the conductor layer is filled in a first gap between two adjacent pairs of charge storage structures and each pair of charge stores a second gap between the structures and in contact with the first insulating structure, the charge storage structure, the dielectric layer, and a portion of the substrate.

依照本發明一實施例所述,其中所述電荷儲存結構包括一介電電荷儲存層。According to an embodiment of the invention, the charge storage structure comprises a dielectric charge storage layer.

本發明實施例又提出一種記憶元件的製造方法,包括:在基底中形成多數個溝渠,各所述溝渠沿第一方向排列。形成多數個第一絕緣結構,於所述溝渠中。形成多數條位元線,各位元線位於所述第一絕緣結構下方的所述基底中。形成多數個介電層,各介電層位於相鄰的兩個第一絕緣結構之間的所述基底上。形成多數對電荷儲存結構,各電荷儲存結構位於相鄰的所述第一絕緣結構與所述介電層之間的所述基底上。形成多數條字元線,各所述字元線沿第二方向排列,覆蓋所述第一絕緣結構、所述電荷儲存結構、所述介電層以及部分所述基底。The embodiment of the invention further provides a method for manufacturing a memory device, comprising: forming a plurality of trenches in the substrate, each of the trenches being arranged in a first direction. A plurality of first insulating structures are formed in the trench. A plurality of bit lines are formed, the bit lines being located in the substrate below the first insulating structure. A plurality of dielectric layers are formed, each dielectric layer being on the substrate between adjacent two first insulating structures. A plurality of pairs of charge storage structures are formed, each charge storage structure being located on the substrate between the adjacent first insulating structures and the dielectric layer. A plurality of word line lines are formed, each of the word lines being aligned in a second direction to cover the first insulating structure, the charge storage structure, the dielectric layer, and a portion of the substrate.

依照本發明一實施例所述,其中形成所述字元線的步驟包括:形成單一的導體層;以及圖案化所述單一的導體層以形成所述字元線,所述字元線填入於相鄰兩對電荷儲存結構之間的第一間隙以及各對電荷儲存結構之間的第二間隙,並且與所述第一絕緣結構、所述電荷儲存結構、所述介電層以及部分所述基底接觸。According to an embodiment of the invention, the step of forming the word line includes: forming a single conductor layer; and patterning the single conductor layer to form the word line, the word line filling a first gap between two adjacent pairs of charge storage structures and a second gap between each pair of charge storage structures, and with the first insulating structure, the charge storage structure, the dielectric layer, and a portion Said substrate contact.

依照本發明一實施例所述,其中所述電荷儲存結構、所述介電層、所述位元線以及所述字元線的形成方法包括:在所述基底上形成電荷儲存堆疊層。圖案化所述電荷儲存堆疊層,以形成多數個圖案化的所述電荷儲存堆疊層,所述圖案化的所述電荷儲存堆疊層之間具有所述第二間隙。在所述第二間隙中形成所述介電層。形成一罩幕層,覆蓋所述圖案化的所述電荷儲存堆疊層、所述介電層以及所述基底,並且填入於所述第二間隙中。圖案化所述罩幕層與所述圖案化的所述電荷儲存堆疊層,以形成多數個圖案化的罩幕層與所述電荷儲存結構,並形成所述第一間隙,裸露出所述第一絕緣結構。以所述圖案化的罩幕層為罩幕,進行離子植入製程,於所述第一隔離結構下方的所述基底中形成所述位元線。移除所述圖案化的罩幕層,裸露出所述第二間隙與所述第一間隙。形成所述字元線。According to an embodiment of the invention, the method of forming the charge storage structure, the dielectric layer, the bit line, and the word line includes forming a charge storage stack layer on the substrate. The charge storage stack layer is patterned to form a plurality of patterned charge storage stack layers having the second gap between the patterned charge storage stack layers. The dielectric layer is formed in the second gap. A mask layer is formed overlying the patterned charge storage stack layer, the dielectric layer, and the substrate, and is filled in the second gap. Patterning the mask layer and the patterned charge storage stack layer to form a plurality of patterned mask layers and the charge storage structure, and forming the first gap to expose the first An insulating structure. The ion masking process is performed by using the patterned mask layer as a mask, and the bit line is formed in the substrate under the first isolation structure. The patterned mask layer is removed to expose the second gap and the first gap. The word line is formed.

依照本發明一實施例所述,其中形成所述字元線的步驟包括:形成多數個圖案化的第一導體層,所述圖案化的第一導體層位於各對電荷儲存結構之間的第二間隙,且覆蓋所述電荷儲存結構,裸露出所述第一絕緣結構。形成多數個第二絕緣結構,所述第二絕緣結構位入於相鄰兩對電荷儲存結構之間的第一間隙,且覆蓋所述第一絕緣結構。形成多數個圖案化的第二導體層,所述圖案化的第二導體層覆蓋於所述圖案化的第一導體層與所述絕緣結構。According to an embodiment of the invention, the step of forming the word line includes: forming a plurality of patterned first conductor layers, the patterned first conductor layer being located between each pair of charge storage structures And a second gap covering the charge storage structure to expose the first insulating structure. A plurality of second insulating structures are formed, the second insulating structures being positioned in a first gap between adjacent pairs of charge storage structures and covering the first insulating structures. A plurality of patterned second conductor layers are formed, and the patterned second conductor layer covers the patterned first conductor layer and the insulating structure.

依照本發明一實施例所述,其中所述電荷儲存結構、所述介電層、所述位元線、所述圖案化的第一導體層以及所述第二絕緣結構的形成方法包括:在所述基底上形成一電荷儲存堆疊層。圖案化所述電荷儲存堆疊層,以形成多數個圖案化的所述電荷儲存堆疊層,所述圖案化的所述電荷儲存堆疊層之間具有所述第二間隙。在所述第二間隙中形成所述介電層。形成第一導體層,覆蓋所述圖案化的所述電荷儲存堆疊層、所述介電層以及所述基底,並且填入於所述第二間隙中。圖案化所述第一導體層與所述圖案化的電荷儲存堆疊層,以形成所述圖案化的第一導體層與所述電荷儲存結構,並形成所述第一間隙,裸露出所述第一絕緣結構。以所述圖案化的第一圖案化的第一導體層為罩幕,進行離子植入製程,於所述第一隔離結構下方的所述基底中形成所述位元線。在所述第一間隙中形成所述第二絕緣結構。According to an embodiment of the invention, the method for forming the charge storage structure, the dielectric layer, the bit line, the patterned first conductor layer, and the second insulating structure includes: A charge storage stack layer is formed on the substrate. The charge storage stack layer is patterned to form a plurality of patterned charge storage stack layers having the second gap between the patterned charge storage stack layers. The dielectric layer is formed in the second gap. A first conductor layer is formed covering the patterned charge storage stack layer, the dielectric layer, and the substrate, and is filled in the second gap. Patterning the first conductor layer and the patterned charge storage stack layer to form the patterned first conductor layer and the charge storage structure, and forming the first gap to expose the first An insulating structure. And performing the ion implantation process by using the patterned first patterned first conductor layer as a mask, and forming the bit line in the substrate under the first isolation structure. The second insulating structure is formed in the first gap.

基於上述,本發明之記憶元件可以提供定位的電荷儲存區域,以使電荷可以完全定位化儲存,減少第二位元效應,並減少程式化干擾的行為。Based on the above, the memory element of the present invention can provide a positioned charge storage area to allow charge to be fully localized, reduce second bit effects, and reduce stylized interference behavior.

此外,本發明之記憶元件的製造方法,其可以透過簡單的製程使得所製造的記憶元件可以提供定位的電荷儲存區域,以使電荷可以完全定位化儲存,得到較佳的第二位元,減少程式化干擾的行為。In addition, the manufacturing method of the memory element of the present invention can make the fabricated memory element provide a positioned charge storage area through a simple process, so that the charge can be completely positioned and stored, thereby obtaining a better second bit and reducing Stylized interference behavior.

為讓本發明的上述特徵和優點能更明顯易懂,下文特舉實施例,並配合所附圖式作詳細說明如下。The above described features and advantages of the invention will be apparent from the following description.

圖1A繪示本發明第二實施例之一種記憶元件的上視圖。圖1B繪示圖1A沿I-I切線的剖面圖。圖1C繪示圖1A沿II-II切線的剖面圖。1A is a top view of a memory element in accordance with a second embodiment of the present invention. 1B is a cross-sectional view taken along line I-I of FIG. 1A. 1C is a cross-sectional view taken along line II-II of FIG. 1A.

請參照圖1A、圖1B與圖1C,本發明第一實施例之一種記憶元件包括基底10、多條位元線50、多條字元線44、多對電荷儲存結構30、多個介電層34、多個絕緣結構18以及多個絕緣結構40。每一記憶胞包括一條字元線44、兩條位元線50、兩個電荷儲存結構30、介電層34。兩個電荷儲存結構30以介電層34以及字元線44物理性分隔開。1A, FIG. 1B and FIG. 1C, a memory device according to a first embodiment of the present invention includes a substrate 10, a plurality of bit lines 50, a plurality of word lines 44, a plurality of pairs of charge storage structures 30, and a plurality of dielectric layers. Layer 34, a plurality of insulating structures 18, and a plurality of insulating structures 40. Each memory cell includes a word line 44, two bit lines 50, two charge storage structures 30, and a dielectric layer 34. The two charge storage structures 30 are physically separated by a dielectric layer 34 and a word line 44.

基底10中具有井區20。井區20中具有多個溝渠12,沿第一方向延伸,以平行或是實質上平行的方式排列。絕緣結構18位於溝渠12中。位元線50位於絕緣結構18下方的井區20中。各介電層34位於相鄰的兩個絕緣結構18之間的井區20上。各電荷儲存結構30位於相鄰的絕緣結構18與介電層34之間的基底10上。絕緣結構40位於相對應的絕緣結構18上,填入於相鄰兩對電荷儲存結構30之間的間隙38。多條字元線44,沿第二方向延伸,以平行或實質上平行的方式排列,覆蓋絕緣結構18、電荷儲存結構30、介電層34以及部分的井區20。每一字元線44包括圖案化的導體層36a與圖案化的導體層42。每一圖案化的導體層36a位於相鄰的兩個絕緣結構40之間,填入於各對電荷儲存結構30之間的間隙32,且覆蓋電荷儲存結構30、介電層34以及井區20,其剖面例如是呈T型。每一圖案化的導體層42在第二方向延伸,覆蓋圖案化的導體層36a以及絕緣結構40。第二延伸方向與第一延伸方向可以是相互垂直,或是實質上相互垂直。There is a well 20 in the substrate 10. The well region 20 has a plurality of trenches 12 extending in a first direction and arranged in a parallel or substantially parallel manner. The insulating structure 18 is located in the trench 12. The bit line 50 is located in the well region 20 below the insulating structure 18. Each dielectric layer 34 is located on the well region 20 between adjacent two insulating structures 18. Each of the charge storage structures 30 is located on the substrate 10 between the adjacent insulating structures 18 and the dielectric layer 34. The insulating structure 40 is located on the corresponding insulating structure 18 and is filled in the gap 38 between the adjacent two pairs of charge storage structures 30. A plurality of word lines 44, extending in the second direction, are arranged in a parallel or substantially parallel manner, covering the insulating structure 18, the charge storage structure 30, the dielectric layer 34, and portions of the well region 20. Each word line 44 includes a patterned conductor layer 36a and a patterned conductor layer 42. Each patterned conductor layer 36a is located between two adjacent insulating structures 40, filling a gap 32 between each pair of charge storage structures 30, and covering the charge storage structure 30, the dielectric layer 34, and the well region 20 The cross section is, for example, T-shaped. Each patterned conductor layer 42 extends in a second direction, covering the patterned conductor layer 36a and the insulating structure 40. The second extending direction and the first extending direction may be perpendicular to each other or substantially perpendicular to each other.

圖2A至2E繪示本發明第二實施例之一種記憶元件的製造方法。2A to 2E illustrate a method of fabricating a memory device in accordance with a second embodiment of the present invention.

請參照圖2A,在基底10中形成多個溝渠12,這些溝渠12沿第一方向延伸且以平行或實質上平行的方式排列。基底10可以是半導體基底,例如是矽基底,或是半導體化合物基底,例如是砷化鎵基底。溝渠12的形成方法可以在基底10上形成圖案化的墊氧化層14與罩幕層16,然後透過蝕刻基底10之製程來形成之。溝渠12的深度例如是300至1500埃。Referring to FIG. 2A, a plurality of trenches 12 are formed in the substrate 10, and the trenches 12 extend in the first direction and are arranged in a parallel or substantially parallel manner. Substrate 10 can be a semiconductor substrate, such as a germanium substrate, or a semiconductor compound substrate, such as a gallium arsenide substrate. The trench 12 can be formed by forming a patterned pad oxide layer 14 and a mask layer 16 on the substrate 10 and then forming the process by etching the substrate 10. The depth of the trench 12 is, for example, 300 to 1500 angstroms.

墊氧化層14可以利用熱氧化法或是化學氣相沉積法來形成。罩幕層16的材質可以是氮化矽,其形成方法例如是化學氣相沉積法。The pad oxide layer 14 can be formed by thermal oxidation or chemical vapor deposition. The material of the mask layer 16 may be tantalum nitride, and the formation method thereof is, for example, chemical vapor deposition.

在溝渠12之中形成絕緣結構18。絕緣結構18的形成方法例如是在基底10上形成絕緣層,絕緣層覆蓋罩幕層16並填入溝渠12中,然後,進行化學機械研磨製程或是蝕刻製程,移除溝渠12以外的絕緣層。絕緣層的材料例如是氧化矽或是其他介電材料,其形成的方法例如是化學氣相沉積法。An insulating structure 18 is formed in the trench 12. The insulating structure 18 is formed by, for example, forming an insulating layer on the substrate 10, the insulating layer covering the mask layer 16 and filling the trench 12, and then performing a chemical mechanical polishing process or an etching process to remove the insulating layer other than the trench 12. . The material of the insulating layer is, for example, cerium oxide or other dielectric material, and the method of forming it is, for example, chemical vapor deposition.

請參照圖2B,之後,移除罩幕層16與墊氧化層14。然後,在基底10中形成井區20。井區20可以透過離子植入的方式來形成。井區20中具有第一導電型的摻質,例如是P型摻質,例如是硼或是二氟化硼離子。Referring to FIG. 2B, the mask layer 16 and the pad oxide layer 14 are removed. A well region 20 is then formed in the substrate 10. The well region 20 can be formed by ion implantation. The well region 20 has a dopant of a first conductivity type, such as a P-type dopant, such as boron or boron difluoride ions.

之後,在基底10上形成電荷儲存堆疊層28。電荷儲存堆疊層28包括介電電荷儲存層,例如是氮化矽。在一實施例中,電荷儲存結構30包括氧化矽層22、氮化矽層24以及氧化矽層26。氧化矽層22以及氧化矽層26的形成方法例如是熱氧化法、化學氣相沉積法或現場蒸氣產生法(in-situ steam generation)。氮化矽層24可以利用爐管氮化法、化學氣相沉積法。氧化矽層22、氮化矽層24以及氧化矽層26的厚度可以分別例如是25至45埃、45至65埃以及80至120埃。Thereafter, a charge storage stack layer 28 is formed on the substrate 10. The charge storage stack layer 28 includes a dielectric charge storage layer, such as tantalum nitride. In an embodiment, the charge storage structure 30 includes a hafnium oxide layer 22, a tantalum nitride layer 24, and a hafnium oxide layer 26. The method of forming the ruthenium oxide layer 22 and the ruthenium oxide layer 26 is, for example, a thermal oxidation method, a chemical vapor deposition method, or an in-situ steam generation. The tantalum nitride layer 24 can be formed by furnace tube nitridation or chemical vapor deposition. The thickness of the hafnium oxide layer 22, the tantalum nitride layer 24, and the hafnium oxide layer 26 may be, for example, 25 to 45 angstroms, 45 to 65 angstroms, and 80 to 120 angstroms, respectively.

請參照圖1C,將電荷儲存堆疊層28進行圖案化,以形成圖案化的電荷儲存堆疊層29。圖案化的電荷儲存堆疊層29位於絕緣結構18上方且延伸到絕緣結構18兩側的井區20上。相鄰的兩個圖案化的電荷儲存堆疊層29之間具有間隙32。Referring to FIG. 1C, the charge storage stack layer 28 is patterned to form a patterned charge storage stack layer 29. The patterned charge storage stack layer 29 is over the insulating structure 18 and extends over the well region 20 on either side of the insulating structure 18. There is a gap 32 between adjacent two patterned charge storage stack layers 29.

接著,在相鄰的兩個圖案化的電荷儲存堆疊層29之間的間隙32中形成介電層34。介電層34的材質例如是氧化矽,形成的方法例如是熱氧化法。介電層34的厚度例如是25至70埃。Next, a dielectric layer 34 is formed in the gap 32 between the adjacent two patterned charge storage stack layers 29. The material of the dielectric layer 34 is, for example, ruthenium oxide, and the method of formation is, for example, a thermal oxidation method. The thickness of the dielectric layer 34 is, for example, 25 to 70 angstroms.

之後,在基底10上形成導體層36。導體層36覆蓋圖案化的電荷儲存堆疊層29,並填入間隙32,覆蓋介電層34。導體層36的材質例如是摻雜多晶矽,其形成的方法例如是化學氣相沉積法或濺鍍法。導體層36的材質例如是摻雜多晶矽,形成的方法例如是化學氣相沉積法。導體層36的厚度例如是300至500埃。Thereafter, a conductor layer 36 is formed on the substrate 10. The conductor layer 36 covers the patterned charge storage stack layer 29 and fills the gap 32 to cover the dielectric layer 34. The material of the conductor layer 36 is, for example, doped polysilicon, and the method of forming it is, for example, a chemical vapor deposition method or a sputtering method. The material of the conductor layer 36 is, for example, doped polysilicon, and the method of formation is, for example, a chemical vapor deposition method. The thickness of the conductor layer 36 is, for example, 300 to 500 angstroms.

其後,請參照圖2D,將導體層36以及圖案化的電荷儲存堆疊層29圖案化,以形成圖案化的導體層36a以及電荷儲存結構30以及間隙38。在相鄰的兩個絕緣結構18之間的基底10上有一對電荷儲存結構30,每一對電荷儲存結構30之間有間隙32,介電層34填在此間隙32中;而圖案化的導體層36a覆蓋電荷儲存結構30,且填入於間隙32之中,覆蓋介電層34。間隙38位於相鄰兩對電荷儲存結構30之間,裸露出絕緣結構18。Thereafter, referring to FIG. 2D, the conductor layer 36 and the patterned charge storage stack layer 29 are patterned to form a patterned conductor layer 36a and a charge storage structure 30 and a gap 38. A pair of charge storage structures 30 are disposed on the substrate 10 between the adjacent two insulating structures 18, and a gap 32 is formed between each pair of charge storage structures 30, and a dielectric layer 34 is filled in the gap 32; The conductor layer 36a covers the charge storage structure 30 and is filled in the gap 32 to cover the dielectric layer 34. The gap 38 is located between two adjacent pairs of charge storage structures 30, exposing the insulating structure 18.

之後,在絕緣結構18下方的井區20中形成位元線50(或稱為源極與汲極區)。位元線50的形成方法例如是以圖案化的導體層36a為罩幕,進行離子植入製程,將具有第二導電型的摻質植入於井區20之中。第二導電型的摻質為N型摻質,例如是磷或是砷。Thereafter, a bit line 50 (also referred to as a source and drain region) is formed in the well region 20 below the insulating structure 18. The formation method of the bit line 50 is performed by, for example, using the patterned conductor layer 36a as a mask to perform an ion implantation process to implant a dopant having a second conductivity type into the well region 20. The dopant of the second conductivity type is an N-type dopant such as phosphorus or arsenic.

其後,請參照圖2E,在間隙38之中形成絕緣結構40。絕緣層40的材料例如是氧化矽或是其他介電材料。絕緣結構40的形成方法例如是在基底10上形成絕緣層(未繪示)。絕緣層覆蓋圖案化的導體層36a並填入於間隙38中。然後,進行化學機械研磨製程或是蝕刻製程,移除間隙38以外的絕緣層。Thereafter, referring to FIG. 2E, an insulating structure 40 is formed in the gap 38. The material of the insulating layer 40 is, for example, yttrium oxide or other dielectric material. The method of forming the insulating structure 40 is, for example, forming an insulating layer (not shown) on the substrate 10. The insulating layer covers the patterned conductor layer 36a and is filled in the gap 38. Then, a chemical mechanical polishing process or an etching process is performed to remove the insulating layer other than the gap 38.

之後,在基底10上形成圖案化的導體層42。圖案化的導體層42沿第二方向延伸,以平行或實質上平行的方式排列,覆蓋絕緣結構40以及電荷儲存結構30。圖案化的導體層42的形成方法例如是形成導體材料層,然後經由微影蝕刻方式圖案化。做為圖案化的導體層42之導體材料層的材料例如是摻雜多晶矽,其形成的方法例如是化學氣相沉積法或濺鍍法,厚度例如是200至700埃。在形成導體材料層之前,可以先進行蝕刻製程,以移除圖案化的導體層36a表面上形成的原生氧化層。圖案化的導體層42與圖案化的導體層36a做為字元線44。Thereafter, a patterned conductor layer 42 is formed on the substrate 10. The patterned conductor layers 42 extend in a second direction and are arranged in a parallel or substantially parallel manner, covering the insulating structure 40 and the charge storage structure 30. The method of forming the patterned conductor layer 42 is, for example, forming a layer of a conductor material and then patterning by lithography. The material of the conductor material layer as the patterned conductor layer 42 is, for example, doped polysilicon, which is formed by, for example, chemical vapor deposition or sputtering, and has a thickness of, for example, 200 to 700 Å. An etching process may be performed to remove the native oxide layer formed on the surface of the patterned conductor layer 36a prior to forming the conductive material layer. The patterned conductor layer 42 and the patterned conductor layer 36a serve as word lines 44.

圖3A繪示本發明第二實施例之一種記憶元件的上視圖。圖3B繪示圖3A沿IV-IV切線的剖面圖。圖3C繪示圖3A沿V-V切線的剖面圖。3A is a top view of a memory element in accordance with a second embodiment of the present invention. 3B is a cross-sectional view taken along line IV-IV of FIG. 3A. 3C is a cross-sectional view taken along line V-V of FIG. 3A.

請參照圖3A、圖3B與圖3C,本發明第二實施例之一種記憶元件包括基底10、多條位元線50、多條字元線54、多對電荷儲存結構30、多個介電層34以及多個絕緣結構18。每一記憶胞包括一條字元線54、兩條位元線50、兩個電荷儲存結構30、介電層34。兩個電荷儲存結構30以介電層34以及字元線54物理性分隔開。Referring to FIG. 3A, FIG. 3B and FIG. 3C, a memory element according to a second embodiment of the present invention includes a substrate 10, a plurality of bit lines 50, a plurality of word lines 54, a plurality of pairs of charge storage structures 30, and a plurality of dielectrics. Layer 34 and a plurality of insulating structures 18. Each memory cell includes a word line 54, two bit lines 50, two charge storage structures 30, and a dielectric layer 34. The two charge storage structures 30 are physically separated by a dielectric layer 34 and a word line 54.

基底10中具有井區20。井區20中具有多個溝渠12,沿第一方向延伸,以平行或是實質上平行的方式排列。絕緣結構18位於溝渠12中。位元線50位於絕緣結構18下方的井區20中。各介電層34位於相鄰的兩個絕緣結構18之間的井區20上。各電荷儲存結構30位於相鄰的絕緣結構18與介電層34之間的基底10上。多條字元線54,沿第二方向延伸,以平行或實質上平行的方式排列。各字元線54由單一的圖案化導體層所構成,其填入於相鄰兩對電荷儲存結構30之間的間隙38,覆蓋絕緣結構18,且填入於各對電荷儲存結構30之間的間隙32,且覆蓋介電層34、電荷儲存結構30以及井區20。換言之,由單一的圖案化導體層所構成字元線54在第二方向延伸,其形狀例如是呈梳狀。There is a well 20 in the substrate 10. The well region 20 has a plurality of trenches 12 extending in a first direction and arranged in a parallel or substantially parallel manner. The insulating structure 18 is located in the trench 12. The bit line 50 is located in the well region 20 below the insulating structure 18. Each dielectric layer 34 is located on the well region 20 between adjacent two insulating structures 18. Each of the charge storage structures 30 is located on the substrate 10 between the adjacent insulating structures 18 and the dielectric layer 34. A plurality of word lines 54, extending in the second direction, are arranged in a parallel or substantially parallel manner. Each word line 54 is formed by a single patterned conductor layer that fills a gap 38 between two adjacent pairs of charge storage structures 30, covers the insulating structure 18, and is filled between each pair of charge storage structures 30. The gap 32 covers the dielectric layer 34, the charge storage structure 30, and the well region 20. In other words, the word line 54 composed of a single patterned conductor layer extends in the second direction, and its shape is, for example, a comb shape.

圖4A至4D繪示本發明第二實施例之一種記憶元件的製造方法。4A to 4D illustrate a method of fabricating a memory device in accordance with a second embodiment of the present invention.

請參照圖4A,依照上述第一實施例的方法在基底10中形成沿第一方向延伸,且以平行或實質上平行的方式排列的多個溝渠12,並在溝渠12中形成絕緣結構18。然後,在基底10中形成井區20。之後,在基底10上形成圖案化的電荷儲存堆疊層29。接著,在相鄰的兩個圖案化的電荷儲存堆疊層29之間的間隙32中形成介電層34。Referring to FIG. 4A, a plurality of trenches 12 extending in a first direction and arranged in a parallel or substantially parallel manner are formed in the substrate 10 in accordance with the method of the first embodiment described above, and an insulating structure 18 is formed in the trenches 12. A well region 20 is then formed in the substrate 10. Thereafter, a patterned charge storage stack layer 29 is formed on the substrate 10. Next, a dielectric layer 34 is formed in the gap 32 between the adjacent two patterned charge storage stack layers 29.

之後,在基底10上形成硬罩幕層46。硬罩幕層46覆蓋圖案化的電荷儲存堆疊層29,並填入間隙32,覆蓋介電層34。硬罩幕層46的材質例如是氮化矽,其形成的方法例如是化學氣相沉積法或爐管氮化法。硬罩幕層46的厚度例如是500至1000埃。Thereafter, a hard mask layer 46 is formed on the substrate 10. The hard mask layer 46 covers the patterned charge storage stack layer 29 and fills the gap 32 to cover the dielectric layer 34. The material of the hard mask layer 46 is, for example, tantalum nitride, and the method of forming it is, for example, a chemical vapor deposition method or a furnace tube nitride method. The thickness of the hard mask layer 46 is, for example, 500 to 1000 angstroms.

其後,請參照圖4B,將硬罩幕層46以及圖案化的電荷儲存堆疊層29圖案化,以形成圖案化的硬罩幕層46a以及電荷儲存結構30以及間隙38。在相鄰的兩個絕緣結構18之間的基底10上有一對電荷儲存結構30,每一對電荷儲存結構30之間有間隙32,介電層34填在此間隙32中;而圖案化的硬罩幕層46a覆蓋電荷儲存結構30,且填入於間隙32之中,覆蓋介電層34。間隙38位於相鄰兩對電荷儲存結構30b之間,裸露出絕緣結構18。Thereafter, referring to FIG. 4B, the hard mask layer 46 and the patterned charge storage stack layer 29 are patterned to form a patterned hard mask layer 46a and charge storage structure 30 and gaps 38. A pair of charge storage structures 30 are disposed on the substrate 10 between the adjacent two insulating structures 18, and a gap 32 is formed between each pair of charge storage structures 30, and a dielectric layer 34 is filled in the gap 32; The hard mask layer 46a covers the charge storage structure 30 and is filled in the gap 32 to cover the dielectric layer 34. The gap 38 is located between two adjacent pairs of charge storage structures 30b, exposing the insulating structure 18.

之後,在絕緣結構18下方的井區20中形成位元線50。位元線50的形成方法例如是以圖案化的硬罩幕層46a為罩幕,進行離子植入製程,將具有第二導電型的摻質植入於井區20之中。第二導電型的摻質為N型摻質,例如是磷或是砷。Thereafter, a bit line 50 is formed in the well region 20 below the insulating structure 18. The formation method of the bit line 50 is performed by, for example, using a patterned hard mask layer 46a as a mask to perform an ion implantation process to implant a dopant having a second conductivity type into the well region 20. The dopant of the second conductivity type is an N-type dopant such as phosphorus or arsenic.

其後,請參照圖4C,將圖案化的硬罩幕層46a移除,裸露出電荷儲存結構30、介電層34以及絕緣結構18。Thereafter, referring to FIG. 4C, the patterned hard mask layer 46a is removed, exposing the charge storage structure 30, the dielectric layer 34, and the insulating structure 18.

之後,請參照圖4D,在基底10上形成圖案化的導體層,以做為字元線54。字元線54沿第二方向延伸,以平行或實質上平行的方式排列。更具體地說,各字元線54由單一的圖案化導體層所構成,其填入於相鄰兩對電荷儲存結構30之間的間隙38,覆蓋絕緣結構18,且填入於各對電荷儲存結構30之間的間隙32,且覆蓋介電層34、電荷儲存結構30以及井區20(圖3C)。換言之,由單一的圖案化導體層所構成字元線54在第二方向延伸,且有部分向基底10表面(向下)延伸,其形狀例如是呈梳狀。字元線54的形成方法例如是形成導體材料層,然後經由微影蝕刻方式圖案化。做為圖案化的導體層54之導體材料層的材料例如是摻雜多晶矽,其形成的方法例如是化學氣相沉積法或濺鍍法,厚度例如是300至700埃。Thereafter, referring to FIG. 4D, a patterned conductor layer is formed on the substrate 10 as the word line 54. The word lines 54 extend in the second direction and are arranged in a parallel or substantially parallel manner. More specifically, each word line 54 is formed of a single patterned conductor layer that is filled in a gap 38 between two adjacent pairs of charge storage structures 30, overlying the insulating structure 18, and filled in pairs of charges. A gap 32 between the structures 30 is stored and covers the dielectric layer 34, the charge storage structure 30, and the well region 20 (Fig. 3C). In other words, the word line 54 composed of a single patterned conductor layer extends in the second direction, and a portion extends toward the surface (downward) of the substrate 10, and is shaped, for example, in a comb shape. The formation method of the word line 54 is, for example, forming a layer of a conductor material and then patterning by lithography. The material of the conductor material layer as the patterned conductor layer 54 is, for example, doped polysilicon, which is formed by, for example, chemical vapor deposition or sputtering, and has a thickness of, for example, 300 to 700 Å.

本發明第二實施例之字元線由單一導體層所構成,可以避免使用兩層導體層在導體層之間形成原生氧化層的問題,因此,可以不需要額外進行移除原生氧化層的步驟,簡化製程步驟,提升元件的可靠度。The word line of the second embodiment of the present invention is composed of a single conductor layer, which avoids the problem of using a two-layer conductor layer to form a native oxide layer between the conductor layers, and therefore, an additional step of removing the native oxide layer may not be required. Improve process steps and improve component reliability.

請參照圖1C以及圖3C,本發明上述實施例中,每一記憶胞包括一條字元線44/54、兩條位元線50、兩個電荷儲存結構30、介電層34。兩個電荷儲存結構30以介電層34以及字元線44/54物理性分隔開。依據以下公式,本發明實施例可以使得啟始電壓的分布的寬度變窄,避免第二位元效應。 Referring to FIG. 1C and FIG. 3C, in the above embodiment of the present invention, each memory cell includes one word line 44/54, two bit lines 50, two charge storage structures 30, and a dielectric layer 34. The two charge storage structures 30 are physically separated by a dielectric layer 34 and word lines 44/54. According to the following formula, the embodiment of the present invention can narrow the width of the distribution of the starting voltage and avoid the second bit effect.

其中gm為轉移電導(transconductance)。ID為汲極電流。VG為閘極電壓。W為閘極(字元線)寬度。mn為電子/電洞遷移率(mobility)。L為閘極長度。Kox為氧化物介電常數。tox為氧化物厚度。VD為汲極電壓。VT為啟始臨界電壓(threshold voltage)。Where gm is the transfer conductance (transconductance). The ID is the bungee current. VG is the gate voltage. W is the width of the gate (word line). Mn is the electron/hole mobility. L is the gate length. Kox is the dielectric constant of the oxide. Tox is the oxide thickness. VD is the drain voltage. VT is the threshold voltage.

圖5繪示習知的記憶元件以及本發明第二實施例的記憶元件的啟始電壓分布曲線。FIG. 5 is a diagram showing a starting voltage distribution curve of a conventional memory element and a memory element of a second embodiment of the present invention.

請參照圖5,相較於習知的記憶元件的啟始電壓分布曲線200,本發明第二實施例的記憶元件的啟始電壓分布曲線100的寬度較窄。Referring to FIG. 5, the width of the starting voltage distribution curve 100 of the memory element of the second embodiment of the present invention is narrower than the starting voltage distribution curve 200 of the conventional memory element.

請參照圖1C以及圖3C,本發明上述實施例中,相鄰的兩個記憶胞的兩個電荷儲存結構30之間以井區20中的絕緣結構18以及基底10上的絕緣結構40隔開(第一實施例)或是以井區20中的絕緣結構18以及基底10上的字元線54隔開(第二實施例),由於絕緣結構18位於具有足夠深度的溝渠12之中,因此,當汲極側的記憶胞程式化時,可以避免被程式化的記憶胞的熱電子漂移導致相鄰源極側的記憶胞也同時被程式化的干擾問題。Referring to FIG. 1C and FIG. 3C, in the above embodiment of the present invention, the two charge storage structures 30 of two adjacent memory cells are separated by an insulating structure 18 in the well region 20 and an insulating structure 40 on the substrate 10. (First Embodiment) or separated by an insulating structure 18 in the well region 20 and a word line 54 on the substrate 10 (second embodiment), since the insulating structure 18 is located in the trench 12 having a sufficient depth, When the memory cells on the bungee side are stylized, it is possible to avoid the problem that the thermal electrons drifting of the stylized memory cells causes the memory cells on the adjacent source side to be stylized at the same time.

綜合以上所述,本發明之記憶胞的兩個電荷儲存結構物理性分離,因此可以避免第二位元效應。相鄰兩個記憶胞以位於基底之溝渠中的絕緣結構以及基底上的絕緣結構隔開,或是以於基底之溝渠中的絕緣結構以及基底上的字元線隔開,因此可以避免程式化的干擾(PDX)問題。In summary, the two charge storage structures of the memory cell of the present invention are physically separated, so that the second bit effect can be avoided. The adjacent two memory cells are separated by an insulating structure located in the trench of the substrate and an insulating structure on the substrate, or are separated by an insulating structure in the trench of the substrate and a word line on the substrate, thereby avoiding stylization Interference (PDX) issue.

雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明的精神和範圍內,當可作些許的更動與潤飾,故本發明的保護範圍當視後附的申請專利範圍所界定者為準。Although the present invention has been disclosed in the above embodiments, it is not intended to limit the present invention, and any one of ordinary skill in the art can make some changes and refinements without departing from the spirit and scope of the present invention. The scope of the invention is defined by the scope of the appended claims.

10...基底10. . . Base

12...溝渠12. . . ditch

14...墊氧化層14. . . Pad oxide

16...罩幕層16. . . Mask layer

18、40...絕緣結構18, 40. . . Insulation structure

20...井區20. . . Well area

22、26...氧化矽層22, 26. . . Cerium oxide layer

24...氮化矽層twenty four. . . Tantalum nitride layer

28...電荷儲存堆疊層28. . . Charge storage stack

29...圖案化的電荷儲存堆疊層29. . . Patterned charge storage stack

30...電荷儲存結構30. . . Charge storage structure

32、38...間隙32, 38. . . gap

34...介電層34. . . Dielectric layer

36...導體層36. . . Conductor layer

36a、42...圖案化的導體層36a, 42. . . Patterned conductor layer

44、54...字元線44, 54. . . Word line

50...位元線50. . . Bit line

100、200...曲線100, 200. . . curve

I-I、II-II、IV-IV、V-V...剖面線I-I, II-II, IV-IV, V-V. . . Section line

圖1A繪示本發明第一實施例之一種記憶元件的上視圖。                圖1B繪示圖1A沿I-I切線的剖面圖。               圖1C繪示圖1A沿II-II切線的剖面圖。               圖2A至2E繪示本發明第二實施例之一種記憶元件的製造方法。               圖3A繪示本發明第二實施例之一種記憶元件的上視圖。               圖3B繪示圖3A沿IV-IV切線的剖面圖。               圖3C繪示圖3A沿V-V切線的剖面圖。               圖4A至4D繪示本發明第二實施例之一種記憶元件的製造方法。               圖5繪示習知以及本發明第二實施例之記憶元件的啟始電壓分布圖。1A is a top view of a memory element in accordance with a first embodiment of the present invention. 1B is a cross-sectional view taken along line I-I of FIG. 1A. 1C is a cross-sectional view taken along line II-II of FIG. 1A. 2A to 2E illustrate a method of fabricating a memory device in accordance with a second embodiment of the present invention. 3A is a top view of a memory element in accordance with a second embodiment of the present invention. 3B is a cross-sectional view taken along line IV-IV of FIG. 3A. 3C is a cross-sectional view taken along line V-V of FIG. 3A. 4A to 4D illustrate a method of fabricating a memory device in accordance with a second embodiment of the present invention. FIG. 5 is a diagram showing a starting voltage distribution diagram of a conventional memory device according to a second embodiment of the present invention.

10...基底10. . . Base

12...溝渠12. . . ditch

18...絕緣結構18. . . Insulation structure

20...井區20. . . Well area

22、26...氧化矽層22, 26. . . Cerium oxide layer

24...氮化矽層twenty four. . . Tantalum nitride layer

30...電荷儲存結構30. . . Charge storage structure

32、38...間隙32, 38. . . gap

34...介電層34. . . Dielectric layer

50...位元線50. . . Bit line

54...字元線54. . . Word line

Claims (10)

一種記憶元件,包括:一基底,所述基底中具有多數個溝渠,各溝渠沿一第一方向排列;多數個第一絕緣結構,位於所述溝渠中;多數條位元線,位於所述第一絕緣結構下方的所述基底中;多數個介電層,各介電層位於相鄰的兩個第一絕緣結構之間的所述基底上;多數對電荷儲存結構,各電荷儲存結構位於相鄰的所述第一絕緣結構與所述介電層之間的所述基底上;以及多數條字元線,各字元線沿一第二方向排列,覆蓋所述第一絕緣結構、所述電荷儲存結構、所述介電層以及部分所述基底。A memory element comprising: a substrate having a plurality of trenches therein, each trench being arranged along a first direction; a plurality of first insulating structures being located in the trench; a plurality of bit lines located at the In the substrate under an insulating structure; a plurality of dielectric layers, each dielectric layer being located on the substrate between two adjacent first insulating structures; and a plurality of charge storage structures, each charge storage structure being located And adjacent to the substrate between the first insulating structure and the dielectric layer; and a plurality of word line lines, each word line is arranged along a second direction to cover the first insulating structure, A charge storage structure, the dielectric layer, and a portion of the substrate. 如申請專利範圍第1項所述的記憶元件,其中每一字元線是由單一的導體層所組成,且所述單一的導體層填入於相鄰兩對電荷儲存結構之間的第一間隙以及各對電荷儲存結構之間的第二間隙。The memory device of claim 1, wherein each word line is composed of a single conductor layer, and the single conductor layer is filled in between the two adjacent pairs of charge storage structures. a gap and a second gap between each pair of charge storage structures. 如申請專利範圍第1項所述的記憶元件,更包括多數個第二絕緣結構,且其中每一第二絕緣結構,位於相對應的所述第一絕緣結構上,填入於相鄰兩對電荷儲存結構之間的第一間隙;以及每一字元線包括一圖案化的第一導體層與一圖案化的第二導體層,其中:每一圖案化的第一導體層,位於相鄰的兩個第二絕緣結構之間,填入於各對電荷儲存結構之間的第二間隙,且覆蓋所述電荷儲存結構以及所述介電層;以及所述圖案化的第二導體層,覆蓋於所述圖案化的第一導體層與所述絕緣結構。The memory device of claim 1, further comprising a plurality of second insulating structures, wherein each of the second insulating structures is located on the corresponding first insulating structure and is filled in two adjacent pairs. a first gap between the charge storage structures; and each word line includes a patterned first conductor layer and a patterned second conductor layer, wherein: each patterned first conductor layer is adjacent Between the two second insulating structures, filling a second gap between each pair of charge storage structures, and covering the charge storage structure and the dielectric layer; and the patterned second conductor layer, Covering the patterned first conductor layer and the insulating structure. 一種記憶元件,包括:一基底,所述基底中具有多數個溝渠,各溝渠沿一第一方向排列;多數個第一絕緣結構,位於所述溝渠中;多數條位元線,位於所述第一絕緣結構下方的所述基底中;多數個介電層,各介電層位於相鄰的兩個第一絕緣結構之間的所述基底上;多數對電荷儲存結構,各電荷儲存結構位於相鄰的所述第一絕緣結構與所述介電層之間的所述基底上;以及多數條字元線,各字元線沿一第二方向排列,所述字元線是由單一的導體層所組成,且所述導體層填入於相鄰兩對電荷儲存結構之間的第一間隙以及各對電荷儲存結構之間的第二間隙,並且與所述第一絕緣結構、所述電荷儲存結構、所述介電層以及部分所述基底接觸。A memory element comprising: a substrate having a plurality of trenches therein, each trench being arranged along a first direction; a plurality of first insulating structures being located in the trench; a plurality of bit lines located at the In the substrate under an insulating structure; a plurality of dielectric layers, each dielectric layer being located on the substrate between two adjacent first insulating structures; and a plurality of charge storage structures, each charge storage structure being located Adjacent to the substrate between the first insulating structure and the dielectric layer; and a plurality of word lines, each word line is arranged in a second direction, the word line being a single conductor The layer is composed of, and the conductor layer is filled in a first gap between two adjacent pairs of charge storage structures and a second gap between each pair of charge storage structures, and the first insulation structure, the charge The storage structure, the dielectric layer, and a portion of the substrate are in contact. 如申請專利範圍第4項所述的記憶元件,其中所述電荷儲存結構包括一介電電荷儲存層。The memory device of claim 4, wherein the charge storage structure comprises a dielectric charge storage layer. 一種記憶元件的製造方法,包括:在一基底中形成多數個溝渠,各所述溝渠沿一第一方向排列;形成多數個第一絕緣結構,於所述溝渠中;形成多數條位元線,各位元線位於所述第一絕緣結構下方的所述基底中;形成多數個介電層,各介電層位於相鄰的兩個第一絕緣結構之間的所述基底上;形成多數對電荷儲存結構,各電荷儲存結構位於相鄰的所述第一絕緣結構與所述介電層之間的所述基底上;以及形成多數條字元線,各所述字元線沿一第二方向排列,覆蓋所述第一絕緣結構、所述電荷儲存結構、所述介電層以及部分所述基底。A method for manufacturing a memory device, comprising: forming a plurality of trenches in a substrate, each of the trenches being arranged along a first direction; forming a plurality of first insulating structures in the trench; forming a plurality of bit lines, Each of the bit lines is located in the substrate under the first insulating structure; a plurality of dielectric layers are formed, each dielectric layer being located on the substrate between adjacent two first insulating structures; forming a majority of pairs of charges a storage structure, each charge storage structure is located on the substrate between the adjacent first insulating structure and the dielectric layer; and a plurality of word line lines are formed, each of the word lines along a second direction Arranging, covering the first insulating structure, the charge storage structure, the dielectric layer, and a portion of the substrate. 如申請專利範圍第6項所述的記憶元件的製造方法,其中形成所述字元線的步驟包括:形成單一的導體層;以及圖案化所述單一的導體層以形成所述字元線,所述字元線填入於相鄰兩對電荷儲存結構之間的第一間隙以及各對電荷儲存結構之間的第二間隙,並且與所述第一絕緣結構、所述電荷儲存結構、所述介電層以及部分所述基底接觸。The method of manufacturing the memory device of claim 6, wherein the forming the word line comprises: forming a single conductor layer; and patterning the single conductor layer to form the word line, The word line is filled in a first gap between two adjacent pairs of charge storage structures and a second gap between each pair of charge storage structures, and the first insulation structure, the charge storage structure, The dielectric layer and a portion of the substrate are in contact. 如申請專利範圍第7項所述的記憶元件的製造方法,其中所述電荷儲存結構、所述介電層、所述位元線以及所述字元線的形成方法包括:在所述基底上形成一電荷儲存堆疊層;圖案化所述電荷儲存堆疊層,以形成多數個圖案化的所述電荷儲存堆疊層,所述圖案化的所述電荷儲存堆疊層之間具有所述第二間隙;在所述第二間隙中形成所述介電層;形成一罩幕層,覆蓋所述圖案化的所述電荷儲存堆疊層、所述介電層以及所述基底,並且填入於所述第二間隙中;圖案化所述罩幕層與所述圖案化的所述電荷儲存堆疊層,以形成多數個圖案化的罩幕層與所述電荷儲存結構,並形成所述第一間隙,裸露出所述第一絕緣結構;以所述圖案化的罩幕層為罩幕,進行離子植入製程,於所述第一隔離結構下方的所述基底中形成所述位元線;移除所述圖案化的罩幕層,裸露出所述第二間隙與所述第一間隙;以及形成所述字元線。The method of manufacturing a memory device according to claim 7, wherein the charge storage structure, the dielectric layer, the bit line, and the method of forming the word line include: on the substrate Forming a charge storage stack layer; patterning the charge storage stack layer to form a plurality of patterned charge storage stack layers, wherein the patterned charge storage stack layers have the second gap therebetween; Forming the dielectric layer in the second gap; forming a mask layer covering the patterned charge storage stack layer, the dielectric layer, and the substrate, and filling in the Forming the mask layer and the patterned charge storage stack layer to form a plurality of patterned mask layers and the charge storage structure, and forming the first gap, exposed Forming the first insulating structure; performing the ion implantation process with the patterned mask layer as a mask, forming the bit line in the substrate under the first isolation structure; Patterned mask layer, bare And forming the second gap and the first gap; and forming the word line. 如申請專利範圍第6項所述的記憶元件的製造方法,其中形成所述字元線的步驟包括:形成多數個圖案化的第一導體層,所述圖案化的第一導體層位於各對電荷儲存結構之間的第二間隙,且覆蓋所述電荷儲存結構,裸露出所述第一絕緣結構;形成多數個第二絕緣結構,所述第二絕緣結構位入於相鄰兩對電荷儲存結構之間的第一間隙,且覆蓋所述第一絕緣結構;以及形成多數個圖案化的第二導體層,所述圖案化的第二導體層覆蓋於所述圖案化的第一導體層與所述絕緣結構。The method of manufacturing a memory device according to claim 6, wherein the forming the word line comprises: forming a plurality of patterned first conductor layers, wherein the patterned first conductor layer is located in each pair a second gap between the charge storage structures, and covering the charge storage structure, exposing the first insulating structure; forming a plurality of second insulating structures, the second insulating structures being located in adjacent pairs of charge storage a first gap between the structures, and covering the first insulating structure; and forming a plurality of patterned second conductor layers, the patterned second conductor layer covering the patterned first conductor layer and The insulating structure. 如申請專利範圍第9項所述的記憶元件的製造方法,其中所述電荷儲存結構、所述介電層、所述位元線、所述圖案化的第一導體層以及所述第二絕緣結構的形成方法包括:在所述基底上形成一電荷儲存堆疊層;圖案化所述電荷儲存堆疊層,以形成多數個圖案化的所述電荷儲存堆疊層,所述圖案化的所述電荷儲存堆疊層之間具有所述第二間隙;在所述第二間隙中形成所述介電層;形成一第一導體層,覆蓋所述圖案化的所述電荷儲存堆疊層、所述介電層以及所述基底,並且填入於所述第二間隙中;圖案化所述第一導體層與所述圖案化的電荷儲存堆疊層,以形成所述圖案化的第一導體層與所述電荷儲存結構,並形成所述第一間隙,裸露出所述第一絕緣結構;以所述圖案化的第一圖案化的第一導體層為罩幕,進行離子植入製程,於所述第一隔離結構下方的所述基底中形成所述位元線;以及在所述第一間隙中形成所述第二絕緣結構。The method of manufacturing a memory device according to claim 9, wherein the charge storage structure, the dielectric layer, the bit line, the patterned first conductor layer, and the second insulation The method of forming a structure includes: forming a charge storage stack layer on the substrate; patterning the charge storage stack layer to form a plurality of patterned charge storage stack layers, the patterned charge storage layer Having the second gap between the stacked layers; forming the dielectric layer in the second gap; forming a first conductor layer covering the patterned charge storage stack layer, the dielectric layer And the substrate and filling in the second gap; patterning the first conductor layer and the patterned charge storage stack layer to form the patterned first conductor layer and the charge Storing the structure, and forming the first gap, exposing the first insulating structure; performing the ion implantation process with the patterned first patterned first conductor layer as a mask, in the first Under the isolation structure Forming the bit line in the substrate; and forming the second insulating structure in the first gap.
TW102116127A 2013-05-06 2013-05-06 Memory device and method of fabricating the same TWI512952B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW102116127A TWI512952B (en) 2013-05-06 2013-05-06 Memory device and method of fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW102116127A TWI512952B (en) 2013-05-06 2013-05-06 Memory device and method of fabricating the same

Publications (2)

Publication Number Publication Date
TW201444057A TW201444057A (en) 2014-11-16
TWI512952B true TWI512952B (en) 2015-12-11

Family

ID=52423419

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102116127A TWI512952B (en) 2013-05-06 2013-05-06 Memory device and method of fabricating the same

Country Status (1)

Country Link
TW (1) TWI512952B (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2009A (en) * 1841-03-18 Improvement in machines for boring war-rockets
US5844910A (en) * 1992-09-11 1998-12-01 International Business Machines Corporation Flash-erase-type nonvolatile semiconductor storage device
WO2007121343A2 (en) * 2006-04-13 2007-10-25 Sandisk Corporation Methods of making flash memory cell arrays having dual control gates per memory cell charge storage element
TWI301319B (en) * 2006-04-10 2008-09-21 Macronix Int Co Ltd Trapping storage flash memory cell structure with undoped source and drain regions
US20110287619A1 (en) * 2002-10-28 2011-11-24 Eliyahou Harari Flash Memory Cell Arrays Having Dual Control Gates Per Memory Cell Charge Storage Element

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2009A (en) * 1841-03-18 Improvement in machines for boring war-rockets
US5844910A (en) * 1992-09-11 1998-12-01 International Business Machines Corporation Flash-erase-type nonvolatile semiconductor storage device
US20110287619A1 (en) * 2002-10-28 2011-11-24 Eliyahou Harari Flash Memory Cell Arrays Having Dual Control Gates Per Memory Cell Charge Storage Element
TWI301319B (en) * 2006-04-10 2008-09-21 Macronix Int Co Ltd Trapping storage flash memory cell structure with undoped source and drain regions
WO2007121343A2 (en) * 2006-04-13 2007-10-25 Sandisk Corporation Methods of making flash memory cell arrays having dual control gates per memory cell charge storage element

Also Published As

Publication number Publication date
TW201444057A (en) 2014-11-16

Similar Documents

Publication Publication Date Title
KR100903580B1 (en) Cylindrical channel charge trapping devices with effectively high coupling ratios
EP1923909B1 (en) Charge trapping memory device and manufacturing method thereof
KR101038873B1 (en) Method of manufacturing flash memory device
JP6688698B2 (en) Semiconductor device and manufacturing method thereof
JP2007281092A (en) Semiconductor device, and manufacturing method thereof
JP6998267B2 (en) Semiconductor devices and their manufacturing methods
CN107464815A (en) Semiconductor devices and its manufacture method
JP2012186438A (en) Non-volatile memory and method for manufacturing the same
JP2010245345A (en) Nonvolatile semiconductor memory and method of manufacturing the smae
JP2011029576A (en) Nonvolatile semiconductor memory device and manufacturing method thereof
KR100606928B1 (en) Non-volatile memory device and fabricating method for the same
KR100833444B1 (en) Method of manufacturing a flash memory device
JP2017045835A (en) Semiconductor device manufacturing method and semiconductor device
KR101133212B1 (en) Semiconductor devices having source/drain regions disposed at both sides of a channel region and methods of forming the same
JP2019117913A (en) Semiconductor device and manufacturing method thereof
US8674424B2 (en) Memory device with charge storage layers at the sidewalls of the gate and method for fabricating the same
TWI442551B (en) Memory device and method for fabricating the same
JP2018195718A (en) Semiconductor device and method of manufacturing the same
TWI605572B (en) Non-volatile memory and manufacturing method thereof
JP5438300B2 (en) Method for manufacturing nonvolatile semiconductor memory device
JP2013131772A (en) Nonvolatile storage device
KR100654359B1 (en) Method for fabricating nonvolatible memory device
TWI512952B (en) Memory device and method of fabricating the same
TWI499041B (en) Non-volatile memory and manufacturing method thereof
TWI559459B (en) Flash memory and manufacturing method thereof