TWI469213B - Plasma processing device - Google Patents

Plasma processing device Download PDF

Info

Publication number
TWI469213B
TWI469213B TW98110467A TW98110467A TWI469213B TW I469213 B TWI469213 B TW I469213B TW 98110467 A TW98110467 A TW 98110467A TW 98110467 A TW98110467 A TW 98110467A TW I469213 B TWI469213 B TW I469213B
Authority
TW
Taiwan
Prior art keywords
conductive member
processing apparatus
plasma
processing container
plasma processing
Prior art date
Application number
TW98110467A
Other languages
Chinese (zh)
Other versions
TW201003774A (en
Inventor
Ryo Sato
Hitoshi Saito
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201003774A publication Critical patent/TW201003774A/en
Application granted granted Critical
Publication of TWI469213B publication Critical patent/TWI469213B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

電漿處理裝置Plasma processing device

本發明係關於藉由高頻電力使處理氣體電漿化,藉由其電漿對被處理體施予蝕刻等之處理的電漿處理裝置。The present invention relates to a plasma processing apparatus for plasma-treating a processing gas by high-frequency power, and applying a plasma or the like to the object to be processed.

在半導體裝置或液晶顯示裝置等之平面面板的製造工程中,為了對半導體晶圓或玻璃基板等之被處理基板施予蝕刻處理或成膜處理等之製程處理,使用電漿蝕刻裝置或電漿CVD成膜裝置等之電漿處理裝置。In the manufacturing process of a flat panel such as a semiconductor device or a liquid crystal display device, in order to apply a process such as an etching process or a film formation process to a substrate to be processed such as a semiconductor wafer or a glass substrate, a plasma etching device or a plasma is used. A plasma processing apparatus such as a CVD film forming apparatus.

第8圖所示之電漿處理裝置係對例如被形成在FPD(Flat Panel Display)用之玻璃基板上之薄膜,施予蝕刻處理的電漿蝕刻裝置之構成例。該電漿蝕刻裝置係作為平行平板型之電漿處理裝置而被構成,在例如由鋁等所構成之被接地的處理容器10內,設置兼作構成氣體供給部之氣體噴淋頭之上部電極12,並且以與該上部電極12相向之方式設置有兼作基板S之載置台的下部電極11。上部電極12被連接於處理容器10當作陽極電極被構成,下部電極11藉由絕緣材14相對於處理容器10充分電性浮起之狀態,則成為經無圖示之整合電路(匹配電路)而被連接於高頻電源15之陰極電極。再者,也有在該下部電極11表面之周緣部及側面,如第8圖所示般,藉由用以在下部電極11之上方均勻形成電漿之例如由陶瓷等之絕緣體所構成之遮蔽環18被覆蓋之情形。The plasma processing apparatus shown in Fig. 8 is an example of a configuration of a plasma etching apparatus that applies an etching treatment to a film formed on a glass substrate for an FPD (Flat Panel Display). This plasma etching apparatus is configured as a parallel plate type plasma processing apparatus, and a gas shower head upper electrode 12 which also serves as a gas supply unit is provided in a processing container 10 which is grounded, for example, made of aluminum or the like. The lower electrode 11 which also serves as a mounting table for the substrate S is provided so as to face the upper electrode 12. The upper electrode 12 is connected to the processing container 10 as an anode electrode, and the lower electrode 11 is fully electrically floated with respect to the processing container 10 by the insulating material 14, and becomes an integrated circuit (matching circuit) (not shown). It is connected to the cathode electrode of the high frequency power source 15. Further, as shown in Fig. 8, the peripheral portion and the side surface of the surface of the lower electrode 11 are shielded by an insulator such as ceramic for uniformly forming a plasma above the lower electrode 11. 18 is covered.

第9圖表示該電漿蝕刻裝置中之高頻電流之導電路之等效電路。當對處理容器10內供給處理氣體,藉由高頻電源15對上部電極12-下部電極11間施加高頻電力,使處理氣體予以電漿化之時,因在下部電極11和上部電極12之間,形成電容耦合C1,故來自高頻電源15之高頻電流流經下部電極11→電漿→上部電極12→處理容器10之壁部→接地之路徑,利用該電漿化之處理氣體,對被載置在下部電極11上之基板S執行蝕刻。並且,詳細而言係高頻電流自處理容器10之壁部經無圖示之匹配電路之框體(匹配箱),返回至高頻電源15之接地。Fig. 9 is a view showing an equivalent circuit of a high-frequency current guiding circuit in the plasma etching apparatus. When the processing gas is supplied into the processing chamber 10, high-frequency power is applied between the upper electrode 12 and the lower electrode 11 by the high-frequency power source 15, and the processing gas is plasma-treated, because the lower electrode 11 and the upper electrode 12 are During the formation of the capacitive coupling C1, the high-frequency current from the high-frequency power source 15 flows through the lower electrode 11 → the plasma → the upper electrode 12 → the wall portion of the processing container 10 → the grounding path, and the plasma processing gas is utilized. Etching is performed on the substrate S placed on the lower electrode 11. Further, in detail, the high-frequency current is returned from the wall portion of the processing container 10 to the ground of the high-frequency power source 15 via the frame (matching box) of the matching circuit (not shown).

然而,屬於該裝置之處理對象之例如FPD用之基板S有逐漸大型化之傾向,故隨此處理容器10也大型化。當處理容器10大型化之時,處理容器10之阻抗成分變大,上述高頻電流之路徑之阻抗也變大。因此,較陽極電極距離陰極較近之處理容器10之壁部等,從陰極電極觀視看似陽極,兩構件容易電容耦合。於是,使阻抗調整機構介於陽極電極和處理容器之間之電流路,所知的有相對性縮小上述阻抗之手法(專利文獻1)。但是,如上述電漿蝕刻裝置般,下部電極11成為陰極電極之時,在下部電極11之周邊之處理容器10之側面或底面和下部電極11之間依然成為容易電容耦合之狀態。However, for example, the substrate S for FPD which is a target of the apparatus tends to be gradually enlarged, and accordingly, the processing container 10 is also increased in size. When the processing container 10 is enlarged, the impedance component of the processing container 10 becomes large, and the impedance of the path of the high-frequency current also increases. Therefore, the wall portion of the processing container 10, which is closer to the cathode than the anode electrode, looks like an anode from the cathode electrode, and the two members are easily capacitively coupled. Then, the current path of the impedance adjusting mechanism between the anode electrode and the processing container is known, and it is known that the impedance is relatively reduced (Patent Document 1). However, when the lower electrode 11 is a cathode electrode as in the above-described plasma etching apparatus, the side surface of the processing container 10 around the lower electrode 11 or the bottom surface and the lower electrode 11 are still in a state of being easily capacitively coupled.

在此,在處理容器10之底面,設置有用以排出處理基板S之後之氣體的排氣路16,在該排氣路16之排氣口設置有用以防止異物掉落、侵入至排氣路16內,並且用 以抑制電漿侵入或產生於排氣路16內之防護用之網目構件17。該網目構件17從加工性或強度之觀點來看使用金屬材。然後,為了形成均勻電漿,若從下部電極11觀看時則在其周圍為相同電位為佳,可以說根據先入為主之設計思想,網目構件17與處理容器10接觸,成為與該處理容器10相同電位。Here, an exhaust passage 16 for exhausting the gas after the processing substrate S is disposed on the bottom surface of the processing container 10, and an exhaust port of the exhaust passage 16 is provided to prevent foreign matter from falling and entering the exhaust passage 16 Inside, and use The mesh member 17 for protection against plasma intrusion or generation in the exhaust passage 16 is suppressed. The mesh member 17 uses a metal material from the viewpoint of workability or strength. Then, in order to form a uniform plasma, it is preferable to have the same potential around it when viewed from the lower electrode 11, and it can be said that the mesh member 17 is in contact with the processing container 10 in accordance with the premise design, and becomes the same potential as the processing container 10. .

因此,被設置於接近於處理容器10底部之下部電極11之位置的網目構件17,從下部電極11觀看時成為較上部電極12更接近之陽極電極,在下部電極11和網目構件17之間形成電容結合(在第9圖中表示C2),例如容易產生輝光放電。然後,本發明者確認出產生該現象的代表性條件,為處理容器11內之壓力在0.67Pa~27Pa(5mtorr~200mtorr)之範圍內,處理氣體為氯氣等之鹵素系之氣體或CF4 氣體、以O2 氣體等為代表之負性氣體,即是構成該氣體之分子附著電子而產生較多負離子,該負離子產生較電子多之電漿的氣體,再者,基板S之一邊超過1m之大型基板,並且如先前所述般,載置台具備有下部電極11之情形。Therefore, the mesh member 17 disposed at a position close to the lower electrode 11 at the bottom of the processing container 10 becomes an anode electrode closer to the upper electrode 12 when viewed from the lower electrode 11, and is formed between the lower electrode 11 and the mesh member 17. The capacitance is combined (indicated by C2 in Fig. 9), for example, a glow discharge is easily generated. Then, the inventors of the present invention confirmed that the pressure in the processing vessel 11 is in the range of 0.67 Pa to 27 Pa (5 mtorr to 200 mtorr), and the processing gas is a halogen-based gas such as chlorine gas or CF 4 gas. A negative gas represented by O 2 gas or the like, that is, a molecule constituting the gas adheres to electrons to generate a large amount of negative ions, and the negative ions generate a gas having a larger amount of electrons than the electrons. Further, one side of the substrate S exceeds 1 m. A large substrate, and as described above, the mounting table is provided with the lower electrode 11.

再者,處理容器10內之氣體流入之排氣路16之排出口附近,藉由氣體流量或壓力等之製程條件,成為各種壓力環境,但是一般在電極間為了產生電漿所需之最低電壓,由於成為被形成在電極間之空間之壓力的函數,故與上述要因相結合。在屬於陰極電極之下部電極11,和尤其在與網目構件17之間容易引起輝光放電。Further, in the vicinity of the discharge port of the exhaust passage 16 through which the gas in the processing container 10 flows, the process conditions such as gas flow rate or pressure become various pressure environments, but generally the minimum voltage required for generating plasma between the electrodes is required. Since it is a function of the pressure in the space formed between the electrodes, it is combined with the above factors. The glow discharge is easily caused between the lower electrode 11 belonging to the cathode electrode and especially between the mesh member 17.

如此一來,當在下部電極11和網目構件17之間,形成不需要之電容耦合,局部性產生強烈輝光放電之時,則有屬於原本之電漿產生空間之下部電極11和上部電極12之間的電漿成為不安定之虞,在例如處理容器10內之構件或基板S之表面產生弧狀異常放電,即是引起所謂的電弧作用,有對該些構件或基板S造成損傷或損耗,再者由於產生不平均之電漿使得基板S處理之面內均勻性惡化之虞。In this way, when an unnecessary capacitive coupling is formed between the lower electrode 11 and the mesh member 17, and a strong glow discharge is locally generated, there is a portion of the lower electrode 11 and the upper electrode 12 which belong to the original plasma generating space. The inter-plasma becomes unstable, causing an arc-like abnormal discharge on the surface of the member or the substrate S in the processing container 10, for example, causing a so-called arcing action, causing damage or loss to the members or the substrate S, Furthermore, the in-plane uniformity of the substrate S treatment is deteriorated due to the generation of uneven plasma.

[專利文獻1]日本特開2005-340760;第0027段落,第1圖[Patent Document 1] Japanese Patent Laid-Open No. 2005-340760; paragraph 0027, first figure

本發明係鑑於如此之事情而所研究出者,其目的在於提供一種屬於平行平板型之電漿處理裝置,可以抑制在陰極電極和覆蓋排氣口之網目構件之間產生的異常放電之電漿處理裝置。The present invention has been made in view of such circumstances, and an object thereof is to provide a plasma processing apparatus belonging to a parallel flat type, which can suppress plasma of abnormal discharge generated between a cathode electrode and a mesh member covering an exhaust port. Processing device.

本發明所涉及之電漿處理裝置係屬於對在處理容器內互相相向被設置之陽極電極及陰極電極間,施加高頻電力,使處理氣體予以電漿化,對被處理體執行電漿處理的電漿處理裝置,其特徵為具備:排氣口,被配置在上述陰極電極之外方側,用以排出 上述處理氣體;導電性構件,覆蓋上述排氣口,具備有使往該排氣口排出之處理氣體流通的開口部;和介電體,藉由介於上述導電性構件和上述處理容器之內壁之間而被設置,使在上述陰極電極經上述導電性構件而到上述處理容器之路徑的阻抗上升。In the plasma processing apparatus according to the present invention, high-frequency electric power is applied between the anode electrode and the cathode electrode which are disposed to face each other in the processing container, and the processing gas is plasma-treated to perform plasma treatment on the object to be processed. A plasma processing apparatus comprising: an exhaust port disposed on an outer side of the cathode electrode for discharging The processing gas; the conductive member covering the exhaust port; and an opening for allowing a processing gas discharged to the exhaust port to flow; and a dielectric body interposed between the conductive member and the inner wall of the processing container The impedance of the path of the cathode electrode passing through the conductive member to the processing container is increased.

再者,上述導電性構件為例如金屬,上述介電體為陶瓷之時為佳,該導電性構件以網目形狀為佳。Further, the conductive member is, for example, a metal, and the dielectric member is preferably a ceramic, and the conductive member preferably has a mesh shape.

再者,即使具備覆蓋上述排氣口,被設置在該排氣口之周邊部的第1導電性構件,和以覆蓋該第1導電性構件之上方側空間,並且與該第1導電性構件間隔開之方式而被設置的第2導電性構件,將上述導電性構件當作為第2導電性構件而予以構成亦可。即使於此時,上述第1導電性構件及上述第2導電性構件為金屬,上述介電體為陶瓷為佳。然後,上述第1導電性構件及上述第2導電性構件為網目形狀之時,或上述第1導電性構件為網目形狀,上述第2導電性構件為平板狀之時等為佳。再者,即使又具備介於上述第1導電性構件和上述處理容器之導電性之壁部之間而被設置的第2介電體亦可。Further, even if the first conductive member provided in the peripheral portion of the exhaust port and the upper side space covering the first conductive member and the first conductive member are provided, the first conductive member is provided to cover the exhaust port. The second conductive member provided in a spaced apart manner may be configured to have the conductive member as the second conductive member. Even in this case, the first conductive member and the second conductive member are made of a metal, and the dielectric material is preferably ceramic. When the first conductive member and the second conductive member have a mesh shape, or when the first conductive member has a mesh shape, and the second conductive member has a flat shape, it is preferable. Further, the second dielectric body may be provided between the first conductive member and the conductive wall portion of the processing container.

針對上述各電漿處理裝置,上述陰極電極及排氣口以被設置在上述處理容器之下部為佳,上述電漿處理裝置係於上述被處理體之面積為4.0m2 以上之方形基板,處理氣體為負性氣體,上述電漿處理所執行之壓力環境為0.67Pa以上,27Pa以下之範圍內之情形為佳。In the above-described plasma processing apparatus, it is preferable that the cathode electrode and the exhaust port are provided in a lower portion of the processing container, and the plasma processing device is a square substrate having an area of 4.0 m 2 or more of the object to be processed, and is processed. The gas is a negative gas, and the pressure environment to be performed by the above plasma treatment is preferably 0.67 Pa or more, and preferably in the range of 27 Pa or less.

若藉由本發明,則成為在平行平板型之電漿處理裝置中,於覆蓋被設置在處理容器之排氣口之網目構件和處理容器之導電性壁部之間設置有介電體之狀態。其結果,從具備有載置台之陰極電極經網目構件到處理容器的所謂異常路徑之阻抗變大,陰極電極和網目構件難以電容耦合,可抑制異常放電。因此,可以抑制電弧產生,抑制處理容器內之構件或基板之損傷。According to the present invention, in the parallel plate type plasma processing apparatus, a dielectric body is provided between the mesh member provided to the exhaust port of the processing container and the conductive wall portion of the processing container. As a result, the impedance of the so-called abnormal path from the cathode electrode having the mounting table to the processing container through the mesh member becomes large, and it is difficult for the cathode electrode and the mesh member to be capacitively coupled, and abnormal discharge can be suppressed. Therefore, the generation of the arc can be suppressed, and the damage of the member or the substrate in the processing container can be suppressed.

以下,針對將本發明之電漿處理裝置適用於FPD基板之蝕刻處理裝置2之實施型態,一面參照第1圖至第4圖,一面予以說明。該蝕刻處理裝置2係在其內部中,具備有用以對被處理體例如FPD基板之基板S施予蝕刻處理之真空腔室的處理容器20,該處理容器20例如平面形狀被形成四角形狀,並且該處理容器20經後述匹配箱之框體64被接地。Hereinafter, an embodiment of the etching processing apparatus 2 to which the plasma processing apparatus of the present invention is applied to an FPD substrate will be described with reference to Figs. 1 to 4 . The etching processing apparatus 2 is provided inside the processing container 20 having a vacuum chamber for applying an etching treatment to the substrate S of the object to be processed, for example, an FPD substrate, and the processing container 20 is formed into a quadrangular shape, for example, in a planar shape, and The processing container 20 is grounded via a frame 64 of a matching case, which will be described later.

基板S為一邊長度超過1m之角型之玻璃基板,處理容器20係對應於該基板S之形狀被構成例如水平剖面之一邊為3.5m,另一邊為3.0m左右之大小,再者,藉由例如鋁等之熱傳導性之良好導電性材料而構成。在上述處理容器20之一個側壁21,形成用以將基板S搬入至該處理容器20內之搬入搬出口22,該搬入搬出口22藉由閘閥 23形成開關自如。The substrate S is an angular glass substrate having a length of more than 1 m, and the processing container 20 is configured such that the shape of the substrate S is, for example, 3.5 m on one side of the horizontal cross section and 3.0 m or so on the other side. For example, it is composed of a good conductive material having thermal conductivity such as aluminum. On one side wall 21 of the processing container 20, a loading/unloading port 22 for carrying the substrate S into the processing container 20 is formed, and the loading and unloading port 22 is provided by a gate valve 23 forms a switch freely.

在上述處理容器20之內部,配置有用以將基板S載置在其上方之載置台3。載置台3係電漿產生用之第1高頻電源部311及電漿中之離子引入用之第2高頻電源部312各經匹配電路62、63而被電性連接,使處理容器20內產生電漿,發揮當作將該電漿中之離子引入至基板S表面之陰極電極的作用。再者,匹配電路62、63被收納於屬於匹配箱之導電性之框體64內,該框體64經導電性之管路構件65而被連接於處理容器20之底壁。框體64係被連接於第1、第2高頻電源部311、312之接地側,因此處理容器20成為經該框體64而被接地之狀態。Inside the processing container 20, a mounting table 3 for placing the substrate S thereon is disposed. The first high-frequency power supply unit 311 for generating the plasma in the stage 3 and the second high-frequency power supply unit 312 for ion introduction in the plasma are electrically connected to each other via the matching circuits 62 and 63, and the processing container 20 is electrically connected. The plasma is generated to function as a cathode electrode for introducing ions in the plasma to the surface of the substrate S. Further, the matching circuits 62 and 63 are housed in a conductive frame 64 belonging to the matching box, and the frame 64 is connected to the bottom wall of the processing container 20 via the conductive line member 65. Since the frame 64 is connected to the ground side of the first and second high-frequency power supply units 311 and 312, the processing container 20 is grounded via the frame 64.

該載置台3係經介電體32而被配置在處理容器20之底面上,依此屬於下部電極之載置台3成為自處理容器20電性浮起之狀態。再者,在載置台3表面之周緣區域及側面,藉由用以使電漿均勻形成在上述載置台3上方之由陶瓷材料所構成之遮蔽環33而被覆蓋。The mounting table 3 is placed on the bottom surface of the processing container 20 via the dielectric member 32, whereby the mounting table 3 belonging to the lower electrode is electrically floated from the processing container 20. Further, the peripheral region and the side surface of the surface of the mounting table 3 are covered by a shadow ring 33 made of a ceramic material for uniformly forming plasma on the mounting table 3.

並且,在該載置台3設置有蝕刻處理裝置2之外部的無圖示之搬運裝置,和在該載置台3之間用以執行基板S之交接的升降銷34。升降銷34係被構成藉由升降機構35自載置台3表面伸縮自如,可以在外部搬運手段之間執行基板S之交接的位置,和被設置在載置台3表面,在載置基板S之位置之間使基板S升降。Further, the mounting table 3 is provided with a transfer device (not shown) outside the etching processing device 2, and a lift pin 34 for performing transfer of the substrate S between the mounting table 3. The lift pins 34 are configured to be expandable and contractible from the surface of the mounting table 3 by the elevating mechanism 35, to be able to perform the transfer of the substrate S between the external transport means, and to be placed on the surface of the mounting table 3 at the position where the substrate S is placed. The substrate S is raised and lowered.

另外,在處理容器20內部之載置台3之上方,以與該載置台3表面對向之方式,設置屬於陽極電極之平板狀 之上部電極4,該上部電極4被支撐於角板狀之上部電極基座41。該些上部電極4及上部電極基座41係藉由例如鋁所構成。再者,上部電極基座41之上面經介電體45被固定於處理容器20之天井部,上部電極4及其基座41經阻抗調整機構6及導電性之蓋體61電性連接於處理容器20。Further, a flat plate belonging to the anode electrode is provided above the mounting table 3 inside the processing container 20 so as to face the surface of the mounting table 3. The upper electrode 4 is supported by the gusset-shaped upper electrode base 41. The upper electrode 4 and the upper electrode base 41 are made of, for example, aluminum. Furthermore, the upper surface of the upper electrode base 41 is fixed to the patio portion of the processing container 20 via the dielectric body 45, and the upper electrode 4 and the base 41 thereof are electrically connected to the processing via the impedance adjusting mechanism 6 and the conductive cover 61. Container 20.

阻抗調整機構6發揮調整從上部電極4到處理容器20的阻抗之作用,使用含有電容器之電路,例如可變電容器,藉由阻抗調整機構6之電容成分(C),使電漿之電容(C1)及從上部電極4到處理容器20下部為止之路徑之阻抗(L)相抵。依此,阻抗調整機構6將載置台3(下部電極)→電漿→上部電極4→阻抗調整機構6→處理容器20→接地之路徑之阻抗設為j(-1/ω c1+ω L-1/ω C),發揮縮小後述異常路徑之阻抗的作用。The impedance adjusting mechanism 6 functions to adjust the impedance from the upper electrode 4 to the processing container 20, and uses a capacitor-containing circuit such as a variable capacitor to make the capacitance of the plasma (C1) by the capacitance component (C) of the impedance adjusting mechanism 6. And the impedance (L) of the path from the upper electrode 4 to the lower portion of the processing container 20 is offset. Accordingly, the impedance adjusting mechanism 6 sets the impedance of the path of the mounting table 3 (lower electrode) → the plasma → the upper electrode 4 → the impedance adjusting mechanism 6 → the processing container 20 → the ground to j (-1/ω c1 + ω L - 1/ω C) plays a role in reducing the impedance of the abnormal path described later.

再者,藉由上部電極基座41及上部電極4所包圍之空間構成蝕刻氣體之氣體擴散空間42。以下,纏繞該些上部電極4、上部電極基座41等被稱為氣體噴淋頭40。再者,處理容器20之天井部係以連接於上述氣體擴散空間42之方式,設置有處理氣體供給路43,該處理氣體供給路43之另一端側連接有用以經氣體擴散空間42將蝕刻氣體供給至處理容器20內之處理氣體供給部44。Further, a space surrounded by the upper electrode base 41 and the upper electrode 4 constitutes a gas diffusion space 42 for etching gas. Hereinafter, the upper electrode 4, the upper electrode base 41, and the like are wound around the gas shower head 40. Further, the processing portion of the processing container 20 is connected to the gas diffusion space 42, and a processing gas supply path 43 is provided. The other end side of the processing gas supply path 43 is connected to be used to etch the gas through the gas diffusion space 42. It is supplied to the processing gas supply unit 44 in the processing container 20.

在此,如第1圖及第2圖所示般,在載置台3之側面和側壁部21之間之空間,配設有例如由將表面予以氧皮鋁處理之鋁製構件之構件所構成之平板狀之隔板25。隔 板25係被配設在載置台3之4邊之外方側之區域,從載置台3和氣體噴淋頭40之間之電漿所形成之空間觀看,係被配置在遮蔽後述排氣口241之前面的位置。隔板25係發揮限制被供給至載置台3上之基板S表面的蝕刻氣體直接流入至排氣口241,抑制氣體之流動偏移,使蝕刻氣體均勻在基板S表面全體流動之作用。如第2圖所示般,在隔板25之外方側之四角落,開口有無設置隔板25之流通口251,被供給至處理容器20內之蝕刻氣體經該隔板25流入至下流側。Here, as shown in Fig. 1 and Fig. 2, a space between the side surface of the mounting table 3 and the side wall portion 21 is provided, for example, of a member made of an aluminum member having a surface treated with an oxygen barium aluminum. A flat plate 25 of the shape. Separate The plate 25 is disposed in a region on the outer side of the four sides of the mounting table 3, and is disposed in the space formed by the plasma between the mounting table 3 and the gas shower head 40. The position before 241. The separator 25 serves to restrict the flow of the etching gas supplied to the surface of the substrate S on the mounting table 3 to the exhaust port 241, thereby suppressing the flow deviation of the gas and uniformly flowing the etching gas on the entire surface of the substrate S. As shown in Fig. 2, at the four corners on the outer side of the partition plate 25, the flow port 251 in which the partition plate 25 is provided is opened, and the etching gas supplied into the processing container 20 flows into the downstream side through the partition plate 25. .

如第1圖至第3圖所示般,在處理容器20之底壁,形成有構成橫長之排氣口241之開口部。在該排氣口之下方,連接有構成排氣路24之排氣管,該排氣管之上流端係以擴開部242被擴開成對應於橫長之排氣口241之形狀,並且被形成該擴開部242之開口緣之凸緣部份氣密被接合於處理容器20之底壁之下面側。該排氣口241係在例如載置台3和側壁部21之間之處理容器20之底壁,沿著各側壁部21被配置成一邊各兩處合計8處,將由例如蝶閥等所構成之壓力調節機構26設置成介於該些各排氣口241之下流側之排氣管。然後,該排氣管在壓力調節機構26之下流側合流之後,在下流端與真空泵27連接。As shown in Figs. 1 to 3, an opening portion constituting the horizontally long exhaust port 241 is formed in the bottom wall of the processing container 20. An exhaust pipe constituting the exhaust passage 24 is connected below the exhaust port, and the flow end of the exhaust pipe is expanded into a shape corresponding to the horizontally long exhaust port 241 by the expansion portion 242, and is The flange portion forming the opening edge of the flared portion 242 is hermetically bonded to the lower surface side of the bottom wall of the processing container 20. The exhaust port 241 is, for example, a bottom wall of the processing container 20 between the mounting table 3 and the side wall portion 21, and is disposed along each of the side wall portions 21 so as to have a total of eight places at two places, and the pressure is constituted by, for example, a butterfly valve or the like. The adjustment mechanism 26 is disposed as an exhaust pipe on the flow side below the respective exhaust ports 241. Then, the exhaust pipe is joined to the vacuum pump 27 at the downstream end after the flow side merges under the pressure regulating mechanism 26.

各排氣口241如第3圖、第4圖(a)所示般,藉由屬於導電性構件之例如鋁等之金屬製的網目構件51被覆蓋,如在先行技術中所說明般,該網目構件51發揮抑制異物落下、侵入至排氣路24內,並且電漿侵入至排氣路 24內之作用。在本例中,網目構件51之網眼相當於導電性構件之開口部。Each of the exhaust ports 241 is covered by a mesh member 51 made of a metal such as aluminum, which is a conductive member, as shown in Fig. 3 and Fig. 4(a), as described in the prior art. The mesh member 51 functions to suppress the foreign matter from falling, intruding into the exhaust passage 24, and the plasma invades into the exhaust passage. The role of 24. In this example, the mesh of the mesh member 51 corresponds to the opening of the conductive member.

網目構件51係如第3圖、第4圖(b)所示般經由例如氧化鋁等例如由陶瓷所成之小片狀介電體52,藉由陶瓷構成之介電體所形成之螺栓511等,與處理容器20之底壁面締結,自該底壁面經例如5mm~20mm之間隙而被固定。該介電體52係在例如排氣口241之周圍之8處,局部性支撐網目構件51,成為在金屬製之處理容器20和網目構件51之間存在介電體52之狀態。The mesh member 51 is a small-sized dielectric body 52 made of, for example, ceramics such as alumina, as shown in FIG. 3 and FIG. 4(b), and a bolt 511 formed of a dielectric body made of ceramic. And the like, and is connected to the bottom wall surface of the processing container 20, and is fixed from the bottom wall surface by, for example, a gap of 5 mm to 20 mm. The dielectric member 52 is, for example, at eight locations around the exhaust port 241, and partially supports the mesh member 51 in a state in which the dielectric member 52 is present between the metal processing container 20 and the mesh member 51.

如第1圖所示般,蝕刻處理裝置2與控制部7連接。控制部7係由具備有例如無圖示之CPU和記憶部的電腦所構成,在記憶部記錄有編入針對控制等之步驟(命令)群之程式,該控制等係關於該蝕刻處理裝置2之作用,即是將基板S搬入至處理容器20內,對被載置於載置台3上之基板S施予蝕刻處理之後至搬出為止之動作。該程式係被儲存於例如硬碟、CD、光磁性碟、記憶卡等之記憶媒體,自此被安裝於電腦。As shown in FIG. 1, the etching processing apparatus 2 is connected to the control unit 7. The control unit 7 is constituted by a computer including, for example, a CPU (not shown) and a storage unit, and a program for programming a group of commands (commands) for control or the like is recorded in the storage unit, and the control is related to the etching processing device 2 The operation is carried out by carrying the substrate S into the processing container 20 and applying the etching treatment to the substrate S placed on the mounting table 3 until the loading is performed. The program is stored in a memory medium such as a hard disk, a CD, a magneto-optical disk, a memory card, etc., and is installed on the computer since then.

以下,針對本實施型態所涉及之蝕刻處理裝置2之動作予以說明。首先,當經無圖示之操作部使用者對控制部7選擇目的之蝕刻處理之程式處理方法時,在控制部7中,根據該製程處理方法,對蝕刻處理裝置2之各部輸出控制訊號,如此一來對基板S執行特定之蝕刻處理。Hereinafter, the operation of the etching processing apparatus 2 according to the present embodiment will be described. First, when the user of the operation unit (not shown) selects the program processing method of the target etching process for the control unit 7, the control unit 7 outputs a control signal to each unit of the etching processing device 2 according to the process processing method. As a result, a specific etching process is performed on the substrate S.

具體而言,首先打開閘閥23,藉由無圖示之外部之搬運手段將在表面形成A1膜之基板S搬入至處理容器20 內,並且搬運至載置部3之載置區域之上方側之交接位置。然後,使升降銷34上升,在該交接位置自搬運手段藉由該升降銷34接取基板S,並使升降銷34下降而將基板S載置於載置台3上之載置區域。在該期間,交接基板S之搬運手段退出至處理容器20外,藉由閘閥23關閉搬入搬出口22。Specifically, first, the gate valve 23 is opened, and the substrate S on which the A1 film is formed on the surface is carried into the processing container 20 by an external conveying means (not shown). It is conveyed to the delivery position of the upper side of the mounting area of the mounting part 3. Then, the lift pins 34 are raised, and the substrate S is picked up from the transport means by the lift pins 34 at the transfer position, and the lift pins 34 are lowered to mount the substrate S on the mounting area on the mounting table 3. During this period, the transport means for transferring the substrate S is withdrawn to the outside of the processing container 20, and the loading/unloading port 22 is closed by the gate valve 23.

接著,自處理氣體供給部44將蝕刻處理用之蝕刻氣體例如氯氣等之鹵素系之負性氣體朝向基板S吐出,並且將處理容器20之內部空間調整至特定壓力。然後,自電漿產生用之第1高頻電源部311將例如13.56MHz之高頻電力施加5.5kW至載置台3,再者自電漿中之離子引入用之第2高頻電源部312將例如3.2MHz之高頻電力施加1.0kW至載置台3,並且利用形成在基板S之上方側之空間的電漿,根據下述(1)式所示之主要反應,實行對基板S之蝕刻處理。Then, the processing gas supply unit 44 discharges a halogen-based negative gas such as chlorine gas for etching processing toward the substrate S, and adjusts the internal space of the processing container 20 to a specific pressure. Then, the first high-frequency power supply unit 311 for generating plasma, for example, applies 5.5 kW of high-frequency power of 13.56 MHz to the mounting table 3, and the second high-frequency power supply unit 312 for ion introduction from the plasma For example, a high-frequency power of 3.2 MHz is applied to the mounting table 3, and the plasma of the space formed on the upper side of the substrate S is subjected to etching treatment of the substrate S according to the main reaction shown by the following formula (1). .

3Cl* +Al→AlCl3 …(1)3Cl * +Al→AlCl 3 ...(1)

當針對此時之處理容器20內中之蝕刻氣體之流程予以說明時,自氣體噴淋頭40被供給之蝕刻氣體,一面在上下電極4、3間下降,一面被電漿化,當到達至基板S之後,在基板S表面及隔板25上流動,而流入流通口251。然後,藉由隔板25下方之空間經各排氣口241排氣至排氣路24。When the flow of the etching gas in the processing container 20 at this time is explained, the etching gas supplied from the gas shower head 40 is plasma-formed while being lowered between the upper and lower electrodes 4 and 3, and is reached to After the substrate S, the substrate S flows on the surface of the substrate S and the separator 25, and flows into the flow port 251. Then, the space below the partition 25 is exhausted to the exhaust passage 24 through the respective exhaust ports 241.

另外,藉由使蝕刻氣體予以電漿化,高頻電力係流經載置台(下部電極)3→電漿上部電極4(氣體噴淋頭40)→阻抗調整機構6→處理容器20→屬於匹配箱之框體64→第1、第2之高頻電源311、312側之接地的所謂正常路徑。此時,在屬於下部電極之載置台3之附近,設置有覆蓋排氣路24之排氣口241的網目構件51,該網目構件51周邊之環境也如在先前技術中說明般,被排氣之氣體朝向排氣口241流入,因應製程處理方法,形成各種之壓力環境。因此,雖然也有成為在網目構件51與載置台3之間容易產生輝光放電之壓力環境之虞,但是藉由成為依據介電體52支撐從載置台3可觀看到之導電體的網目構件51的狀態,經介電體52被形成在網目構件51和處理容器20之間之電容被施加至含有遮蔽環33之載置台3→電漿→網目構件51→處理電容20→接地的所謂異常路徑,該異常路徑之阻抗變大。其結果,網目構件51可以抑制從屬於陰極電極之載置台3觀看最近之陽極電極難以觀看到,在載置台3和網目構件51產生輝光放電,再者即使產生放電亦可以將其程度抑制成小。Further, by plasma-etching the etching gas, the high-frequency power flows through the mounting table (lower electrode) 3 → the plasma upper electrode 4 (gas shower head 40) → the impedance adjusting mechanism 6 → the processing container 20 → belongs to the matching The box frame 64 → a so-called normal path to which the first and second high-frequency power sources 311 and 312 are grounded. At this time, in the vicinity of the mounting table 3 belonging to the lower electrode, a mesh member 51 covering the exhaust port 241 of the exhaust passage 24 is provided, and the environment around the mesh member 51 is also exhausted as described in the prior art. The gas flows into the exhaust port 241, and various pressure environments are formed in accordance with the process processing method. Therefore, there is a pressure environment in which a glow discharge is likely to occur between the mesh member 51 and the mounting table 3, but the mesh member 51 that supports the electric conductor that can be viewed from the mounting table 3 in accordance with the dielectric member 52 is provided. In a state, a capacitance formed between the mesh member 51 and the processing container 20 via the dielectric body 52 is applied to a so-called abnormal path including the mounting table 3 including the shielding ring 33 → the plasma → the mesh member 51 → the processing capacitor 20 → the ground. The impedance of the abnormal path becomes large. As a result, the mesh member 51 can suppress the display of the anode electrode which is closest to the cathode electrode, and it is difficult to see the anode electrode, and the glow discharge is generated in the mounting table 3 and the mesh member 51, and the degree can be suppressed to a small extent even if a discharge is generated. .

若藉由本實施型態所涉及之蝕刻處理裝置2時,則有以下之效果。在屬於平行平板型之電漿處理裝置之蝕刻處理裝置2中,成為於覆蓋被設置在處理容器20之排氣口241之網目構件51和導電性之處理容器20之間設置有介電體52之狀態。其結果,從具備有載置台3之陰極電極經網目構件51到處理容器20的所謂異常路徑之阻抗變大 ,陰極電極和網目構件難以電容耦合,可抑制異常放電。因此,可以抑制電弧產生,抑制處理容器20內之構件或基板之損傷、損耗。再者,能夠抑制因上部電極4間之電容耦合之不安定化所造成之電漿偏移,執行面內均勻性高之基板S處理。According to the etching processing apparatus 2 according to this embodiment, the following effects are obtained. In the etching processing apparatus 2 of the plasma processing apparatus of the parallel flat type, the dielectric body 52 is provided between the mesh member 51 covering the exhaust port 241 of the processing container 20 and the conductive processing container 20. State. As a result, the impedance of the so-called abnormal path from the cathode electrode having the mounting table 3 through the mesh member 51 to the processing container 20 becomes large. The cathode electrode and the mesh member are difficult to be capacitively coupled, and abnormal discharge can be suppressed. Therefore, generation of arc can be suppressed, and damage or loss of members or substrates in the processing container 20 can be suppressed. Further, it is possible to suppress the plasma shift caused by the instability of the capacitive coupling between the upper electrodes 4, and to perform the substrate S processing with high in-plane uniformity.

並且,當針對該效果予以詳細敘述時,於基板面積為1m2 以上,尤其4m2 以上之大型基板S之時,由於在陰極和處理容器20或周圍之構件之間容易電容耦合,故執行使阻抗調整機構6介在於陽極電極和處理容器20之間。但是,如此之處理容器20之排氣口241附近藉由製程處理方法成為各種壓力環境,尤其在0.67Pa~27Pa(5mtorr~200mtorr)之壓力範圍中容易產生輝光放電。於將如氯氣等之鹵素系氣體般負性氣體當作處理氣體使用之時,由於氣體之解離度大,故更容易產生輝光放電,在如此條件齊全之蝕刻處理裝置2中相對於處理容器20使網目構件51電性浮起之構造,針對大型基板能夠執行面內均勻性高之處理極為有效。Further, when to be described in detail for this effect, the substrate area of 1m 2 or more, when a large substrate S 4m 2 or more of the particular, since it is easy capacitive coupling between the cathode 20 and the processing vessel, or around the member, so that it is performed The impedance adjustment mechanism 6 is interposed between the anode electrode and the processing container 20. However, the vicinity of the exhaust port 241 of the processing container 20 is subjected to various pressure environments by the process processing method, and in particular, a glow discharge is easily generated in a pressure range of 0.67 Pa to 27 Pa (5 mtorr to 200 mtorr). When a halogen-like gas such as chlorine gas is used as the processing gas, since the degree of dissociation of the gas is large, glow discharge is more likely to occur, and in the etching processing apparatus 2 of such a complete condition, with respect to the processing container 20 The structure in which the mesh member 51 is electrically floated is extremely effective for performing processing in which the in-plane uniformity is high for a large substrate.

除此之外,在上述實施型態中,雖然例示具備有阻抗調整機構6之類型的電漿處理裝置2,但是即使不具備有如此阻抗調整機構6之類型的電漿處理裝置亦適用本發明,依此可以增大先前已述之異常路徑之阻抗而抑制異常放電之產生。但是,藉由具備有阻抗調整機構6,相對於異常路徑之阻抗容易縮小正常路徑之阻抗,能夠取得因在網目構件51和處理容器20之間配置介電體2而增加本發明 之有效性的效果。In addition, in the above-described embodiment, the plasma processing apparatus 2 of the type including the impedance adjusting mechanism 6 is exemplified, but the present invention is applicable to a plasma processing apparatus of a type having such an impedance adjusting mechanism 6 According to this, the impedance of the abnormal path previously described can be increased to suppress the occurrence of abnormal discharge. However, by providing the impedance adjusting mechanism 6, the impedance of the normal path can be easily reduced with respect to the impedance of the abnormal path, and the present invention can be obtained by disposing the dielectric 2 between the mesh member 51 and the processing container 20. The effectiveness of the effect.

在此,網目構件或介電體之構成並不限定於第4圖(a)、第4圖(b)所例示者。例如,如第5圖(a)、第5圖(b)所示般,設置有包圍排氣路24之排氣口241之全周圍的介電體52a,即使支撐具有例如適合該介電體52a之形狀的凸緣部512之網目構件51a亦可。因藉由介電體52a掩埋處理容器20之底壁面和網目構件51a之間之間隙,故防止異物經該間隙落下、侵入至排氣路24。Here, the configuration of the mesh member or the dielectric body is not limited to those illustrated in FIGS. 4(a) and 4(b). For example, as shown in FIGS. 5(a) and 5(b), a dielectric body 52a surrounding the entire periphery of the exhaust port 241 of the exhaust passage 24 is provided, even if the support has, for example, a suitable dielectric body. The mesh member 51a of the flange portion 512 having the shape of 52a may also be used. Since the gap between the bottom wall surface of the processing container 20 and the mesh member 51a is buried by the dielectric body 52a, foreign matter is prevented from falling through the gap and entering the exhaust path 24.

再者,如第6圖所示般,在使金屬製之網目構件53接觸於排氣口241之周邊部的狀態下,以例如金屬製之螺桿固定,並且以覆蓋該網目構件53之上方之空間之方式,形成凸狀,設置與該網目構件53間隔開而被設置之第2網目構件51b,即使作為將該第2網目構件51b固定於介電體52上之狀態亦可。在本例中,第2網目構件51b相當於申請專利範圍之第2導電性構件,網目構件53相當於申請專利範圍之第1導電性構件。此時,在具備有接觸於排氣口241之周邊部的狀態下被設置之已存在之網目構件53的處理容器20內,因可以藉由追加第2網目構件51b來對應,故有容易改造裝置之優點。並且,在本例中,使第2介電體介於網目構件53和處理容器20之間當然亦可。Further, as shown in Fig. 6, in a state where the metal mesh member 53 is brought into contact with the peripheral portion of the exhaust port 241, it is fixed by, for example, a metal screw, and covers the upper portion of the mesh member 53. The space is formed in a convex shape, and the second mesh member 51b provided to be spaced apart from the mesh member 53 is provided in a state in which the second mesh member 51b is fixed to the dielectric member 52. In this example, the second mesh member 51b corresponds to the second conductive member of the patent application, and the mesh member 53 corresponds to the first conductive member of the patent application. In this case, in the processing container 20 including the existing mesh member 53 that is provided in contact with the peripheral portion of the exhaust port 241, since the second mesh member 51b can be added, it is easy to be modified. The advantages of the device. Further, in this example, it is of course possible to make the second dielectric body interposed between the mesh member 53 and the processing container 20.

除此之外,以設置第1導電性構件及第2導電性構件之其他例,即使例如將隔板25當作第2導電性構件,為經介電體在處理容器20之側壁面固定隔板25之構成亦可 。此時,例如被設置在載置台3周圍之四角落之流通口251相當於導電性構件之開口部,但是即使不設置流通口251,在隔板25本體設置開口部亦可,再者,即使除流通口251之外即使在隔板25本體設置開口部亦可。In addition, in the other example in which the first conductive member and the second conductive member are provided, for example, the separator 25 is used as the second conductive member, and the dielectric material is fixed on the side wall surface of the processing container 20. The composition of the board 25 can also . In this case, for example, the flow port 251 provided at the four corners around the mounting table 3 corresponds to the opening of the conductive member. However, even if the flow port 251 is not provided, the opening portion of the partition plate 25 may be provided, and even if In addition to the flow port 251, an opening may be provided in the main body of the partition plate 25.

並且,本發明之陰極電極並不限定於如先前所述般之電漿處理裝置2般具備於載置台之情形。例如,即使在上部電極4連接電漿產生用之高頻電源部,並在該上部電極之間能夠產生電容耦合之位置,例如處理容器之側壁部設置有排氣口241之上下2頻率類型、側方排氣型之電漿處理裝置亦可以適用本發明。Further, the cathode electrode of the present invention is not limited to the case where the plasma processing apparatus 2 as described above is provided on the mounting table. For example, even if the upper electrode 4 is connected to the high-frequency power supply unit for plasma generation, and a position where capacitive coupling can occur between the upper electrodes, for example, the side wall portion of the processing container is provided with the upper and lower frequency types of the exhaust port 241, The present invention can also be applied to a side vent type plasma processing apparatus.

然後,可以適用本發明之陰極電極並不限定於實施型態中所例示之載置台3。例如,即使將埋設於陶瓷製之載置台之薄片狀電極當作陰極亦可,在僅對上部電極施加高頻之電漿處理裝置或對上部電極和下部電極之雙方施加高頻之上下兩頻率類型之電漿處理裝置中,即使將上部電極當作陰極電極亦可。再者,即使針對導電性之材質,並不限定於金屬,即使為例如導電性樹脂或導電性陶瓷等亦可。Then, the cathode electrode to which the present invention can be applied is not limited to the mounting table 3 exemplified in the embodiment. For example, even if a sheet-like electrode embedded in a ceramic mounting table is used as a cathode, a high frequency plasma processing device is applied to only the upper electrode or a high frequency is applied to both the upper electrode and the lower electrode. In the plasma processing apparatus of the type, even the upper electrode can be used as the cathode electrode. In addition, the material for conductivity is not limited to a metal, and may be, for example, a conductive resin or a conductive ceramic.

再者,本發明之電漿處理裝置不僅鋁膜之蝕刻處理,亦被適用於鋁合金、鈦、鈦合金等之金屬膜或絕緣膜、半導體膜或該些疊層膜之蝕刻。再者,亦可以適用於蝕刻處理以外之例如灰化或CVD(Chemical Vapor Deposition)等,使用其他處理氣體對被處理體執行處理之電漿處理等。並且,被處理體並不限定於方形之基板,即使FPD基 板之外的半導體晶圓等亦可。Further, the plasma processing apparatus of the present invention is applied not only to the etching treatment of the aluminum film but also to the etching of the metal film or the insulating film of the aluminum alloy, titanium, titanium alloy or the like, the semiconductor film or the laminated film. Further, it is also applicable to plasma treatment or the like in which processing is performed on the object to be processed using other processing gases, such as ashing or CVD (Chemical Vapor Deposition) other than the etching treatment. Moreover, the object to be processed is not limited to a square substrate, even if the FPD base A semiconductor wafer or the like other than the board may be used.

[實施例][Examples]

[實施例1][Example 1]

製作實施型態中所示之蝕刻處理裝置2之模型機,對在處理容器20和網目構件之間設置有介電體52之時和不設置之時,觀察對載置台3施加高頻電力之時之載置台3-網目構件間之狀態。在排氣路24之排氣口241設置有第6圖所示之凸狀之第2網目構件51b(鋁製,以下單記為「網目構件51b」),以6000sccm供給當作處理氣體之氧氣。處理容器20內之壓力設為13Pa(100mtorr),從第1高頻電源部311將13.56MHz施加10kW,從第2高頻電源部312將3.2MHz施加10kW。A model machine for producing the etching processing apparatus 2 shown in the embodiment is configured to apply high-frequency power to the mounting table 3 when the dielectric body 52 is disposed between the processing container 20 and the mesh member and when it is not disposed. At the time of the stage 3 - the state between the mesh components. The second mesh member 51b (made of aluminum, hereinafter simply referred to as "mesh member 51b") having a convex shape as shown in Fig. 6 is provided in the exhaust port 241 of the exhaust passage 24, and oxygen as a processing gas is supplied at 6000 sccm. . The pressure in the processing container 20 is set to 13 Pa (100 mtorr), 10 kW is applied from the first high-frequency power supply unit 311 at 13.56 MHz, and 10 kW is applied from the second high-frequency power supply unit 312 at 3.2 MHz.

A.實驗條件A. Experimental conditions

(實施例1)(Example 1)

網目構件51b和處理容器20之底壁面之間設置有鋁製之介電體52。A dielectric body 52 made of aluminum is disposed between the mesh member 51b and the bottom wall surface of the processing container 20.

比較例1Comparative example 1

在處理容器20之底壁面直接固定網目構件51b,設為電性導通兩構件之狀態。The mesh member 51b is directly fixed to the bottom wall surface of the processing container 20, and is electrically connected to the two members.

B.實驗結果B. Experimental results

第7圖(a)係表示(實施例1)中之載置台3-網目構件51b間之狀態,將(比較例1)之結果表示於第7圖(b)。若藉由第7圖(a)時,則在網目構件51b附近不觀察到顯著之發光,在(實施例1)之實驗中,可知抑制載置台3-網目構件51b之放電。另外,若藉由第7圖(b)時,可知在網目構件51b之上面確認亮度高之發光,在(比較例1)之實驗中,於載置台3-網目構件51b間產生比較強之輝光放電。由以上之實驗結果可以確認出於網目構件51b和處理容器20之間設置介電體52之時,比起無設置此之時,可以抑制載置台3-網目構件51b間之放電。Fig. 7(a) shows the state between the mounting table 3 and the mesh member 51b in (Example 1), and the result of (Comparative Example 1) is shown in Fig. 7(b). According to Fig. 7(a), no significant light emission was observed in the vicinity of the mesh member 51b. In the experiment of (Example 1), it was found that the discharge of the mounting table 3 - mesh member 51b was suppressed. Further, when it is shown in Fig. 7(b), it is understood that the light having a high luminance is detected on the upper surface of the mesh member 51b, and in the experiment of (Comparative Example 1), a relatively strong glow is generated between the mounting table 3 and the mesh member 51b. Discharge. From the above experimental results, it was confirmed that when the dielectric member 52 is provided between the mesh member 51b and the processing container 20, the discharge between the mounting table 3 and the mesh member 51b can be suppressed as compared with the case where the dielectric member 52 is not provided.

(實施例2)(Example 2)

在與(實驗1)相同之條件下,在網目構件51b載置矽晶圓之小片,對載置台3施加7分鐘高頻電力,測量設置介電體52之時和不設置介電體52之時的該期間中之小片的掘削量。Under the same conditions as in (Experiment 1), a small piece of silicon wafer was placed on the mesh member 51b, high frequency power was applied to the mounting table 3 for 7 minutes, and when the dielectric body 52 was placed and the dielectric body 52 was not provided. The amount of digging in the small period of the period.

A.實驗條件A. Experimental conditions

(實施例2)(Example 2)

在網目構件51b和處理容器20之間設置有介電體52。A dielectric body 52 is disposed between the mesh member 51b and the processing container 20.

(比較例2)(Comparative Example 2)

在處理容器20之底壁面直接固定網目構件51b,設為電性導通兩構件之狀態。The mesh member 51b is directly fixed to the bottom wall surface of the processing container 20, and is electrically connected to the two members.

B.實驗結果B. Experimental results

若藉由(實施例2)(比較例)之結果,設置有介電體52(實施例2)中之小片的掘削量(741Å),比起無設置介電體52(比較例2)之掘削量(1186Å)大概少40%左右。該係藉由介電體52,抑制載置台3-網目構件51b間之放電之發生,可以說可以降低對該周圍之構件造成損傷、損耗之結果。在此,在執行(實施例2)及(比較例2)之實驗之比較短時間內,無確認出在蝕刻處理裝置2內的電弧。但是,也如先前技術說明般,在實際之基板S之蝕刻工程中,因長期間連續運轉蝕刻處理裝置2,故於不設置介電體52之時,在該期間中,載置台3-上部電極4間之電容耦合不安定化產生電弧之確率變高。於產生電弧之時,可預想所產生之部位之損傷、耗損比起上述(比較例2)所示之掘削量格外地大,再者即使不產生電弧,在產生第7圖(b)所示之發光之狀態下,也因促進網目構件表面之消耗,故即使針對該些點中也以設置有介電體52的效果為大。According to the result of (Example 2) (Comparative Example), the amount of digging (741 Å) of the small piece in the dielectric body 52 (Example 2) was set, compared to the case where the dielectric body 52 (Comparative Example 2) was not provided. The amount of digging (1186 Å) is about 40% less. This is because the dielectric body 52 suppresses the occurrence of discharge between the mounting table 3 and the mesh member 51b, and it can be said that the damage and loss of the surrounding members can be reduced. Here, in the short time during which the experiments of (Example 2) and (Comparative Example 2) were performed, the arc in the etching processing apparatus 2 was not confirmed. However, as in the prior art, in the etching process of the actual substrate S, since the etching processing apparatus 2 is continuously operated for a long period of time, when the dielectric body 52 is not provided, during the period, the mounting stage 3 - the upper portion The capacitive coupling between the electrodes 4 is unstable, and the arc rate becomes high. When the arc is generated, it is expected that the damage and the loss of the generated portion are extraordinarily larger than the amount of the excavation shown in the above (Comparative Example 2), and even if no arc is generated, the image shown in Fig. 7(b) is generated. In the state of light emission, since the surface of the mesh member is consumed, the effect of providing the dielectric body 52 is large even for these points.

S‧‧‧FPD基板(基板)S‧‧‧FPD substrate (substrate)

2‧‧‧蝕刻處理裝置2‧‧‧ etching treatment device

3‧‧‧載置台3‧‧‧ mounting table

4‧‧‧上部電極4‧‧‧Upper electrode

7‧‧‧控制部7‧‧‧Control Department

20‧‧‧處理容器20‧‧‧Processing container

21‧‧‧側壁部21‧‧‧ Sidewall

22‧‧‧搬入搬出口22‧‧‧ Move in and out

23‧‧‧閘閥23‧‧‧ gate valve

24‧‧‧排氣路24‧‧‧Exhaust road

25‧‧‧隔板25‧‧‧Baffle

32‧‧‧介電體32‧‧‧Dielectric

34‧‧‧升降銷34‧‧‧lifting pin

35‧‧‧升降機構35‧‧‧ Lifting mechanism

40‧‧‧噴淋頭40‧‧‧Sprinkler

41‧‧‧上部電極基座41‧‧‧Upper electrode base

42‧‧‧氣體擴散空間42‧‧‧ gas diffusion space

43‧‧‧處理氣體供給部43‧‧‧Processing Gas Supply Department

44‧‧‧處理氣體供給部44‧‧‧Process Gas Supply Department

51、51a、51b‧‧‧網目構件51, 51a, 51b‧‧‧ mesh components

52、52a‧‧‧介電體52, 52a‧‧‧ dielectric

53‧‧‧第2網目構件53‧‧‧2nd mesh component

241‧‧‧排氣口241‧‧‧Exhaust port

242‧‧‧排氣路連接部242‧‧‧Exhaust Road Connections

251‧‧‧流通口251‧‧‧ circulation

311‧‧‧第1高頻電源部311‧‧‧1st high frequency power supply unit

312‧‧‧第2高頻電源部312‧‧‧2nd high frequency power supply unit

511‧‧‧螺桿511‧‧‧ screw

512‧‧‧凸緣部512‧‧‧Flange

第1圖為表示本發明之實施型態所涉及之蝕刻處理裝置之構成之縱剖面圖。Fig. 1 is a longitudinal sectional view showing the configuration of an etching treatment apparatus according to an embodiment of the present invention.

第2圖為表示上述蝕刻處理裝置之處理容器內部之構造的平面圖。Fig. 2 is a plan view showing the structure inside the processing container of the etching processing apparatus.

第3圖為表示上述處理容器之排氣部附近之構造的放大縱剖面圖。Fig. 3 is an enlarged longitudinal sectional view showing the structure in the vicinity of the exhaust portion of the processing container.

第4圖為被設置在上述處理容器內之網目構件及其支撐構件之平面圖。Fig. 4 is a plan view of the mesh member and its supporting member provided in the processing container.

第5圖為表示網目構件及其支撐構件之變形例的俯視圖。Fig. 5 is a plan view showing a modification of the mesh member and its supporting member.

第6圖為表示網目構件之第2變形例的放大縱剖面圖。Fig. 6 is an enlarged longitudinal sectional view showing a second modification of the mesh member.

第7圖為表示第1實施型態所涉及之實驗結果之說明圖。Fig. 7 is an explanatory view showing the results of experiments conducted in the first embodiment.

第8圖為表示以往蝕刻處理裝置之構成的縱剖面圖。Fig. 8 is a longitudinal sectional view showing the configuration of a conventional etching processing apparatus.

第9圖為表示以往蝕刻處理裝置之等效電路之電路圖。Fig. 9 is a circuit diagram showing an equivalent circuit of a conventional etching processing apparatus.

S‧‧‧FPD基板(基板)S‧‧‧FPD substrate (substrate)

2‧‧‧蝕刻處理裝置2‧‧‧ etching treatment device

3‧‧‧載置台3‧‧‧ mounting table

4‧‧‧上部電極4‧‧‧Upper electrode

6‧‧‧阻抗調整機構6‧‧‧Impedance adjustment mechanism

7‧‧‧控制部7‧‧‧Control Department

20‧‧‧處理容器20‧‧‧Processing container

21‧‧‧側壁部21‧‧‧ Sidewall

22‧‧‧搬入搬出口22‧‧‧ Move in and out

23‧‧‧閘閥23‧‧‧ gate valve

24‧‧‧排氣路24‧‧‧Exhaust road

25‧‧‧隔板25‧‧‧Baffle

26‧‧‧壓力調節機構26‧‧‧ Pressure regulating mechanism

27‧‧‧真空泵27‧‧‧Vacuum pump

32‧‧‧介電體32‧‧‧Dielectric

33‧‧‧遮蔽環33‧‧‧ shadow ring

34‧‧‧升降銷34‧‧‧lifting pin

35‧‧‧升降機構35‧‧‧ Lifting mechanism

40‧‧‧噴淋頭40‧‧‧Sprinkler

41‧‧‧上部電極基座41‧‧‧Upper electrode base

42‧‧‧氣體擴散空間42‧‧‧ gas diffusion space

43‧‧‧處理氣體供給部43‧‧‧Processing Gas Supply Department

44‧‧‧處理氣體供給部44‧‧‧Process Gas Supply Department

45‧‧‧介電體45‧‧‧Dielectric

51‧‧‧網目構件51‧‧‧Net components

52‧‧‧介電體52‧‧‧ dielectric

61‧‧‧蓋體61‧‧‧ Cover

62‧‧‧匹配電路62‧‧‧Matching circuit

63‧‧‧匹配電路63‧‧‧Matching circuit

64‧‧‧框體64‧‧‧ frame

241‧‧‧排氣口241‧‧‧Exhaust port

311‧‧‧第1高頻電源部311‧‧‧1st high frequency power supply unit

312‧‧‧第2高頻電源部312‧‧‧2nd high frequency power supply unit

Claims (12)

一種電漿處理裝置,對在處理容器內互相相向被設置之陽極電極及陰極電極間,施加高頻電力,使處理氣體予以電漿化,對被處理體執行電漿處理,其特徵為:具備有排氣口,被配置在上述陰極電極之外方側,用以排出上述處理氣體;導電性構件,覆蓋上述排氣口,具備有使往該排氣口排出之處理氣體流通的開口部;和介電體,藉由介於上述導電性構件和上述處理容器之之內壁之間而被設置,使在上述陰極電極經上述導電性構件而到上述處理容器之路徑的阻抗上升。 A plasma processing apparatus which applies high-frequency electric power between an anode electrode and a cathode electrode which are disposed to face each other in a processing container, plasma-processes a processing gas, and performs plasma processing on the object to be processed, and is characterized in that: a discharge port disposed on an outer side of the cathode electrode for discharging the processing gas; and a conductive member covering the exhaust port and having an opening for allowing a processing gas discharged to the exhaust port to flow; And a dielectric body is provided between the conductive member and the inner wall of the processing container, so that the impedance of the path of the cathode electrode to the processing container through the conductive member increases. 如申請專利範圍第1項所記載之電漿處理裝置,其中,上述導電性構件為金屬,上述介電體為陶瓷。 The plasma processing apparatus according to claim 1, wherein the conductive member is a metal, and the dielectric body is a ceramic. 如申請專利範圍第1項所記載之電漿處理裝置,其中,上述導電性構件為網目形狀。 The plasma processing apparatus according to claim 1, wherein the conductive member has a mesh shape. 如申請專利範圍第1項所記載之電漿處理裝置,其中,具備覆蓋上述排氣口,被設置在該排氣口之周邊部的第1導電性構件,和以覆蓋該第1導電性構件之上方側空間,並且與該第1導電性構件間隔開之方式而被設置的第2導電性構件,上述導電性構件為第2導電性構件。 The plasma processing apparatus according to the first aspect of the invention, comprising: a first conductive member that covers the exhaust port, is provided at a peripheral portion of the exhaust port, and covers the first conductive member In the second conductive member provided in the upper side space and spaced apart from the first conductive member, the conductive member is a second conductive member. 如申請專利範圍第4項所記載之電漿處理裝置,其中,上述第1導電性構件及上述第2導電性構件為金屬,上述介電體為陶瓷。 The plasma processing apparatus according to the fourth aspect of the invention, wherein the first conductive member and the second conductive member are metal, and the dielectric body is ceramic. 如申請專利範圍第4或5項所記載之電漿處理裝置,其中,上述第1導電性構件及上述第2導電性構件為網目形狀。 The plasma processing apparatus according to the fourth aspect of the invention, wherein the first conductive member and the second conductive member have a mesh shape. 如申請專利範圍第4或5項所記載之電漿處理裝置,其中,上述第1導電性構件為網目形狀,上述第2導電性構件為平板狀。 The plasma processing apparatus according to the fourth aspect of the invention, wherein the first conductive member has a mesh shape, and the second conductive member has a flat shape. 如申請專利範圍第4或5項所記載之電漿處理裝置,其中,又具備有介於上述第1導電性構件和上述處理容器之導電性之壁部之間而被設置的第2介電體。 The plasma processing apparatus according to claim 4, further comprising a second dielectric provided between the first conductive member and the conductive wall portion of the processing container body. 如申請專利範圍第1至4項中之任一項所記載之電漿處理裝置,其中,上述陰極電極及排氣口係被設置在上述處理容器之下部。 The plasma processing apparatus according to any one of claims 1 to 4, wherein the cathode electrode and the exhaust port are provided in a lower portion of the processing container. 如申請專利範圍第1至4項中之任一項所記載之電漿處理裝置,其中,上述被處理體係面積為4.0m2 以上之方形基板。The plasma processing apparatus according to any one of claims 1 to 4, wherein the processed system has a square substrate having an area of 4.0 m 2 or more. 如申請專利範圍第1至4項中之任一項所記載之 電漿處理裝置,其中,上述氣體為負性氣體。 As described in any one of claims 1 to 4 of the patent application A plasma processing apparatus, wherein the gas is a negative gas. 如申請專利範圍第1至4項中之任一項所記載之電漿處理裝置,其中,上述電漿處理係在0.67Pa以上、27Pa以下之範圍內的壓力環境下被形成。 The plasma processing apparatus according to any one of claims 1 to 4, wherein the plasma treatment is formed in a pressure environment in a range of 0.67 Pa or more and 27 Pa or less.
TW98110467A 2008-03-31 2009-03-30 Plasma processing device TWI469213B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008091633A JP5217569B2 (en) 2008-03-31 2008-03-31 Plasma processing equipment

Publications (2)

Publication Number Publication Date
TW201003774A TW201003774A (en) 2010-01-16
TWI469213B true TWI469213B (en) 2015-01-11

Family

ID=41156341

Family Applications (1)

Application Number Title Priority Date Filing Date
TW98110467A TWI469213B (en) 2008-03-31 2009-03-30 Plasma processing device

Country Status (4)

Country Link
JP (1) JP5217569B2 (en)
KR (2) KR101058310B1 (en)
CN (1) CN101552188B (en)
TW (1) TWI469213B (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5086192B2 (en) * 2008-07-01 2012-11-28 東京エレクトロン株式会社 Plasma processing equipment
KR101814013B1 (en) * 2011-05-09 2018-01-03 삼성디스플레이 주식회사 Plasma device
JP6435090B2 (en) * 2013-10-03 2018-12-05 東京エレクトロン株式会社 Plasma processing equipment
CN103745904B (en) * 2013-12-31 2016-08-17 深圳市华星光电技术有限公司 A kind of dry etching machine and lithographic method thereof
JP6305825B2 (en) * 2014-05-12 2018-04-04 東京エレクトロン株式会社 Plasma processing apparatus and exhaust structure used therefor
US20170239730A1 (en) * 2014-08-13 2017-08-24 National Institute Of Advanced Industrial Science And Technology Processing device for metal materials
CN105489527B (en) * 2014-09-19 2018-11-06 北京北方华创微电子装备有限公司 Bogey and semiconductor processing equipment
JP6548484B2 (en) * 2015-07-01 2019-07-24 東京エレクトロン株式会社 Plasma processing apparatus and exhaust structure used therefor
JP6570993B2 (en) * 2015-12-16 2019-09-04 東京エレクトロン株式会社 Plasma processing equipment
JP6394641B2 (en) * 2016-04-25 2018-09-26 トヨタ自動車株式会社 Plasma device
JP7232705B2 (en) * 2019-05-16 2023-03-03 東京エレクトロン株式会社 Plasma processing equipment
JP7418285B2 (en) * 2020-05-27 2024-01-19 東京エレクトロン株式会社 Substrate processing equipment, its manufacturing method, and exhaust structure
JP2022078684A (en) * 2020-11-13 2022-05-25 東京エレクトロン株式会社 Plasma processing apparatus, manufacturing method thereof, and plasma processing method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6142096A (en) * 1996-05-16 2000-11-07 Sharp Kabushiki Kaisha Electronic device manufacturing apparatus and method for manufacturing electronic device
US20020038688A1 (en) * 2000-08-11 2002-04-04 Alps Electric Co., Ltd. And Tadahiro Ohmi Plasma processing apparatus and system, performance validation system and inspection method therefor
US20030079983A1 (en) * 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
US20030097984A1 (en) * 2001-11-27 2003-05-29 Alps Electric Co., Ltd. Plasma processing apparatus, method for operating the same, designing system of matching circuit, and plasma processing method
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3311064B2 (en) * 1992-03-26 2002-08-05 株式会社東芝 Plasma generation device, surface treatment device and surface treatment method
JP3061346B2 (en) * 1994-03-07 2000-07-10 東京エレクトロン株式会社 Processing equipment
JP3192370B2 (en) * 1995-06-08 2001-07-23 東京エレクトロン株式会社 Plasma processing equipment
JP3613947B2 (en) * 1997-10-14 2005-01-26 ソニー株式会社 Vacuum processing apparatus and vacuum processing method using the same
JP3647303B2 (en) * 1998-09-22 2005-05-11 キヤノン株式会社 Plasma processing apparatus and processing method using the same
JP2005353812A (en) * 2004-06-10 2005-12-22 Tokyo Electron Ltd Device and method for plasma processing

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6142096A (en) * 1996-05-16 2000-11-07 Sharp Kabushiki Kaisha Electronic device manufacturing apparatus and method for manufacturing electronic device
US20030079983A1 (en) * 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
US20020038688A1 (en) * 2000-08-11 2002-04-04 Alps Electric Co., Ltd. And Tadahiro Ohmi Plasma processing apparatus and system, performance validation system and inspection method therefor
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
US20030097984A1 (en) * 2001-11-27 2003-05-29 Alps Electric Co., Ltd. Plasma processing apparatus, method for operating the same, designing system of matching circuit, and plasma processing method

Also Published As

Publication number Publication date
CN101552188B (en) 2011-06-22
JP2009246172A (en) 2009-10-22
TW201003774A (en) 2010-01-16
KR20110040806A (en) 2011-04-20
KR101058310B1 (en) 2011-08-22
JP5217569B2 (en) 2013-06-19
CN101552188A (en) 2009-10-07
KR20090104679A (en) 2009-10-06

Similar Documents

Publication Publication Date Title
TWI469213B (en) Plasma processing device
TWI523099B (en) Plasma processing apparatus and method of manufacturing semiconductor device
US9051655B2 (en) Boron ionization for aluminum oxide etch enhancement
KR101341711B1 (en) Apparatus and methods to remove films on bevel edge and backside of wafer
KR100900595B1 (en) Method and apparatus to confine plasma and to enhance flow conductance
US9251998B2 (en) Plasma processing apparatus
TWI409872B (en) A plasma processing apparatus, a plasma processing method, and a computer memory medium
KR20180008310A (en) Plasma etching method, plasma etching apparatus and substrate mounting table
JP2003109946A (en) Plasma treatment device
US20070227664A1 (en) Plasma processing apparatus and plasma processing method
TW201448031A (en) Plasma etching method and plasma etching apparatus
JP2017228395A (en) Plasma treatment apparatus
KR102013029B1 (en) Plasma etching method and plasma etching system
TW201909272A (en) Method of cleaning plasma processing
KR20180028949A (en) Plasma etching method
CN110808228B (en) Etching method and method for manufacturing semiconductor device
JP4546303B2 (en) Plasma processing equipment
US20070221332A1 (en) Plasma processing apparatus
JP2022018801A (en) Plasma processing device and plasma processing method
KR100672696B1 (en) Apparatus and Method for Cleaning of Semiconductor Device using the Plasma
KR100755594B1 (en) Plasma etching apparatus having parallel plate structure of capacitive coupling type and plasma etching method using the same
TW202231132A (en) Plasma processing apparatus and plasma generating method
JP2004095664A (en) Plasma processing apparatus
JP2003234334A (en) Plasma treatment method and apparatus
JP2000195843A (en) Processing method and device for plasma