TWI466171B - Method of selecting subset of patterns, computer program product for performing thereto and method of performing source mask optimization - Google Patents

Method of selecting subset of patterns, computer program product for performing thereto and method of performing source mask optimization Download PDF

Info

Publication number
TWI466171B
TWI466171B TW099137055A TW99137055A TWI466171B TW I466171 B TWI466171 B TW I466171B TW 099137055 A TW099137055 A TW 099137055A TW 99137055 A TW99137055 A TW 99137055A TW I466171 B TWI466171 B TW I466171B
Authority
TW
Taiwan
Prior art keywords
patterns
design
pattern
subset
selecting
Prior art date
Application number
TW099137055A
Other languages
Chinese (zh)
Other versions
TW201124871A (en
Inventor
Hua-Yu Liu
Luoqi Chen
Hong Chen
Zhi-Pan Li
Youping Zhang
Jiangwei Li
Jun Ye
Min-Chun Tsai
Yen-Wen Lu
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Publication of TW201124871A publication Critical patent/TW201124871A/en
Application granted granted Critical
Publication of TWI466171B publication Critical patent/TWI466171B/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Description

選擇圖案子集之方法、執行該方法之電腦程式產品及執行光源遮罩最佳化之方法Method for selecting a subset of patterns, computer program product for performing the method, and method for performing optimization of light source mask

本發明係關於微影裝置及程序,且更特定而言,係關於選擇用於光源及遮罩最佳化之圖案子集的方法。The present invention relates to lithography apparatus and programs, and more particularly to methods of selecting a subset of patterns for use in light source and mask optimization.

微影裝置可用於(例如)積體電路(IC)之製造中。在此情況下,遮罩可含有對應於IC之個別層的電路圖案,且可將此圖案成像至已塗佈有輻射敏感材料(抗蝕劑)層之基板(矽晶圓)上之目標部分(例如,包含一或多個晶粒)上。一般而言,單一晶圓將含有經由投影系統而一次一個地經順次輻照之鄰近目標部分的整個網路。在一類型之微影投影裝置中,藉由一次性將整個遮罩圖案曝光至目標部分上來輻照每一目標部分;此裝置通常被稱作晶圓步進器。在一替代裝置(通常被稱作步進掃描裝置)中,藉由在給定參考方向(「掃描」方向)上漸進地掃描在投影光束下方之遮罩圖案同時平行或反平行於此方向而同步地掃描基板台來輻照每一目標部分。一般而言,因為投影系統將具有放大因數M (通常<1),所以掃描基板台時之速度V 將為掃描遮罩台時之速度的因數M 倍。可(例如)自以引用之方式併入本文中的美國專利第6,046,792號搜集到關於本文中所描述之微影器件的更多資訊。The lithography apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In this case, the mask may contain a circuit pattern corresponding to the individual layers of the IC, and the pattern may be imaged onto the target portion of the substrate (the wafer) to which the radiation-sensitive material (resist) layer has been coated. (for example, containing one or more grains). In general, a single wafer will contain the entire network of adjacent target portions that are sequentially irradiated one at a time via the projection system. In one type of lithographic projection apparatus, each target portion is irradiated by exposing the entire mask pattern to a target portion at a time; this device is commonly referred to as a wafer stepper. In an alternative device (commonly referred to as a step-and-scan device), the mask pattern under the projected beam is progressively scanned parallel or anti-parallel in this direction by a predetermined reference direction ("scan" direction). The substrate stage is scanned synchronously to irradiate each target portion. In general, because the projection system will have an amplification factor of M (typically <1), the velocity V at which the substrate stage is scanned will be a factor M times the speed at which the mask is scanned. Further information regarding the lithographic devices described herein can be gathered, for example, from U.S. Patent No. 6,046,792, incorporated herein by reference.

在使用微影投影裝置之製造程序中,將遮罩圖案成像至藉由輻射敏感材料(抗蝕劑)層至少部分地覆蓋之基板上。在此成像步驟之前,基板可經歷各種程序,諸如上底漆、抗蝕劑塗佈及軟烘烤。在曝光之後,基板可經受其他程序,諸如曝光後烘烤(PEB)、顯影、硬烘烤,及經成像特徵之量測/檢測。將此程序陣列用作圖案化一器件(例如,IC)之個別層的基礎。此經圖案化層可接著經歷各種程序,諸如蝕刻、離子植入(摻雜)、金屬化、氧化、化學機械拋光等等,其均意欲完成個別層。若需要若干層,則將必須針對每一新層重複整個程序或其變型。最終,一器件陣列將存在於基板(晶圓)上。接著藉由諸如分割或鋸切之技術而使此等器件彼此分離,據此,可將個別器件安裝於載體上、連接至銷等等。In a fabrication process using a lithographic projection apparatus, the mask pattern is imaged onto a substrate that is at least partially covered by a layer of radiation-sensitive material (resist). Prior to this imaging step, the substrate can be subjected to various procedures such as topping, resist coating, and soft baking. After exposure, the substrate can be subjected to other procedures, such as post-exposure bake (PEB), development, hard bake, and measurement/detection of the imaged features. This program array is used as the basis for patterning individual layers of a device (eg, an IC). This patterned layer can then be subjected to various procedures such as etching, ion implantation (doping), metallization, oxidation, chemical mechanical polishing, and the like, all of which are intended to complete individual layers. If several layers are required, the entire program or its variants will have to be repeated for each new layer. Finally, an array of devices will be present on the substrate (wafer). The devices are then separated from one another by techniques such as splitting or sawing, whereby individual devices can be mounted on a carrier, attached to a pin, and the like.

為了簡單起見,可在下文中將投影系統稱作「透鏡」;然而,此術語應被廣泛地解釋為涵蓋各種類型之投影系統,包括(例如)折射光學儀器、反射光學儀器,及反射折射系統。輻射系統亦可包括用於引導、塑形或控制投影輻射光束的根據此等設計類型中之任一者進行操作之組件,且下文亦可將此等組件共同地或單獨地稱作「透鏡」。另外,微影裝置可為具有兩個或兩個以上基板台(及/或兩個或兩個以上遮罩台)之類型。在此等「多載物台」器件中,可並行地使用額外台,或可在一或多個台上進行預備步驟,同時將一或多個其他台用於曝光。舉例而言,以引用之方式併入本文中的美國專利第5,969,441號中描述雙載物台微影裝置。For the sake of simplicity, the projection system may hereinafter be referred to as a "lens"; however, this term should be interpreted broadly to encompass various types of projection systems including, for example, refractive optical instruments, reflective optical instruments, and catadioptric systems. . The radiation system may also include components for operating, controlling, or controlling the projection of the radiation beam in accordance with any of these types of designs, and such components may also be referred to collectively or individually as "lenses" hereinafter. . Additionally, the lithography apparatus can be of the type having two or more substrate stages (and/or two or more mask stages). In such "multi-stage" devices, additional stations may be used in parallel, or preliminary steps may be performed on one or more stations while one or more other stations are used for exposure. For example, a dual stage lithography apparatus is described in U.S. Patent No. 5,969,441, incorporated herein by reference.

上文所提及之光微影遮罩包含對應於待整合至矽晶圓上之電路組件的幾何圖案。利用CAD(電腦輔助設計)程式來產生用以形成此等遮罩之圖案,此程序通常被稱作EDA(電子設計自動化)。大多數CAD程式遵循一預定設計規則集合,以便形成功能遮罩。藉由處理及設計限制來設定此等規則。舉例而言,設計規則定義電路器件(諸如閘、電容器等等)或互連線之間的空間容許度,以便確保電路器件或線彼此不會以不良方式相互作用。設計規則限制通常被稱作「臨界尺寸」(CD)。可將電路之臨界尺寸定義為線或孔之最小寬度,或兩個線或兩個孔之間的最小空間。因此,CD判定經設計電路之總大小及密度。當然,積體電路製造中之目標中之一者係在晶圓上如實地再生原始電路設計(經由遮罩)。The photolithographic mask referred to above includes a geometric pattern corresponding to the circuit components to be integrated onto the germanium wafer. A CAD (Computer Aided Design) program is used to create a pattern for forming such masks, a procedure commonly referred to as EDA (Electronic Design Automation). Most CAD programs follow a predetermined set of design rules to form a functional mask. These rules are set by processing and design constraints. For example, design rules define the spatial tolerance between circuit devices (such as gates, capacitors, etc.) or interconnect lines to ensure that circuit devices or lines do not interact with each other in a bad manner. Design rule limits are often referred to as "critical dimensions" (CD). The critical dimension of a circuit can be defined as the minimum width of a line or hole, or the minimum space between two lines or two holes. Therefore, the CD determines the total size and density of the designed circuit. Of course, one of the goals in the fabrication of integrated circuits is to faithfully reproduce the original circuit design (via the mask) on the wafer.

如所提及,微影為半導體積體電路之製造中的中心步驟,其中形成於半導體晶圓基板上之圖案界定半導體器件之功能元件,諸如微處理器、記憶體晶片等等。類似微影技術亦用於平板顯示器、微機電系統(MEMS)及其他器件之形成中。As mentioned, lithography is a central step in the fabrication of semiconductor integrated circuits in which the patterns formed on the semiconductor wafer substrate define functional elements of the semiconductor device, such as microprocessors, memory chips, and the like. Similar lithography technology is also used in the formation of flat panel displays, microelectromechanical systems (MEMS) and other devices.

隨著半導體製造程序繼續進展,電路元件之尺寸已不斷地縮減,而每器件的功能元件(諸如電晶體)之量已在數十年內穩固地增加,其遵循通常被稱作「莫耳定律」(Moore's law)之趨勢。在當前技術狀態下,使用被稱作掃描器之光學微影投影系統來製造前邊緣器件之臨界層,光學微影投影系統使用來自深紫外線雷射光源之照明而將遮罩影像投影至基板上,從而形成具有充分地低於100奈米(亦即,小於投影光之波長的一半)之尺寸的個別電路特徵。As semiconductor manufacturing processes continue to advance, the size of circuit components has steadily shrunk, and the amount of functional components (such as transistors) per device has steadily increased over decades, often following what is called "Moore's Law." (Moore's law) trend. In the current state of the art, a critical layer of a front edge device is fabricated using an optical lithography projection system called a scanner that projects the mask image onto the substrate using illumination from a deep ultraviolet laser source. Thereby forming individual circuit features having dimensions sufficiently below 100 nanometers (i.e., less than half the wavelength of the projected light).

此程序(其中印刷具有小於光學投影系統之傳統解析度極限之尺寸的特徵)通常被稱作低k 1 微影,其係根據解析度公式CD=k 1 ×λ/NA,其中λ為所使用之輻射之波長(當前在大多數情況下為248奈米或193奈米),NA為投影光學儀器之數值孔徑,CD為「臨界尺寸」(通常為所印刷之最小特徵大小),且k 1 為經驗性解析度因數。一般而言,k 1 愈小,則在晶圓上再生如下圖案變得愈困難:該圖案類似於由電路設計者所規劃之形狀及尺寸,以便達成特定電功能性及效能。為了克服此等困難,將複雜的微調步驟應用於投影系統以及遮罩設計。舉例而言,此等步驟包括(但不限於)NA及光學相干設定之最佳化、定製照明方案、相移遮罩之使用、遮罩佈局中之光學近接校正,或通常被定義為「解析度增強技術」(RET)之其他方法。This procedure, in which features having dimensions smaller than the traditional resolution limits of optical projection systems, is commonly referred to as low k 1 lithography, which is based on the resolution formula CD = k 1 × λ / NA, where λ is used The wavelength of the radiation (currently 248 nm or 193 nm in most cases), NA is the numerical aperture of the projection optics, CD is the "critical dimension" (usually the smallest feature size printed), and k 1 Is an empirical resolution factor. Generally, k 1 is smaller, it becomes more difficult as a pattern reproduced on the wafer: a pattern similar to the shape and size of the plan by the circuit designer, in order to achieve particular electrical functionality and performance. To overcome these difficulties, complex fine-tuning steps are applied to the projection system as well as the mask design. For example, such steps include, but are not limited to, optimization of NA and optical coherence settings, custom lighting schemes, use of phase shift masks, optical proximity correction in mask layouts, or generally defined as " Other methods of Resolution Enhancement Technology (RET).

作為一重要實例,光學近接校正(OPC,有時亦被稱作「光學及程序校正」(optical and process correction))解決如下事實:在晶圓上經印刷特徵之最終大小及置放將不僅僅為在遮罩上對應特徵之大小及置放的函數。應注意,本文中可互換地利用術語「遮罩」與「比例光罩」。對於存在於典型電路設計上之小特徵大小及高特徵密度,給定特徵之特定邊緣的位置將在特定程度上受到其他鄰近特徵之存在或不存在的影響。此等近接效應起因於自一特徵耦合至另一特徵之光的微小量。類似地,近接效應可起因於在通常緊隨微影曝光之曝光後烘烤(PEB)、抗蝕劑顯影及蝕刻期間的擴散及其他化學效應。As an important example, optical proximity correction (OPC, sometimes referred to as "optical and process correction") addresses the fact that the final size and placement of printed features on a wafer will not only be It is a function of the size and placement of the corresponding features on the mask. It should be noted that the terms "mask" and "proportional mask" are used interchangeably herein. For small feature sizes and high feature densities that exist on typical circuit designs, the location of a particular edge of a given feature will be affected to some extent by the presence or absence of other adjacent features. These proximity effects result from a small amount of light coupled from one feature to another. Similarly, the proximity effect can result from diffusion and other chemical effects during post-exposure bake (PEB), resist development, and etching, which are typically followed by lithography.

為了確保根據對給定目標電路設計之要求而在半導體基板上產生特徵,需要利用複雜的數值模型來預測近接效應,且需要在高端器件之成功製造變得可能之前將校正或預失真應用於遮罩之設計。論文「Full-Chip Lithography Simulation and Design Analysis-How OPC Is Changing IC Design」(C. Spence,Proc. SPIE,第5751卷,第1至14頁(2005年))提供當前「以模型為基礎」(model-based)之光學近接校正程序之綜述。在一典型高端設計中,幾乎每一特徵邊緣均需要某種修改,以便達成充分地接近於目標設計之經印刷圖案。此等修改可包括邊緣位置或線寬之移位或偏置以及「輔助」特徵之應用,「輔助」特徵不意欲印刷其自身,但將影響關聯主要特徵之屬性。In order to ensure that features are generated on a semiconductor substrate according to the requirements of a given target circuit design, complex numerical models are needed to predict the proximity effect, and correction or pre-distortion needs to be applied to the mask before successful fabrication of the high-end device becomes possible. The design of the hood. The paper "Full-Chip Lithography Simulation and Design Analysis-How OPC Is Changing IC Design" (C. Spence, Proc. SPIE, Vol. 5751, pp. 1-14 (2005)) provides the current "model-based" ( A review of the optical proximity correction procedure for model-based. In a typical high-end design, almost every feature edge requires some modification in order to achieve a printed pattern that is sufficiently close to the target design. Such modifications may include shifting or offsetting of edge positions or line widths and the application of "auxiliary" features, which are not intended to print themselves, but will affect the attributes of the associated primary features.

在給出通常存在於一晶片設計中之數百萬個特徵的情況下,將以模型為基礎之OPC應用於目標設計會需要優良的程序模型及相當多的計算資源。然而,應用OPC通常不為「嚴正科學」(exact science),而為經驗性反覆程序(iterative process),該程序不會始終解析一佈局上之所有可能弱點。因此,需要藉由設計檢測(亦即,使用校準數值程序模型之集約全晶片模擬)來驗證OPC後設計(亦即,在藉由OPC及任何其他解析度增強技術(RET)而應用所有圖案修改之後的遮罩佈局),以便最小化將設計瑕疵建置至遮罩集之製造中的可能性。此情形係因以下各項而被驅使:製造在數百萬美元範圍內運行之高端遮罩集的巨額成本;以及一旦已製造實際遮罩,藉由重做或修復實際遮罩而對產品製作時程(turn-around time)之影響。Applying model-based OPC to a target design would require an excellent program model and considerable computing resources given the millions of features that are typically present in a wafer design. However, the application of OPC is usually not "exact science", but an empirical iterative process, which does not always resolve all possible weaknesses in a layout. Therefore, it is necessary to verify the post-OPC design by design detection (ie, intensive full-wafer simulation using a calibrated numerical program model) (ie, apply all pattern modifications by OPC and any other resolution enhancement techniques (RET)). Subsequent mask layout) to minimize the possibility of building the design into the fabrication of the mask set. This situation is driven by the huge cost of making high-end mask sets that operate over millions of dollars; and by making real masks, by redoing or repairing actual masks The effect of a turn-around time.

OPC及全晶片RET驗證均可基於數值模型化系統及方法,如(例如)美國專利第7,003,758號及Y. Cao等人之名為「Optimized Hardware and Software For Fast,Full Chip Simulation」之論文(Proc. SPIE,第5754卷,405(2005年))中所描述。Both OPC and full-film RET verification can be based on numerical modeling systems and methods, such as, for example, U.S. Patent No. 7,003,758 and Y. Cao et al. entitled "Optimized Hardware and Software For Fast, Full Chip Simulation" (Proc . SPIE, Vol. 5754, 405 (2005)).

除了執行前述遮罩調整(例如,OPC)以致力於最佳化成像結果以外,亦可與遮罩最佳化聯合地或單獨地最佳化用於成像程序中之照明方案,以致力於改良總微影保真度。自20世紀90年代以來,許多離軸光源(諸如環形、四極及偶極)已被引入,且已向OPC設計提供更多自由度,藉此改良成像結果。如吾人所知,離軸照明為用以解析遮罩中所含有之精細結構(亦即,目標特徵)的經證實方式。然而,與傳統照明器相比較,離軸照明器通常向空中影像(AI)提供較少光強度。因此,變得有必要試圖最佳化照明器以達成更精細之解析度與縮減之光強度之間的最佳平衡。In addition to performing the aforementioned mask adjustments (eg, OPC) in an effort to optimize imaging results, it is also possible to optimize the illumination scheme for use in imaging procedures in conjunction with mask optimization or individually to improve Total lithography fidelity. Since the 1990s, many off-axis sources, such as toroids, quadrupoles, and dipoles, have been introduced and have provided more freedom to OPC designs, thereby improving imaging results. As we know, off-axis illumination is a proven way to resolve the fine structure (ie, target features) contained in the mask. However, off-axis illuminators typically provide less light intensity to aerial imagery (AI) than conventional illuminators. Therefore, it has become necessary to attempt to optimize the illuminator to achieve an optimal balance between finer resolution and reduced light intensity.

吾人已知許多先前技術照明最佳化方法。舉例而言,在Rosenbluth等人之名為「Optimum Mask and Source Patterns to Print A Given Shape」之論文(Journal of Microlithography,Microfabrication,Microsystems 1(1),第13至20頁(2002年))中,將光源分割成若干區域,該等區域中之每一者對應於光瞳光譜之特定區域。接著,假定光源分佈在每一光源區域中均一,且針對程序窗(process window)最佳化每一區域之亮度。然而,光源分佈在每一光源區域中均一之此假定不始終有效,且結果,此方法之有效性受損失。在Granik之名為「Source Optimization for Image Fidelity and Throughput」之論文(Journal of Microlithography,Microfabrication,Microsystems 3(4),第509至522頁(2004年))中所闡述的另一實例中,綜述若干現有光源最佳化方法,且提議一種基於照明器像素之方法,其將光源最佳化問題轉換成一系列非負最小平方最佳化。儘管此等方法已示範一些成功,但其通常需要多次複雜反覆以收斂。此外,可能難以判定一些額外參數(諸如Granik之方法中的γ)之適當/最佳值,此情形規定在最佳化用於晶圓影像保真度之光源與光源之平穩度要求之間的取捨。Many prior art illumination optimization methods are known to us. For example, in the paper entitled "Optimum Mask and Source Patterns to Print A Given Shape" by Rosenbluth et al. (Journal of Microlithography, Microfabrication, Microsystems 1 (1), pp. 13-20 (2002)), The light source is divided into regions, each of which corresponds to a particular region of the pupil spectrum. Next, it is assumed that the light source distribution is uniform in each light source region, and the brightness of each region is optimized for a process window. However, the uniformity of the distribution of the light source in each of the light source regions is not always valid, and as a result, the effectiveness of the method is lost. In another example described in Granik's paper entitled "Source Optimization for Image Fidelity and Throughput" (Journal of Microlithography, Microfabrication, Microsystems 3(4), pp. 509-522 (2004)), a number of reviews are reviewed. Existing light source optimization methods, and a method based on illuminator pixels, which converts the light source optimization problem into a series of non-negative least squares optimizations. Although these methods have demonstrated some success, they often require multiple complex iterations to converge. In addition, it may be difficult to determine the appropriate/optimal value of some additional parameters (such as gamma in Granik's method), which stipulates between optimizing the smoothness requirements of the source for the wafer image fidelity and the source. Choose.

對於低k1光微影,需要光源及遮罩兩者之最佳化(亦即,光源及遮罩最佳化或SMO)以確保用於印刷臨界圖案之可行程序窗。現有演算法(例如,Socha等人之Proc. SPIE,第5853卷,第180頁,2005年)通常在空間頻域中將照明離散化成獨立光源點及將遮罩離散化成繞射級,且基於諸如曝光寬容度之程序窗度量而單獨地公式化成本函數,曝光寬容度可藉由光學成像模型而自光源點強度及遮罩繞射級加以預測。接著,使用標準最佳化技術來最小化目標函數。For low-k1 photolithography, optimization of both the source and the mask (i.e., source and mask optimization or SMO) is required to ensure a viable program window for printing critical patterns. Existing algorithms (eg, Socha et al., Proc. SPIE, Vol. 5853, p. 180, 2005) typically discretize illumination into independent source points and discretize masks into diffraction orders in the spatial frequency domain, and are based on The cost function is formulated separately, such as the procedural window metric of the exposure latitude, which can be predicted from the source point intensity and the mask diffraction level by the optical imaging model. Next, standard optimization techniques are used to minimize the objective function.

此等習知SMO技術在計算上係昂貴的(特別對於複雜設計)。因此,通常僅實務的是執行用於簡單重複設計之光源最佳化,諸如Flash之記憶體單元、DRAM器件,或邏輯器件記憶體設計之SRAM單元(Flash、DRAM及SRAM)。同時,全晶片包括諸如邏輯及閘之其他更複雜設計。因此,因為SMO光源最佳化僅係基於特定設計之有限小區域,所以難以保證光源將適用於不包括於SMO程序中之設計。因此,仍需要如下技術:其可在實務運行時間量內最佳化用於表示全晶片中之所有複雜設計佈局之多個設計剪輯(clip)的光源。These conventional SMO techniques are computationally expensive (especially for complex designs). Therefore, it is generally only practical to perform light source optimization for simple repetitive designs, such as Flash memory cells, DRAM devices, or SRAM cells (Flash, DRAM, and SRAM) for logic device memory design. At the same time, full wafers include other more complex designs such as logic and gates. Therefore, because SMO source optimization is based only on a limited small area of a particular design, it is difficult to ensure that the source will be suitable for designs that are not included in the SMO program. Therefore, there is still a need for a technique that optimizes the source of light for representing multiple design clips of all complex design layouts in a full wafer within a practical runtime amount.

本發明係關於微影裝置及程序,且更特定而言,係關於用於最佳化用於微影裝置及程序中之照明光源及遮罩的工具。根據特定態樣,本發明藉由自全設計智慧地選擇一圖案子集來實現該設計之覆蓋或甚至實現一全晶片之覆蓋,同時降低計算成本,其中該設計或該設計之一修改經組態以經由一微影程序而成像至一基板上。舉例而言,可將該選定子集用於光源及遮罩最佳化中。舉例而言,可僅對此選定圖案子集執行最佳化以獲得一最佳化光源。舉例而言,可接著使用該最佳化光源來最佳化用於該全晶片之該遮罩(例如,使用OPC及可製造性驗證),或可將該等選定圖案之該最佳化遮罩直接用於該設計中,使得OPC僅應用於具有該最佳化光源的該設計之剩餘部分上。在一反覆方法中,比較使用該子集所最佳化之該遮罩的程序窗效能結果與使用該全晶片所最佳化之該遮罩的程序窗效能結果。若該等結果與習知全晶片SMO相當,則該程序結束,否則,提供用於反覆地收斂於成功結果之各種方法。The present invention relates to lithography apparatus and programs, and more particularly to tools for optimizing illumination sources and masks used in lithography apparatus and programs. According to a particular aspect, the present invention achieves coverage of the design or even achieves a full wafer coverage by intelligently selecting a subset of patterns from the full design, while reducing computational cost, wherein the design or one of the designs modifies the group The state is imaged onto a substrate via a lithography process. For example, the selected subset can be used in light source and mask optimization. For example, optimization can be performed only on this selected subset of patterns to obtain an optimized source. For example, the optimized light source can then be used to optimize the mask for the full wafer (eg, using OPC and manufacturability verification), or the optimized pattern can be masked. The cover is used directly in this design so that the OPC is only applied to the remainder of the design with the optimized source. In a repetitive method, the program window performance results of the mask optimized using the subset are compared to the program window performance results of the mask optimized using the full wafer. If the results are comparable to a conventional full-wafer SMO, then the process ends, otherwise, various methods for repeatedly converge to successful results are provided.

在此等態樣及其他態樣之促進中,一種選擇與一設計相關聯之一圖案子集之方法包括:自該設計識別與該設計之預定義表示相關的一圖案集合;對該圖案集合進行分群及/或排名;定義與該分群及/或排名相關之一臨限值;及自該圖案集合選擇該圖案子集,其中該子集包含高於或低於該臨限值的來自該圖案集合之圖案。藉由根據該方法來選擇該圖案子集,該選定圖案子集構成該設計之一類似預定義表示以作為該圖案集合。舉例而言,該設計之此預定義表示可為藉由該設計之該等圖案產生的繞射級。隨後,可對該等圖案進行分群。在當前實例中,此分群可根據該等圖案之繞射級分佈,例如,可計算該等圖案中之每一者之間的一幾何相關,且可執行一分類方法以將最類似圖案分群在一起。然而,根據該設計之另一預定義表示的分群亦可為可能的。自該等群組中之每一者(例如,自該等繞射級群組中之每一者)選擇至少一圖案會確保該選定圖案子集中該設計(例如,該繞射級分佈)之總表示實質上對應於該設計或該全晶片的該設計(諸如該繞射級分佈)之該表示。當使用此圖案子集來執行該光源及遮罩最佳化時,該最佳化程序考慮在該設計(在此情況下,其為該全晶片之該繞射級分佈)之該預定義表示中所表示的該全晶片設計之所有態樣。或者,舉例而言,選擇一圖案子集之該步驟可自包含程序窗限制圖案之該等繞射級群組選擇至少一圖案。在此實施例中,該光源及遮罩最佳化可(例如)主要地聚焦於改良程序窗限制結構之成像特性。另外,或者,選擇一圖案子集之該步驟可自該等經識別繞射級群組中之每一者選擇至少一圖案。在使用該圖案子集之該光源及遮罩最佳化之後,較佳地,使用如下光源進行一另外設計最佳化或全晶片最佳化:該光源係自使用該圖案子集之該光源及遮罩最佳化予以產生。因此,該全晶片得以最佳化。In promoting such aspects and other aspects, a method of selecting a subset of patterns associated with a design includes identifying, from the design, a set of patterns associated with a predefined representation of the design; Performing grouping and/or ranking; defining a threshold associated with the grouping and/or ranking; and selecting the subset of patterns from the set of patterns, wherein the subset includes from the threshold above or below the threshold The pattern of the pattern collection. By selecting the subset of patterns according to the method, the selected subset of patterns constitutes one of the designs resembling a predefined representation as the set of patterns. For example, this predefined representation of the design can be a diffraction order produced by the patterns of the design. These patterns can then be grouped. In the present example, the grouping may be based on a diffraction order distribution of the patterns, for example, a geometric correlation between each of the patterns may be calculated, and a classification method may be performed to group the most similar patterns in together. However, grouping according to another predefined representation of the design may also be possible. Selecting at least one pattern from each of the groups (eg, from each of the groups of diffraction stages) ensures that the design (eg, the diffraction level distribution) of the selected pattern subset It generally represents the representation of the design (such as the diffraction order distribution) that substantially corresponds to the design or the full wafer. When the pattern subset is used to perform the source and mask optimization, the optimization procedure considers the predefined representation of the design (in this case, the diffraction order distribution of the full wafer) All aspects of this full wafer design are indicated in . Alternatively, for example, the step of selecting a subset of patterns may select at least one pattern from the group of diffraction levels including the program window restriction pattern. In this embodiment, the source and mask optimization can, for example, be primarily focused on improving the imaging characteristics of the program window confinement structure. Alternatively, or alternatively, the step of selecting a subset of patterns may select at least one pattern from each of the identified sets of diffraction stages. After optimizing the source and mask using the subset of patterns, an additional design optimization or full wafer optimization is preferably performed using a source that is self-using the source of the pattern subset And the mask is optimized to be produced. Therefore, the full wafer is optimized.

與該設計相關聯之該圖案子集可包含自該設計手動地或自動地所提取之圖案,或可包含由(例如)該設計之設計者連同該設計一起提供之特定圖案。此等特定圖案(通常亦被指示為剪輯)為與該設計相關聯之單獨圖案,其在於該等剪輯表示該設計之如下部分:對於該等部分,可能需要特殊關注,或該等部分表示用於該微影程序之最困難結構。一設計或設計佈局(通常包含以諸如OASIS、GDSII等等之一標準數位格式的一佈局)(舉例而言,對於該設計或設計佈局,將最佳化一微影程序)可包括記憶體圖案、測試圖案及邏輯圖案。自此設計佈局,識別初始較大圖案(通常亦被指示為剪輯)集合。通常,連同該設計佈局一起提取或提供一剪輯集合。此剪輯集合表示該設計佈局中之複雜圖案(一設計可包含約50個至1000個剪輯,但可提供或提取任何數目個剪輯)。熟習此項技術者應瞭解,此等圖案或剪輯表示該設計之小部分(亦即,電路、單元或圖案),且特別地,該等剪輯通常表示如下小部分:對於該等小部分,需要特定關注及/或驗證。The subset of patterns associated with the design may include patterns that are manually or automatically extracted from the design, or may include a particular pattern provided by, for example, the designer of the design along with the design. These particular patterns (usually also indicated as clips) are separate patterns associated with the design, in that the clips represent portions of the design: for such portions, special attention may be required, or such portions may be used The most difficult structure of the lithography program. A design or design layout (typically containing a layout in a standard digital format such as OASIS, GDSII, etc.) (for example, a lithography program will be optimized for the design or design layout) may include a memory pattern , test patterns and logic patterns. The layout is designed from this point on, identifying the initial larger pattern (usually also indicated as a clip) collection. Typically, a set of clips is extracted or provided along with the design layout. This clip collection represents a complex pattern in the design layout (a design can contain about 50 to 1000 clips, but any number of clips can be provided or extracted). Those skilled in the art will appreciate that such patterns or clips represent a small portion of the design (i.e., circuitry, units or patterns) and, in particular, such clips typically represent a small portion: for such small portions, Specific attention and / or verification.

在本發明之一實施例中,該識別步驟包含識別與該設計相關聯之一剪輯或圖案集合。在本發明之一實施例中,該識別步驟包含自該設計自動地識別圖案以構成該圖案集合之至少一部分。可自動化該圖案集合之該識別,因為可在該識別程序期間使用表示要求來識別該圖案集合之該等圖案。In an embodiment of the invention, the identifying step includes identifying a clip or set of patterns associated with the design. In an embodiment of the invention, the identifying step includes automatically identifying a pattern from the design to form at least a portion of the set of patterns. This identification of the set of patterns can be automated, as the representations can be used during the recognition process to identify the patterns of the set of patterns.

在本發明之一實施例中,選擇該圖案子集之該步驟包含自為一剪輯集合之該圖案集合選擇剪輯。該圖案子集包含該等選定剪輯。選擇該圖案子集之該步驟亦可包含自該設計手動地提取圖案。在此情況下,該圖案子集包含該等經手動提取圖案。選擇該圖案子集之該步驟亦可包含自該設計自動地提取圖案。在此特定情況下,該圖案子集包含該等經自動提取圖案。歸因於在該選擇方法中存在一臨限值之事實,可藉由指示一電腦程式產品自該圖案集合自動地提取遵照該臨限值之所有圖案進行該選擇步驟,以產生該子集。舉例而言,可由一使用者來定義該臨限值。因此,可將自一設計選擇圖案之整個方法自動化成一提取演算法,該提取演算法自一設計自動地提取該圖案子集。此情形在將在一大量生產環境中執行該光源及遮罩最佳化程序時為一重要益處,在該大量生產環境中,將限制子集選擇之變化以改良該最佳化步驟之可預測性,且將限制選擇該等子集所需要之時間以改良該光源及遮罩最佳化之速度。In one embodiment of the invention, the step of selecting the subset of patterns includes selecting a clip from the set of patterns for a set of clips. The subset of patterns contains the selected clips. The step of selecting the subset of patterns can also include manually extracting the pattern from the design. In this case, the subset of patterns includes the manually extracted patterns. The step of selecting the subset of patterns can also include automatically extracting the pattern from the design. In this particular case, the subset of patterns includes the automatically extracted patterns. Due to the fact that there is a threshold in the selection method, the selection step can be performed by instructing a computer program product to automatically extract all of the patterns following the threshold from the set of patterns to produce the subset. For example, the threshold can be defined by a user. Thus, the entire method from a design selection pattern can be automated into an extraction algorithm that automatically extracts the pattern subset from a design. This situation is an important benefit when the source and mask optimization procedures are to be performed in a mass production environment where the variation in subset selection will be limited to improve the predictability of the optimization step. Sex, and will limit the time required to select those subsets to improve the speed at which the source and mask are optimized.

在一替代實施例中,該設計之該預定義表示包含不同圖案類型(諸如閘或邏輯圖案),或包含具有一特定定向之圖案。舉例而言,可隨後根據間距而對使用該設計之此表示所識別的一圖案集合進行分群。在一替代實施例中,該設計之該預定義表示包含該設計中該等圖案之一複雜度位準。在一另外替代實施例中,該預定義表示包含在微影處理期間需要特定關注及/或驗證之圖案,諸如記憶體單元。在另一替代實施例中,該預定義表示包含具有一預定義程序窗效能之圖案。可選擇該圖案集合中之定義群組,使得自該等群組中之每一者的與該程序窗效能相關之一圖案之一選擇產生一圖案子集,該圖案子集與全晶片程序窗相比較具有一實質上類似程序窗。因此,藉由執行光源及遮罩最佳化以最佳化該圖案子集之該程序窗,亦實質上最佳化該全晶片之該程序窗。在一另外替代實施例中,該設計之該預定義表示包含對該圖案之程序參數變化之一敏感度。選擇具有對特定程序參數之一特定敏感度的圖案作為用於該光源及遮罩最佳化之子集會導致該光源及遮罩最佳化程序具有對此等特定程序參數之類似敏感度,此情形允許該最佳化程序(例如)縮減對此等特定程序參數之程序敏感度。In an alternate embodiment, the predefined representation of the design includes a different pattern type (such as a gate or logic pattern) or a pattern having a particular orientation. For example, a set of patterns identified using this representation of the design can then be grouped according to spacing. In an alternate embodiment, the predefined representation of the design includes a level of complexity of the patterns in the design. In an alternate embodiment, the predefined representation includes a pattern that requires particular attention and/or verification during lithography, such as a memory unit. In another alternative embodiment, the predefined representation includes a pattern having a predefined program window performance. A definition group in the set of patterns can be selected such that a pattern subset is selected from one of the patterns of each of the groups associated with the program window performance, the pattern subset and the full wafer program window The comparison has a substantially similar program window. Thus, by performing the illumination and mask optimization to optimize the program window of the subset of patterns, the program window of the full wafer is also substantially optimized. In a further alternative embodiment, the predefined representation of the design includes sensitivity to one of the program parameter changes to the pattern. Selecting a pattern having a particular sensitivity to one of the particular program parameters as a subset for the source and mask optimization results in the source and mask optimization program having similar sensitivities to these particular program parameters, in which case This optimization program is allowed, for example, to reduce the program sensitivity of these particular program parameters.

在本發明之一額外實施例中,該圖案子集包含熱點,該等熱點構成限制該設計之該程序窗效能的來自該圖案集合之圖案。為了識別該等熱點,可將一數值模型化方法用於模型化該圖案集合之該等圖案之成像效能,以用於識別限制該設計之該程序窗效能的該等圖案。In an additional embodiment of the invention, the subset of patterns includes hotspots that form a pattern from the set of patterns that limits the performance of the program window of the design. To identify the hotspots, a numerical modeling approach can be used to model the imaging performance of the patterns of the set of patterns for identifying such patterns that limit the performance of the program window of the design.

在本發明之一實施例中,根據與該設計之預定義表示相關的一參數來執行該圖案集合之該分群及/或排名。舉例而言,此參數可為一使用者定義值,或可為一程序窗參數,諸如曝光寬容度及聚焦深度。在本發明之一實施例中,根據與該設計之該預定義表示相關的一函數來執行該圖案集合之該分群及/或排名。舉例而言,此函數可為一嚴重性計分函數(severity score function),其中(例如)包括邊緣置放誤差及遮罩誤差增強函數值以判定模擬輪廓與目標之偏差(EPE)及對遮罩製造誤差之敏感度(MEEF)。在本發明之一實施例中,根據與該設計之該預定義表示相關的一規則來執行該圖案集合之該分群及/或排名。在此以規則為基礎之分群及/或排名中,可根據使用者定義規則發生該分群,諸如線/空間結構(例如,基於預定義W/S區間所分群之圖案,特定W/S組合具有高於其他W/S組合之優先權)之寬度/間隔,或圖案類型(例如,藉由1D線/空間所分群之圖案、線對末端圖案、末端對末端圖案、彎管圖案或H形圖案,特定圖案類型具有高於其他圖案類型之優先權)。In one embodiment of the invention, the grouping and/or ranking of the set of patterns is performed in accordance with a parameter associated with the predefined representation of the design. For example, the parameter can be a user defined value or can be a program window parameter such as exposure latitude and depth of focus. In one embodiment of the invention, the grouping and/or ranking of the set of patterns is performed in accordance with a function associated with the predefined representation of the design. For example, the function can be a severity score function, including, for example, an edge placement error and a mask error enhancement function value to determine the deviation of the simulated contour from the target (EPE) and the masking Mask Manufacturing Error Sensitivity (MEEF). In one embodiment of the invention, the grouping and/or ranking of the set of patterns is performed in accordance with a rule associated with the predefined representation of the design. In rule-based grouping and/or ranking, the grouping may occur according to user-defined rules, such as line/space structures (eg, based on a pattern of groups of predefined W/S intervals, a particular W/S combination has Width/interval above the priority of other W/S combinations, or pattern type (eg, pattern grouped by 1D line/space, line pair end pattern, end-to-end pattern, elbow pattern, or H-shaped pattern) The specific pattern type has priority over other pattern types).

為了自該圖案集合選擇該圖案子集,使用一臨限值。該等選定圖案可為高於或低於該臨限值之圖案,且可甚至為在該臨限值處之圖案。在本發明之一實施例中,該臨限值包含一嚴重性計分位準。在此實施例中,僅將具有一嚴重性計分(例如,在一特定嚴重性計分位準處或高於該特定嚴重性計分位準)的來自該圖案集合之該等圖案選擇至該圖案子集。在本發明之一替代實施例中,該臨限值包含一程序窗參數,諸如曝光寬容度及聚焦深度。在本發明之一另外替代實施例中,該臨限值包含來自在對該圖案集合進行分群之該步驟期間所識別之預定數目個群組之圖案的一數目。該預定數目個群組可為所識別之所有群組,或可僅為一圖案子群組。舉例而言,來自每一群組之圖案的數目可為來自每一群組之至少一圖案,合計達(例如)15個至50個圖案之一最大值。在一另外替代實施例中,該臨限值包含來自依排名次序之該等經排名圖案之圖案的一預定義數目。在本發明之一替代實施例中,該臨限值包含該等圖案中之結構的一尺寸。舉例而言,可在大尺寸圖案之前選擇小尺寸圖案。在本發明之一另外替代實施例中,該臨限值包含該設計中或該圖案集合中該等圖案之出現的一數目。舉例而言,可在低出現圖案之前選擇高出現圖案。在本發明之一替代實施例中,該臨限值包含關於該設計之該等圖案的一臨界度。舉例而言,可在局域互連圖案之前選擇用於閘之圖案或疊對臨界圖案。可藉由指示一電腦程式產品將該臨限值施加至該圖案集合而相對容易地自動化所有此等選擇。To select the subset of patterns from the set of patterns, a threshold is used. The selected patterns can be patterns above or below the threshold and can even be patterns at the threshold. In an embodiment of the invention, the threshold includes a severity score level. In this embodiment, only those patterns from the set of patterns having a severity score (eg, at a particular severity score level or above the particular severity score level) are selected to This subset of patterns. In an alternate embodiment of the invention, the threshold includes a program window parameter such as exposure latitude and depth of focus. In still another alternative embodiment of the invention, the threshold includes a number from a pattern of a predetermined number of groups identified during the step of grouping the set of patterns. The predetermined number of groups may be all identified groups, or may be only one pattern subgroup. For example, the number of patterns from each group can be at least one pattern from each group, summing up to, for example, one of 15 to 50 patterns. In a further alternative embodiment, the threshold includes a predefined number of patterns from the ranked patterns in a ranking order. In an alternate embodiment of the invention, the threshold includes a dimension of the structure in the patterns. For example, a small size pattern can be selected prior to the large size pattern. In a further alternative embodiment of the invention, the threshold value comprises a number of occurrences of the patterns in the design or in the set of patterns. For example, a high appearance pattern can be selected before the pattern appears low. In an alternate embodiment of the invention, the threshold includes a criticality for the patterns of the design. For example, a pattern for the gate or a pair of critical patterns can be selected prior to the local interconnect pattern. All such options can be relatively easily automated by instructing a computer program product to apply the threshold to the set of patterns.

在以上態樣及其他態樣之額外促進中,本發明係關於一種執行光源遮罩最佳化以用於經由一微影程序而將一設計或該設計之一修改成像至一基板上的方法。該方法包含以下步驟:根據前述技術方案中之任一者而自該設計選擇一圖案子集;對該等選定圖案執行光源及遮罩最佳化以獲得一最佳化光源組態,其中該光源組態為用於將該設計或該設計之一修改成像至該基板上之一微影工具之一照明光源的一組態,且該方法包含使用該最佳化光源來最佳化該設計之步驟。在此實施例中,該設計可為一全晶片設計。In an additional aspect of the above aspects and other aspects, the present invention is directed to a method of performing light source mask optimization for imaging a design or one of the designs onto a substrate via a lithography process . The method comprises the steps of: selecting a subset of patterns from the design according to any of the preceding technical solutions; performing light source and mask optimization on the selected patterns to obtain an optimized light source configuration, wherein The light source is configured as a configuration for illuminating the design or one of the designs onto one of the illumination sources of one of the lithography tools on the substrate, and the method includes optimizing the design using the optimized source The steps. In this embodiment, the design can be a full wafer design.

在該光源遮罩最佳化之一替代實施例中,可對一個別晶片層進行執行光源遮罩最佳化之該方法。在此實施例中,該方法可藉由(例如)自針對一相關程序或一相關設計所進行之一光源遮罩最佳化選擇一初始光源組態而開始。此初始光源組態可用以使用(例如)用於使用此初始光源組態來模擬該設計之該成像的模擬工具而驗證該設計之微影效能。自此微影效能驗證,可在該設計中識別一或多個熱點。熱點為已經識別成限制一微影參數(諸如聚焦深度、曝光寬容度、臨界尺寸均一性,或甚至,程序窗大小或類似參數)之圖案或剪輯。隨後,將該等經識別熱點中之至少一者包括於該圖案子集中,以用於重新執行該光源遮罩最佳化,但現對於此特定晶片設計,使用包括該至少一經識別熱點之該圖案子集。此流程之一益處在於:通常僅需要與原始光源遮罩最佳化相比較之邊際改變,其確保用以執行此「每設計」(per design)光源遮罩最佳化之典型計算時間與進行無初始光源組態之初始選擇的該光源遮罩最佳化相比較實質上較少。In an alternate embodiment of the source mask optimization, the method of performing source mask optimization can be performed on a separate wafer layer. In this embodiment, the method can begin by, for example, selecting an initial source configuration from one of the source mask adaptations for a related program or a related design. This initial light source configuration can be used to verify the lithographic performance of the design using, for example, a simulation tool for simulating the imaging of the design using this initial light source configuration. From this lithography performance verification, one or more hotspots can be identified in the design. Hotspots are patterns or clips that have been identified as limiting a lithography parameter such as depth of focus, exposure latitude, critical dimension uniformity, or even program window size or similar parameters. Subsequently, at least one of the identified hotspots is included in the subset of patterns for re-executing the source mask optimization, but for this particular wafer design, the use of the at least one identified hotspot is now used A subset of patterns. One of the benefits of this process is that it usually only requires marginal changes compared to the original source mask optimization, which ensures typical calculation time and performance to perform this "per design" source mask optimization. The source mask optimization without initial selection of the initial source configuration is substantially less.

在以上態樣及其他態樣之額外促進中,本發明係關於一種電腦程式產品,該電腦程式產品包含經記錄有指令之一電腦可讀媒體,該等指令在被執行時使電腦執行自設計選擇圖案子集之方法。In an additional aspect of the above aspects and other aspects, the present invention is directed to a computer program product comprising a computer readable medium having recorded instructions for causing a computer to perform a self-design when executed The method of selecting a subset of patterns.

現將參看隨附示意性圖式而僅藉由實例來描述本發明之實施例,在該等圖式中,對應元件符號指示對應部分。Embodiments of the present invention will now be described by way of example only with reference to the accompanying drawings,

現將參看圖式來詳細地描述本發明,該等圖式係作為本發明之說明性實例而提供,以便使熟習此項技術者能夠實踐本發明。值得注意地,以下諸圖及實例不意謂將本發明之範疇限於單一實施例,而藉由所描述或說明之元件中之一些或全部的互換,其他實施例係可能的。此外,在可使用已知組件來部分地或全部地實施本發明之特定元件時,將僅描述為理解本發明所必要的此等已知組件之彼等部分,且將省略此等已知組件之其他部分的詳細描述,以便不混淆本發明。熟習此項技術者將顯而易見,被描述為以軟體加以實施之實施例不應限於此情形,而可包括以硬體或軟體與硬體之組合加以實施之實施例,且反之亦然(除非本文中另有說明)。在本說明書中,不應認為展示單數組件之實施例係限制性的;相反地,本發明意欲涵蓋包括複數個相同組件之其他實施例,且反之亦然(除非本文中另有明確敍述)。此外,申請人不意欲使本說明書或申請專利範圍中之任何術語均歸於罕有或特殊意義(除非如此明確地闡述)。另外,本發明涵蓋本文中藉由說明所提及之已知組件的目前及未來已知等效物。The invention will be described in detail with reference to the drawings, which are to be construed as an illustrative embodiment of the invention. The following figures and examples are not intended to limit the scope of the invention to a single embodiment, and other embodiments are possible by the interchange of some or all of the elements described or illustrated. In addition, where specific components of the invention may be implemented, in part or in whole, using known components, only those components of such known components necessary to understand the invention will be described, and such known components will be omitted. The detailed description of the other parts is provided so as not to obscure the invention. It will be apparent to those skilled in the art that embodiments described as being implemented in software should not be limited to this, and may include embodiments implemented in a combination of hardware or software and hardware, and vice versa (unless this document Another explanation). In the present specification, the embodiments of the singular components are not to be construed as limiting; rather, the invention is intended to cover other embodiments including the plurality of the same components, and vice versa (unless otherwise explicitly stated herein). In addition, the Applicant does not intend to attribute any term in this specification or the scope of the claims to the rare or specific meaning (unless so explicitly stated). In addition, the present invention encompasses present and future known equivalents of the known components referred to herein by way of illustration.

儘管在本文中可特定地參考本發明在IC製造中之使用,但應明確地理解,本發明具有許多其他可能應用。舉例而言,本發明可用於製造整合光學系統、用於磁疇記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭等等。熟習此項技術者應瞭解,在此等替代應用之內容背景中,應將在本文中對術語「比例光罩」、「晶圓」或「晶粒」之任何使用認為係分別藉由更通用之術語「遮罩」、「基板」及「目標部分」替換。Although the use of the invention in the manufacture of ICs may be specifically referenced herein, it should be expressly understood that the invention has many other possible applications. For example, the present invention can be used to fabricate integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid crystal display panels, thin film magnetic heads, and the like. Those skilled in the art should understand that in the context of the content of such alternative applications, any use of the terms "proportional mask", "wafer" or "die" in this context is considered to be more generic, respectively. Replace the terms "mask", "substrate" and "target part".

在本發明之文件中,術語「輻射」及「光束」係用以涵蓋所有類型之電磁輻射,包括紫外線輻射(例如,具有為365奈米、248奈米、193奈米、157奈米或126奈米之波長)及EUV(極紫外線輻射,例如,具有在為5奈米至20奈米之範圍內的波長)。In the context of the present invention, the terms "radiation" and "beam" are used to cover all types of electromagnetic radiation, including ultraviolet radiation (for example, having 365 nm, 248 nm, 193 nm, 157 nm or 126). The wavelength of the nanometer) and EUV (extreme ultraviolet radiation, for example, having a wavelength in the range of 5 nm to 20 nm).

如在本文中所使用之術語「遮罩」可被廣泛地解釋為指代可用以對應於待形成於基板之目標部分中之圖案而向入射輻射光束賦予經圖案化橫截面的通用圖案化構件;術語「光閥」亦可用於此內容背景中。除了傳統遮罩(透射或反射;二元、相移、混合等等)以外,其他此類圖案化構件之實例亦包括:The term "mask" as used herein may be broadly interpreted to refer to a universally patterned member that can be used to impart a patterned cross-section to an incident radiation beam corresponding to a pattern to be formed in a target portion of the substrate. The term "light valve" can also be used in the context of this content. In addition to conventional masks (transmission or reflection; binary, phase shifting, blending, etc.), examples of other such patterned components include:

● 可程式化鏡面陣列。此器件之實例為具有黏彈性控制層及反射表面之矩陣可定址表面。此裝置所隱含之基本原理在於(例如):反射表面之經定址區域將入射光反射為繞射光,而未經定址區域將入射光反射為非繞射光。藉由使用適當濾光器,可將該非繞射光濾出反射光束,從而僅留下繞射光;以此方式,光束根據矩陣可定址表面之定址圖案而變得圖案化。可使用適當電子構件來執行所需矩陣定址。可(例如)自以引用之方式併入本文中的美國專利第5,296,891號及第5,523,193號搜集到關於此等鏡面陣列之更多資訊。● Programmable mirror array. An example of such a device is a matrix addressable surface having a viscoelastic control layer and a reflective surface. The basic principle implied by this device is, for example, that the addressed area of the reflective surface reflects incident light as diffracted light, while the unaddressed area reflects incident light as non-diffracted light. By using a suitable filter, the non-diffracted light can be filtered out of the reflected beam leaving only the diffracted light; in this manner, the beam becomes patterned according to the addressing pattern of the matrix addressable surface. The appropriate electronic components can be used to perform the required matrix addressing. Further information on such mirror arrays can be found, for example, in U.S. Patent Nos. 5,296,891 and 5,523,193, the disclosures of which are incorporated herein by reference.

● 可程式化LCD陣列。以引用之方式併入本文中的美國專利第5,229,872號中給出此建構之實例。● Programmable LCD array. An example of such construction is given in U.S. Patent No. 5,229,872, which is incorporated herein by reference.

在論述本發明之前,提供關於總模擬及成像程序之簡短論述。圖1說明例示性微影投影系統10。主要組件為:光源12,其可為深紫外線準分子雷射光源;照明光學儀器,其界定部分相干(被表示為均方偏差(sigma))且可包括特定光源塑形光學儀器14、16a及16b;遮罩或比例光罩18;及投影光學儀器16c,其將比例光罩圖案之影像產生至晶圓平面22上。在光瞳平面處之可調整濾光器或孔徑20可限制照射晶圓平面22之光束角度的範圍,其中最大可能角度界定投影光學儀器之數值孔徑NA=sin(Θmax )。Prior to discussing the present invention, a brief discussion of the general simulation and imaging procedures is provided. FIG. 1 illustrates an exemplary lithography projection system 10. The main components are: a light source 12, which may be a deep ultraviolet excimer laser source; an illumination optics that defines a partial coherence (denoted as a mean square sigma) and may include a particular source shaping optical instrument 14, 16a and 16b; a mask or proportional mask 18; and a projection optics 16c that produces an image of the proportional mask pattern onto the wafer plane 22. The adjustable filter or aperture 20 at the pupil plane can limit the range of beam angles that illuminate the wafer plane 22, where the largest possible angle defines the numerical aperture NA = sin(Θ max ) of the projection optics.

在微影模擬系統中,如圖2所說明,可藉由(例如)單獨功能模組來描述此等主要系統組件。參看圖2,功能模組包括:設計佈局模組26,其界定目標設計;遮罩佈局模組28,其界定待用於成像程序中之遮罩;遮罩模型模組30,其界定待用於模擬程序期間的遮罩佈局之模型;光學模型模組32,其界定微影系統之光學組件的效能;及抗蝕劑模型模組34,其界定用於給定程序中之抗蝕劑的效能。如吾人所知,舉例而言,模擬程序之結果在結果模組36中產生預測輪廓及CD。In a lithography simulation system, as illustrated in Figure 2, such major system components can be described by, for example, separate functional modules. Referring to FIG. 2, the functional module includes: a design layout module 26 that defines a target design; a mask layout module 28 that defines a mask to be used in an imaging process; and a mask model module 30 that defines a standby a model of the mask layout during the simulation process; an optical model module 32 that defines the performance of the optical components of the lithography system; and a resist model module 34 that defines the resist for a given program efficacy. As is known to us, for example, the results of the simulation program produce a predicted contour and CD in the results module 36.

更具體而言,應注意,在光學模型32中捕獲照明及投影光學儀器之屬性,光學模型32包括(但不限於)NA均方偏差(σ)設定以及任何特定照明光源形狀(例如,離軸光源,諸如環形、四極及偶極等等)。亦可捕獲塗佈於基板上之光阻層的光學屬性(亦即,折射率、膜厚度、傳播及偏振效應)以作為光學模型32之部分。遮罩模型30捕獲比例光罩之設計特徵,且亦可包括遮罩之詳細物理屬性的表示,如(例如)美國專利第7,587,704號中所描述。最終,抗蝕劑模型34描述發生於抗蝕劑曝光、PEB及顯影期間之化學程序的效應,以便預測(例如)形成於基板晶圓上之抗蝕劑特徵的輪廓。模擬之目標係準確地預測(例如)邊緣置放及CD,其可接著與目標設計進行比較。目標設計通常被定義為OPC前遮罩佈局,且將以諸如GDSII或OASIS之標準化數位檔案格式加以提供。More specifically, it should be noted that the properties of the illumination and projection optics are captured in the optical model 32, which includes, but is not limited to, the NA mean squared deviation ([sigma] setting and any particular illumination source shape (eg, off-axis) Light sources, such as rings, quads and dipoles, etc.). The optical properties (i.e., refractive index, film thickness, propagation, and polarization effects) of the photoresist layer coated on the substrate can also be captured as part of the optical model 32. The mask model 30 captures the design features of the reticle, and may also include a representation of the detailed physical properties of the mask, as described, for example, in U.S. Patent No. 7,587,704. Finally, resist model 34 describes the effects of chemical processes occurring during resist exposure, PEB, and development to predict, for example, the profile of the resist features formed on the substrate wafer. The goal of the simulation is to accurately predict, for example, edge placement and CD, which can then be compared to the target design. The target design is usually defined as the OPC pre-mask layout and will be provided in a standardized digital file format such as GDSII or OASIS.

在一典型高端設計中,幾乎每一特徵邊緣均需要某種修改,以便達成充分地接近於目標設計之經印刷圖案。此等修改可包括邊緣位置或線寬之移位或偏置以及「輔助」特徵之應用,「輔助」特徵不意欲印刷其自身,但將影響關聯主要特徵之屬性。此外,應用於照明光源之最佳化技術可具有對不同邊緣及特徵之不同效應。照明光源之最佳化可包括使用光瞳以將光源照明限於選定光圖案。本發明提供可應用於光源組態及遮罩組態兩者之最佳化方法。In a typical high-end design, almost every feature edge requires some modification in order to achieve a printed pattern that is sufficiently close to the target design. Such modifications may include shifting or offsetting of edge positions or line widths and the application of "auxiliary" features, which are not intended to print themselves, but will affect the attributes of the associated primary features. In addition, optimization techniques applied to illumination sources can have different effects on different edges and features. Optimization of the illumination source can include the use of an aperture to limit illumination of the source to a selected pattern of light. The present invention provides an optimized method that can be applied to both light source configuration and mask configuration.

一般而言,根據本發明之實施例的執行光源及遮罩最佳化(SMO)之方法藉由自待用於SMO中之全剪輯集合智慧地選擇小臨界設計圖案集合來實現全晶片圖案覆蓋,同時降低計算成本。僅對此等選定圖案執行SMO以獲得最佳化光源。接著,使用最佳化光源來最佳化用於全晶片之遮罩(例如,使用OPC及LMC),且比較結果。若該等結果與習知全晶片SMO相當,則該程序結束,否則,提供用於反覆地收斂於成功結果之各種方法。In general, a method of performing light source and mask optimization (SMO) according to an embodiment of the present invention achieves full wafer pattern coverage by intelligently selecting a set of small critical design patterns from a full set of clips to be used in SMO. At the same time, reduce the cost of calculation. SMO is only performed for these selected patterns to obtain an optimized source. Next, an optimized source is used to optimize the mask for the full wafer (eg, using OPC and LMC) and the results are compared. If the results are comparable to a conventional full-wafer SMO, then the process ends, otherwise, various methods for repeatedly converge to successful results are provided.

將結合圖3A中之流程圖來解釋根據本發明之實施例的一實例SMO方法。一般熟習此項技術者將直接且明白地顯而易見,圖3A中之流程圖展示可能不始終用於SMO最佳化期間之許多回饋迴路。舉例而言,在程序開發期間之SMO中,最佳可能光源及遮罩可能要求使用若干回饋迴路,而對於在晶片生產程序期間所進行之SMO,速度係重要的且通常使用簡化SMO流程,從而省略如圖3A所示之大多數回饋迴路。An example SMO method in accordance with an embodiment of the present invention will be explained in conjunction with the flowchart of FIG. 3A. It will be apparent to those skilled in the art that the flow chart in Figure 3A may not always be used for many feedback loops during SMO optimization. For example, in SMO during program development, the best possible source and mask may require the use of several feedback loops, and for SMOs performed during the wafer production process, speed is important and typically uses a simplified SMO process, thus Most of the feedback loops as shown in Figure 3A are omitted.

目標設計300(通常包含以諸如OASIS、GDSII等等之標準數位格式的佈局)(對於目標設計300,將最佳化一微影程序)包括記憶體、測試圖案及邏輯。自此設計300,自該設計識別與該設計之預定義表示相關的圖案集合302。在本發明之一特定實施例中,該圖案集合為所提取之全剪輯集合302,其表示設計300中之所有複雜圖案(通常約50個至1000個剪輯)。熟習此項技術者應瞭解,此等圖案或剪輯表示該設計之小部分(亦即,電路、單元或圖案),且特別地,該等剪輯表示如下小部分:對於該等小部分,需要特定關注及/或驗證。Target design 300 (typically containing layouts in a standard digital format such as OASIS, GDSII, etc.) (for target design 300, a lithography program will be optimized) includes memory, test patterns, and logic. From this design 300, a set of patterns 302 associated with a predefined representation of the design is identified from the design. In a particular embodiment of the invention, the set of patterns is the extracted full set of clips 302, which represents all of the complex patterns in design 300 (typically about 50 to 1000 clips). Those skilled in the art will appreciate that such patterns or clips represent a small portion (i.e., circuit, unit or pattern) of the design, and in particular, the clips represent a small portion: for such small portions, specific Attention and / or verification.

用於識別圖案集合的設計之預定義表示可(例如)包含不同圖案類型(諸如閘或邏輯圖案),或可(例如)包含具有特定定向之圖案。用於識別圖案集合之預定義表示可(例如)亦包含:包含特定複雜度位準之圖案,或在微影處理期間需要特定關注及/或驗證之圖案,例如,遵照設計規則(比如1D全點間距(through pitch)、交錯全點間距、常用設計建構或基元(例如,彎管、T形、H形))之特定測試結構、重複使用之佈局結構(比如記憶體單元(例如,磚牆)、記憶體周邊結構(例如,卡鉤至記憶體單元),及具有自前代已知之成像問題的圖案)等等。用於識別圖案集合之預定義表示可(例如)進一步包含具有預定義程序窗效能之圖案,或(例如)包含如下圖案:其包含對圖案之程序參數變化之敏感度。A predefined representation of a design for identifying a collection of patterns may, for example, comprise a different pattern type (such as a gate or a logic pattern), or may, for example, comprise a pattern having a particular orientation. A predefined representation for identifying a collection of patterns may, for example, also include: a pattern containing a particular level of complexity, or a pattern that requires particular attention and/or verification during lithography, for example, following design rules (eg, 1D full) Specific pitch of through pitch, staggered full dot spacing, common design construction or primitives (eg, elbow, T, H)), reusable layout structures (eg, memory cells (eg, bricks) Wall), memory peripheral structure (eg, hook to memory unit), and patterns with imaging problems known from previous generations, and the like. The predefined representation for identifying the set of patterns may, for example, further comprise a pattern having a predefined program window performance, or, for example, a pattern comprising: sensitivity to changes in program parameters of the pattern.

如以304大體上所展示,自全集合302選擇小圖案子集306或小剪輯子集306(例如,15個至50個剪輯)。如下文將更詳細地所解釋,較佳地執行圖案或剪輯子集之選擇,使得選定圖案之程序窗儘可能接近地匹配於全臨界圖案集合之程序窗。亦藉由總轉動運行時間(圖案選擇及SMO)縮減來量測選擇之有效性。As shown generally at 304, a small pattern subset 306 or a small subset of clips 306 (eg, 15 to 50 clips) are selected from the full set 302. As will be explained in more detail below, the selection of the pattern or subset of clips is preferably performed such that the program window of the selected pattern matches the program window of the set of full critical patterns as closely as possible. The effectiveness of the selection is also measured by total rotational run time (pattern selection and SMO) reduction.

在308中,以選定圖案子集(15個至50個圖案)306來執行SMO。更特定而言,針對選定圖案子集306最佳化照明光源。可使用多種已知方法(例如,美國專利公開案第2004/0265707號中所描述之方法)中之任一者來執行此最佳化,該公開案之內容以引用之方式併入本文中。At 308, SMO is performed with a selected subset of patterns (15 to 50 patterns) 306. More specifically, the illumination source is optimized for the selected subset of patterns 306. This optimization can be performed using any of a variety of known methods (e.g., the methods described in U.S. Patent Publication No. 2004/0265707), the disclosure of which is incorporated herein by reference.

在310中,以在308中所獲得之光源來執行選定圖案子集306之可製造性驗證。更特定而言,驗證包括執行選定圖案子集306及最佳化光源之空中影像模擬,及驗證該圖案子集將橫越足夠寬之程序窗進行印刷。可使用多種已知方法(例如,美國專利第7,342,646號中所描述之方法)中之任一者來執行此驗證,該專利之內容以引用之方式併入本文中。At 310, the manufacturability verification of the selected pattern subset 306 is performed with the light source obtained at 308. More specifically, the verification includes performing an aerial image simulation of the selected subset of patterns 306 and optimizing the source, and verifying that the subset of patterns will be printed across a wide enough program window. This verification can be performed using any of a variety of known methods (e.g., the methods described in U.S. Patent No. 7,342,646), the disclosure of which is incorporated herein by reference.

若在310中之驗證令人滿意(如在312中所判定),則處理進展至在314中之全晶片最佳化。否則,處理返回至308,其中再次執行SMO,但以不同光源或不同圖案子集來執行SMO。舉例而言,可比較如藉由驗證工具估計之程序效能與諸如曝光寬容度及聚焦深度之特定程序窗參數的臨限值。可由使用者來預定或設定此等臨限值。If the verification at 310 is satisfactory (as determined at 312), then processing proceeds to full wafer optimization at 314. Otherwise, processing returns to 308 where SMO is performed again, but SMO is performed with different light sources or different subsets of patterns. For example, the program performance as estimated by the verification tool can be compared to the threshold of a particular program window parameter such as exposure latitude and depth of focus. These thresholds can be predetermined or set by the user.

在316中,在選定圖案子集滿足如在312中所判定之微影效能規格之後,最佳化光源314將用於全晶片或全剪輯集合之最佳化。In 316, after the selected subset of patterns meets the lithography performance specifications as determined in 312, the optimized source 314 will be optimized for the full wafer or full clip set.

在318中,執行針對全晶片或全剪輯集合316中之所有圖案的以模型為基礎之次解析度輔助特徵置放(MB-SRAF)及光學近接校正(OPC)。可使用多種已知方法(例如,美國專利第5,663,893號、第5,821,014號、第6,541,167號及第6,670,081號中所描述之方法)中之任一者來執行此程序。At 318, model-based sub-resolution assisted feature placement (MB-SRAF) and optical proximity correction (OPC) are performed for all of the patterns in the full wafer or full clip set 316. This procedure can be performed using any of a variety of known methods, such as those described in U.S. Patent Nos. 5,663,893, 5,821,014, 6,541,167, and 6,670,081.

在320中,藉由使用類似於步驟310之程序,以最佳化光源314及如在318中所校正之全晶片或全剪輯集合316來執行以全圖案模擬為基礎之可製造性驗證。In 320, verifiability verification based on full pattern simulation is performed by using a procedure similar to step 310 to optimize the source 314 and the full wafer or full clip set 316 as corrected in 318.

在322中,比較全晶片或全剪輯集合316之效能(例如,程序窗參數,諸如曝光寬容度及聚焦深度)與圖案或剪輯子集306。在一實例實施例中,當針對選定圖案(15個至20個)306及所有臨界圖案(50個至1000個)316兩者獲得類似(<10%)微影效能時,認為圖案選擇完成及/或光源對於全晶片完全地合格。At 322, the performance of the full wafer or full clip set 316 (eg, program window parameters such as exposure latitude and depth of focus) and pattern or clip subset 306 are compared. In an example embodiment, when similar (<10%) lithography performance is obtained for both selected patterns (15 to 20) 306 and all critical patterns (50 to 1000) 316, the pattern selection is considered complete and / or the light source is fully qualified for the full wafer.

否則,在324中,提取熱點,且在326中,將此等熱點添加至圖案子集306,且該程序重新開始。舉例而言,將在驗證320期間所識別之熱點(亦即,限制程序窗效能的在全晶片或全剪輯集合316當中之特徵)用於另外光源調諧或用以重新運行SMO。當全晶片或全剪輯集合316之程序窗在最後運行與在322之最後運行之前的運行之間相同時,認為光源完全地收斂。在此情況下,可自該流程提取最佳化光源及遮罩,如在步驟328中所指示。Otherwise, in 324, the hotspots are extracted, and in 326, the hotspots are added to the pattern subset 306 and the program begins again. For example, the hotspots identified during verification 320 (i.e., features of the full-wafer or full-clip set 316 that limit program window performance) are used for additional source tuning or to re-run the SMO. The source is considered to converge completely when the program window of the full wafer or full clip set 316 is the same between the last run and the run before the last run of 322. In this case, the optimized source and mask can be extracted from the process, as indicated in step 328.

已開發用於304中之多種圖案選擇方法,且下文詳述特定非限制性實例。A variety of pattern selection methods for use in 304 have been developed, and specific non-limiting examples are detailed below.

圖3B展示用於自設計300選擇圖案子集之圖案選擇方法的總流程。圖案選擇方法中之初始步驟302為自設計300識別圖案。圖案集合中圖案之識別係使得圖案經由如上文所指示之預定義表示而與設計相關。在本發明之一特殊實施例中,經識別圖案集合可包含全剪輯集合。隨後,在步驟350中對經識別圖案集合進行分群及/或排名。此分群及/或排名可根據與預定義表示相關之參數,或根據與預定義表示相關之函數,或根據與預定義表示相關之規則。緊接著,在步驟352中定義一臨限值,其隨後在步驟354中用以自圖案集合選擇圖案子集。FIG. 3B shows the overall flow of a pattern selection method for selecting a subset of patterns from design 300. The initial step 302 in the pattern selection method is to identify the pattern from the design 300. The identification of the pattern in the set of patterns is such that the pattern is related to the design via a predefined representation as indicated above. In a particular embodiment of the invention, the identified set of patterns may comprise a full set of cuts. Subsequently, the identified set of patterns is grouped and/or ranked in step 350. This grouping and/or ranking may be based on parameters associated with predefined representations, or based on functions associated with predefined representations, or according to rules associated with predefined representations. Next, a threshold is defined in step 352, which is then used in step 354 to select a subset of patterns from the set of patterns.

有益地,可在自動化程序中執行識別圖案集合之步驟302及選擇圖案子集之步驟354。歸因於在選擇方法中存在一臨限值之事實,可藉由指示電腦程式產品自圖案集合自動地提取遵照該臨限值之所有圖案進行選擇步驟354,以產生該子集。舉例而言,可由使用者來定義臨限值。又,可自動化圖案集合之識別步驟302,因為亦可在識別程序期間使用表示要求來識別圖案集合之圖案。因此,可將自一設計或全晶片選擇圖案之整個方法自動化成一提取演算法,該提取演算法自該設計或全晶片自動地提取圖案子集。最終,甚至可完全地自動化執行光源及遮罩最佳化之整個方法,此情形可為對在一大量生產環境中執行光源及遮罩最佳化之要求,在該大量生產環境中,自動化程序確保速度及一致性。Beneficially, step 302 of identifying a collection of patterns and step 354 of selecting a subset of patterns may be performed in an automated program. Due to the fact that there is a threshold in the selection method, the selection step 354 can be performed by instructing the computer program product to automatically extract all of the patterns following the threshold from the set of patterns to produce the subset. For example, the threshold can be defined by the user. Again, the pattern set identification step 302 can be automated, as the pattern representing the pattern set can also be identified during the recognition process using the representation requirements. Thus, the entire method from a design or full wafer selection pattern can be automated into an extraction algorithm that automatically extracts a subset of patterns from the design or the full wafer. Ultimately, the entire method of performing light source and mask optimization can be fully automated, which can be an optimization of the implementation of light sources and masks in a mass production environment in which automated procedures are performed. Ensure speed and consistency.

在第一實施例中,針對目標設計中之SRAM圖案最佳化光源,接著,識別及選擇在全剪輯集合當中之熱點以作為用於SMO之圖案子集。In a first embodiment, the light source is optimized for the SRAM pattern in the target design, and then the hotspots in the full set of clips are identified and selected as a subset of patterns for the SMO.

舉例而言,如圖4所示,根據此實施例之圖案選擇在S402中藉由自目標設計300選擇SRAM圖案(例如,兩個SRAM圖案)而開始。For example, as shown in FIG. 4, pattern selection in accordance with this embodiment begins in S402 by selecting an SRAM pattern (eg, two SRAM patterns) from target design 300.

在步驟S404中,使用此兩個圖案來執行諸如在308中所執行之光源最佳化的光源最佳化,以獲得用於SRAM圖案之最佳化光源。In step S404, the two patterns are used to perform light source optimization such as light source optimization performed in 308 to obtain an optimized light source for the SRAM pattern.

在步驟S406中,使用來自S404之最佳化光源而對全剪輯集合302執行OPC。在此步驟中所執行之OPC程序可類似於上文結合圖3之318所描述的程序。In step S406, OPC is performed on the full cut set 302 using the optimized light source from S404. The OPC program executed in this step can be similar to the procedure described above in connection with 318 of FIG.

在步驟S408中,針對已在S406中所調整之全剪輯集合302執行可製造性驗證。此驗證可類似於上文結合圖3中之320所描述之驗證加以執行。In step S408, manufacturability verification is performed for the full cut set 302 that has been adjusted in S406. This verification can be performed similar to the verification described above in connection with 320 of FIG.

自可製造性驗證結果,在S410中選擇具有最差效能之剪輯。舉例而言,S410包括自可製造性驗證結構識別具有對用於SRAM最佳化光源之程序窗之最有限效應的五個至十五個剪輯。From the result of the manufacturability verification, the clip having the worst performance is selected in S410. For example, S410 includes identifying from the manufacturability verification structure five to fifteen clips having the most finite effects on the program window for the SRAM-optimized light source.

接著,將SRAM圖案及熱點用作圖3之實例全晶片SMO流程中的子集306。Next, the SRAM pattern and hotspots are used as a subset 306 in the example full wafer SMO process of FIG.

在下一實施例中,在使用原始或初始光源及模型的情況下,自全剪輯集合識別熱點,且選擇此等熱點以作為用於SMO之圖案子集。In the next embodiment, where the original or initial source and model are used, the hotspots are identified from the full set of clips and are selected as a subset of the pattern for the SMO.

舉例而言,如圖5A所示,根據此實施例之圖案選擇在S502中藉由識別用於微影程序之原始或初始光源及模型而開始。在圖5A及圖5B之描述的剩餘部分中,亦將初始光源指示為初始光源組態,以指示用於微影程序中之照明光源最初具有可使用如圖5A及圖5B所描述之光源遮罩程序加以更改的特定組態。舉例而言,將環形照明光源用作初始光源或初始光源組態。或者,原始光源或初始光源可由針對特定或類似微影程序或設計所進行之先前SMO引起。將此原始或初始光源用作當前設計之最佳化的起始點。該模型可為用於計算微影及空中影像模擬中之微影程序的任何模型,且可包括如(例如)在美國專利第7,342,646號中所描述之透射交叉係數(Transmission Cross Coefficient,TCC)。For example, as shown in FIG. 5A, pattern selection in accordance with this embodiment begins in S502 by identifying the original or initial source and model for the lithography program. In the remainder of the description of Figures 5A and 5B, the initial source is also indicated as the initial source configuration to indicate that the illumination source used in the lithography procedure initially has a source that can be used as described in Figures 5A and 5B. The specific configuration in which the mask program is changed. For example, a ring illumination source is used as the initial source or initial source configuration. Alternatively, the original source or initial source may be caused by a previous SMO for a particular or similar lithography program or design. Use this raw or initial source as a starting point for the optimization of the current design. The model can be any model for calculating lithography procedures in lithography and aerial image simulation, and can include a Transmission Cross Coefficient (TCC) as described, for example, in U.S. Patent No. 7,342,646.

在步驟S504中,使用光源及模型以及全剪輯集合302來執行可製造性驗證。驗證處理可類似於上文結合圖3中之310所描述的驗證處理。In step S504, manufacturability verification is performed using the light source and model and the full cut set 302. The verification process can be similar to the verification process described above in connection with 310 of FIG.

在步驟S506中,使用全剪輯集合302中之每一者的驗證結構來計算嚴重性計分以識別熱點。在一非限制性實例中,將嚴重性計分計算為:In step S506, the severity score is calculated using the verification structure of each of the full cut sets 302 to identify hotspots. In a non-limiting example, the severity score is calculated as:

計分=正規化(+EPE)+正規化(-EPE)+2×正規化MEEFScoring = Normalization (+EPE) + Normalization (-EPE) + 2 × Normalized MEEF

其中EPE為邊緣置放誤差,且MEEF為遮罩誤差增強因數。然而,對於熟習此項技術者將方向明確的是,可在此步驟P506中使用指示設計是否遵照特定微影效能之任何計分或值,以理解當使用此特定初始光源組態時設計之微影效能如何。The EPE is the edge placement error, and the MEEF is the mask error enhancement factor. However, it will be apparent to those skilled in the art that any score or value indicating whether the design conforms to a particular lithography performance can be used in this step P506 to understand the design when using this particular initial source configuration. What is the performance of the film.

在步驟S508中,將所使用的具有最高計分或限制微影參數之剪輯識別為熱點。舉例而言,S508包括識別具有如上文所計算之最高嚴重性計分的五個至十五個剪輯。In step S508, the clip having the highest score or the limit lithography parameter used is recognized as a hot spot. For example, S508 includes identifying five to fifteen clips having the highest severity score as calculated above.

接著,將此等剪輯用作圖3之實例全晶片SMO流程中的子集306。在實施例中,來自目標設計300之兩個SRAM圖案亦包括於子集306中。These clips are then used as a subset 306 in the example full wafer SMO process of FIG. In an embodiment, two SRAM patterns from target design 300 are also included in subset 306.

圖5B更詳細地展示此程序。虛線正方形指示圖5A所示之程序之部分。該程序始於步驟510,其中(例如)自先前光源遮罩最佳化選擇初始光源組態或基線光源。可針對特定微影程序執行此先前光源遮罩最佳化,或可對類似於當前在研究中之設計的設計執行此先前光源遮罩最佳化。緊接著,在以520所指示之步驟中,當使用初始光源組態時,驗證設計之微影效能。在微影效能不充足之情況下,在步驟530中識別熱點,此後,將經識別熱點中之至少一些添加至選自剪輯集合之圖案(如在步驟540中所展示)以增加圖案覆蓋。隨後,使用現包括經識別熱點中之至少一些的剪輯子集,以在以元件符號306所指示且基本上類似於圖3A所指示之步驟的步驟中進行光源遮罩最佳化。因為自類似微影程序或類似設計選擇所使用之初始光源組態,所以預期在光源遮罩最佳化程序期間尋找最佳光源遮罩組合所需要之改變受到限制,使得顯著地縮減用以達成光源遮罩最佳化之計算時間。此外,此流程使得有可能實質上針對每一晶片或設計執行光源遮罩最佳化。當程序窗歸因於不斷增加之微影要求而進一步緊縮時,可能需要此情形。Figure 5B shows this procedure in more detail. The dashed squares indicate portions of the procedure shown in Figure 5A. The process begins in step 510 where an initial source configuration or baseline source is selected, for example, from a previous source mask optimization. This previous source mask optimization can be performed for a particular lithography program, or can be performed on a design similar to the design currently under study. Next, in the step indicated by 520, the lithographic performance of the design is verified when the initial source configuration is used. In the event that lithography performance is insufficient, the hotspot is identified in step 530, after which at least some of the identified hotspots are added to the pattern selected from the set of clips (as shown in step 540) to increase pattern coverage. Subsequently, a subset of clips that now include at least some of the identified hotspots are used to perform source mask optimization in the steps indicated by element symbol 306 and substantially similar to the steps indicated by FIG. 3A. Because of the initial source configuration used since a similar lithography procedure or similar design choice, it is expected that the changes required to find the optimal source mask combination during the source mask optimization process are limited, resulting in significant reductions to achieve The calculation time for the optimization of the light source mask. Moreover, this flow makes it possible to perform source mask optimization substantially for each wafer or design. This may be required when the program window is further tightened due to the ever-increasing lithography requirements.

在下一實施例中,對全剪輯集合302執行分析,且選擇給出最佳特徵及間距覆蓋之彼等剪輯以作為用於SMO之圖案子集。In the next embodiment, an analysis is performed on the full set of cuts 302, and the same clips that give the best features and spacing coverage are selected as a subset of the patterns for the SMO.

舉例而言,如圖6所示,根據此實施例之圖案選擇在S602中藉由根據特徵類型而對剪輯進行分群而開始。舉例而言,可藉由電路圖案之類型(例如,閘或邏輯)或藉由定向或複雜度等等而對剪輯進行分群。For example, as shown in FIG. 6, the pattern selection according to this embodiment is started in S602 by grouping the clips according to the feature types. For example, the clips may be grouped by the type of circuit pattern (eg, gate or logic) or by orientation or complexity, and the like.

在步驟S604中,藉由間距而對每一群組中之剪輯進行進一步分類。In step S604, the clips in each group are further classified by the pitch.

在步驟S606中,在小間距區中取樣該等剪輯中之每一者,以判定將針對類型及間距兩者所提供之覆蓋。In step S606, each of the clips is sampled in a small pitch region to determine the coverage that will be provided for both the type and the spacing.

在步驟S608中,自在S606中給出所要覆蓋之彼等剪輯當中選擇具有最小間距及最高單元密度之剪輯。舉例而言,S608包括識別具有最佳設計覆蓋及自最小值至為最小間距之1.5倍之間距的五個至十五個剪輯。In step S608, the clip having the smallest pitch and the highest cell density is selected from among the clips to be covered in S606. For example, S608 includes identifying five to fifteen clips having an optimal design coverage and from a minimum to a distance of 1.5 times the minimum spacing.

接著,將此等剪輯用作圖3之實例全晶片SMO流程中的子集306。在實施例中,來自目標設計300之兩個SRAM圖案亦包括於子集306中。These clips are then used as a subset 306 in the example full wafer SMO process of FIG. In an embodiment, two SRAM patterns from target design 300 are also included in subset 306.

在下一實施例中,對全剪輯集合執行分析,且選擇根據程序之原始模型具有對特定程序參數之最高敏感度的彼等剪輯以作為用於SMO之圖案子集。In the next embodiment, the analysis is performed on the full set of clips, and the clips having the highest sensitivity to the particular program parameters according to the original model of the program are selected as the subset of patterns for the SMO.

舉例而言,如圖7所示,根據此實施例之圖案選擇在S702中藉由識別用於微影程序之原始模型而開始。類似於S502,該模型可為用於計算微影及空中影像模擬中之微影程序的任何模型,且可包括如(例如)在美國專利第7,342,646號中所描述之透射交叉係數(TCC)。For example, as shown in FIG. 7, pattern selection according to this embodiment begins in S702 by identifying an original model for a lithography program. Similar to S502, the model can be any model used to calculate lithography procedures in lithography and aerial image simulation, and can include transmission crossover coefficients (TCC) as described, for example, in U.S. Patent No. 7,342,646.

在步驟S704中,將切割線置放於位於全剪輯集合302中之每一者之中心處的圖案中。In step S704, the cutting lines are placed in the pattern at the center of each of the full cut sets 302.

在步驟S706中,使用原始模型針對該等剪輯中之每一者計算程序參數敏感度。舉例而言,程序參數可為劑量及聚焦,且可藉由使用在S702中所識別之微影程序模擬模型來運行空中影像模擬而計算敏感度。接著,分析在各種程序條件期間切割線處之剪輯的行為以判定其敏感度。In step S706, the program parameter sensitivity is calculated for each of the clips using the original model. For example, the program parameters can be dose and focus, and the sensitivity can be calculated by running an aerial image simulation using the lithography simulation model identified in S702. Next, the behavior of the clip at the cut line during various program conditions is analyzed to determine its sensitivity.

在步驟S708中,選擇具有對程序參數變化之最高敏感度的剪輯。舉例而言,S708包括識別具有對劑量及聚焦之改變之最高敏感度的五個至十五個剪輯。In step S708, a clip having the highest sensitivity to changes in program parameters is selected. For example, S708 includes identifying five to fifteen clips having the highest sensitivity to changes in dose and focus.

接著,將此等剪輯用作圖3之實例全晶片SMO流程中的 子集306。在實施例中,來自目標設計300之兩個SRAM圖案亦包括於子集306中。Next, these clips are used as an example in the full-wafer SMO process of Figure 3. Subset 306. In an embodiment, two SRAM patterns from target design 300 are also included in subset 306.

在下一實施例中,對全剪輯集合執行分析,且選擇提供最佳繞射級分佈之彼等剪輯以作為用於SMO之圖案子集。圖案之繞射級為熟習此項技術者所知,且可(例如)如在美國專利公開案第No.2004/0265707號中所描述加以判定。In the next embodiment, an analysis is performed on the full set of clips, and the clips that provide the best diffraction order distribution are selected as the subset of patterns for the SMO. The diffraction pattern of the pattern is known to those skilled in the art and can be determined, for example, as described in U.S. Patent Publication No. 2004/0265707.

舉例而言,如圖8所示,根據此實施例之圖案選擇在S802中藉由針對全剪輯集合302中之每一者計算繞射級行為而開始。可使用許多可能方法來計算繞射級行為,例如,美國專利公開案第2004/0265707號。For example, as shown in FIG. 8, pattern selection in accordance with this embodiment begins in S802 by calculating a diffraction order behavior for each of the full set of cuts 302. A number of possible methods can be used to calculate the diffraction level behavior, for example, U.S. Patent Publication No. 2004/0265707.

在步驟S804中,比較全剪輯集合之計算繞射級,且在步驟S806中,根據剪輯之繞射級分佈而對剪輯進行分群。舉例而言,可計算該等剪輯中之每一者之間的幾何相關,且可執行分類方法以將最類似剪輯分群在一起。In step S804, the calculated diffraction order of the full cut set is compared, and in step S806, the cut is grouped according to the diffraction order distribution of the cut. For example, geometric correlations between each of the clips can be calculated, and a classification method can be performed to group the most similar clips together.

在步驟S808中,選擇來自該等群組中之每一者的一剪輯。舉例而言,S806包括形成五個至十五個剪輯群組,且自每一群組隨機地選擇一剪輯。圖9A至圖9O說明已自全剪輯集合所計算之十五個個別剪輯的實例繞射級分佈902A至902O。In step S808, a clip from each of the groups is selected. For example, S806 includes forming five to fifteen clip groups, and randomly selecting one clip from each group. 9A-9O illustrate example diffraction order distributions 902A through 902O of fifteen individual clips that have been calculated from a full set of clips.

接著,將此等剪輯用作圖3之實例全晶片SMO流程中的子集306。在實施例中,來自目標設計300之兩個SRAM圖案亦包括於子集306中。These clips are then used as a subset 306 in the example full wafer SMO process of FIG. In an embodiment, two SRAM patterns from target design 300 are also included in subset 306.

結合圖8所描述之以繞射級為基礎之圖案選擇方法相對於其他方法的一些優點在於:無需起始條件(例如,起始照明光源),無需抗蝕劑模型,且無需模型。該圖案選擇方法僅需要目標圖案,因此,其係程序獨立的。Some advantages of the diffraction-level based pattern selection method described in connection with FIG. 8 over other methods are that no starting conditions (eg, starting illumination source) are required, no resist model is needed, and no model is needed. This pattern selection method requires only the target pattern, and therefore, it is program independent.

圖10為比較上文所描述之各種圖案選擇方法相對於習知全晶片SMO方法之程序窗效能的曲線圖。可看出,所有方法均改良原始程序窗,其中繞射級方法給出最接近於全晶片SMO之效能。Figure 10 is a graph comparing the performance of various pattern selection methods described above with respect to the program window performance of the conventional full wafer SMO method. It can be seen that all methods improve the original program window, where the diffraction level method gives the performance closest to the full wafer SMO.

圖11為比較上文所描述之各種圖案選擇方法相對於習知全晶片SMO方法之處理運行時間效能的圖表。可看出,所有方法均改良習知運行時間,其中繞射級方法給出最多改良。Figure 11 is a graph comparing the processing run time performance of the various pattern selection methods described above with respect to the conventional full wafer SMO method. It can be seen that all methods improve the known run time, with the diffraction level method giving the most improvement.

圖12為說明可輔助實施本文中所揭示之最佳化方法及流程之電腦系統100的方塊圖。電腦系統100包括用於傳達資訊之匯流排102或其他通信機構,及與匯流排102耦接以用於處理資訊之處理器104。電腦系統100亦包括耦接至匯流排102以用於儲存資訊及待藉由處理器104執行之指令的主記憶體106,諸如隨機存取記憶體(RAM)或其他動態儲存器件。主記憶體106亦可用於在執行待藉由處理器104執行之指令期間儲存暫時變數或其他中間資訊。電腦系統100進一步包括耦接至匯流排102以用於儲存用於處理器104之靜態資訊及指令的唯讀記憶體(ROM)108或其他靜態儲存器件。提供儲存器件110(諸如磁碟或光碟)且將其耦接至匯流排102以用於儲存資訊及指令。FIG. 12 is a block diagram illustrating a computer system 100 that can assist in implementing the optimization methods and processes disclosed herein. The computer system 100 includes a busbar 102 or other communication mechanism for communicating information, and a processor 104 coupled to the busbar 102 for processing information. Computer system 100 also includes a main memory 106, such as a random access memory (RAM) or other dynamic storage device, coupled to bus bar 102 for storing information and instructions to be executed by processor 104. The main memory 106 can also be used to store temporary variables or other intermediate information during execution of instructions to be executed by the processor 104. Computer system 100 further includes a read only memory (ROM) 108 or other static storage device coupled to bus bar 102 for storing static information and instructions for processor 104. A storage device 110, such as a magnetic disk or optical disk, is provided and coupled to the busbar 102 for storing information and instructions.

電腦系統100可經由匯流排102而耦接至用於顯示資訊給電腦使用者之顯示器112,諸如陰極射線管(CRT)或平板顯示器或觸控面板顯示器。輸入器件114(包括文數字鍵及其他鍵)耦接至匯流排102以用於將資訊及命令選擇傳達至處理器104。另一類型之使用者輸入器件為用於將方向資訊及命令選擇傳達至處理器104且用於控制顯示器112上之游標移動的游標控制件116,諸如滑鼠、軌跡球或游標方向鍵。此輸入器件通常具有在兩個軸線(第一軸線(例如,x )及第二軸線(例如,y ))上之兩個自由度,其允許該器件在一平面中指定位置。亦可將觸控面板(螢幕)顯示器用作輸入器件。The computer system 100 can be coupled via a busbar 102 to a display 112 for displaying information to a computer user, such as a cathode ray tube (CRT) or a flat panel display or a touch panel display. Input device 114 (including alphanumeric and other keys) is coupled to busbar 102 for communicating information and command selections to processor 104. Another type of user input device is a cursor control 116, such as a mouse, trackball or cursor direction key, for communicating direction information and command selections to the processor 104 and for controlling cursor movement on the display 112. This input device typically has two degrees of freedom on two axes (a first axis (e.g., x ) and a second axis (e.g., y )) that allow the device to specify a position in a plane. A touch panel (screen) display can also be used as an input device.

根據本發明之一實施例,可藉由電腦系統100而回應於處理器104執行主記憶體106中所含有之一或多個指令之一或多個序列來執行最佳化程序之部分。可將此等指令自另一電腦可讀媒體(諸如儲存器件110)讀取至主記憶體106中。主記憶體106中所含有之指令序列之執行使處理器104執行本文中所描述之程序步驟。亦可使用以多處理配置之一或多個處理器來執行主記憶體106中所含有之指令序列。在替代實施例中,可代替或結合軟體指令而使用硬連線電路來實施本發明。因此,本發明之實施例不限於硬體電路與軟體之任何特定組合。In accordance with an embodiment of the present invention, portions of the optimization program may be executed by the computer system 100 in response to the processor 104 executing one or more sequences of one or more instructions contained in the main memory 106. These instructions can be read into the main memory 106 from another computer readable medium, such as storage device 110. Execution of the sequences of instructions contained in main memory 106 causes processor 104 to perform the program steps described herein. The sequence of instructions contained in the main memory 106 can also be executed using one or more processors in a multi-processing configuration. In alternative embodiments, hard-wired circuitry may be used in place of or in combination with software instructions to implement the invention. Thus, embodiments of the invention are not limited to any specific combination of hardware circuitry and software.

如本文中所使用之術語「電腦可讀媒體」指代參與將指令提供至處理器104以供執行之任何媒體。此媒體可採取許多形式,包括(但不限於)非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括(例如)光碟或磁碟,諸如儲存器件110。揮發性媒體包括動態記憶體,諸如主記憶體106。傳輸媒體包括同軸電纜、銅導線及光纖,其包括包含匯流排102之導線。傳輸媒體亦可採取聲波或光波之形式,諸如在射頻(RF)及紅外線(IR)資料通信期間所產生之聲波或光波。普通形式之電腦可讀媒體包括(例如)軟碟、可撓性碟、硬碟、磁帶、任何其他磁性媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或晶匣、如在下文中所描述之載波,或可供電腦讀取之任何其他媒體。The term "computer readable medium" as used herein refers to any medium that participates in providing instructions to processor 104 for execution. This medium can take many forms, including but not limited to, non-volatile media, volatile media, and transmission media. Non-volatile media includes, for example, optical or magnetic disks, such as storage device 110. Volatile media includes dynamic memory, such as main memory 106. The transmission medium includes a coaxial cable, a copper wire, and an optical fiber including a wire including the bus bar 102. The transmission medium can also take the form of sound waves or light waves, such as sound waves or light waves generated during radio frequency (RF) and infrared (IR) data communication. Common forms of computer readable media include, for example, floppy disks, flexible disks, hard disks, magnetic tape, any other magnetic media, CD-ROM, DVD, any other optical media, punch card, paper tape, aperture pattern Any other physical media, RAM, PROM and EPROM, FLASH-EPROM, any other memory chip or wafer, carrier wave as described hereinafter, or any other medium that can be read by a computer.

可在將一或多個指令之一或多個序列載運至處理器104以供執行時涉及各種形式之電腦可讀媒體。舉例而言,指令最初可被承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體中,且使用數據機經由電話線而發送指令。在電腦系統100本端之數據機可接收電話線上之資料,且使用紅外線傳輸器以將資料轉換成紅外線信號。耦接至匯流排102之紅外線偵測器可接收紅外線信號中所載運之資料且將資料置放於匯流排102上。匯流排102將資料載運至主記憶體106,處理器104自主記憶體106擷取及執行指令。藉由主記憶體106接收之指令可視情況在藉由處理器104執行之前或之後儲存於儲存器件110上。Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor 104 for execution. For example, the instructions may initially be carried on a disk of a remote computer. The remote computer can load the instructions into its dynamic memory and use the modem to send commands via the telephone line. The data machine at the local end of the computer system 100 can receive the data on the telephone line and use an infrared transmitter to convert the data into an infrared signal. The infrared detector coupled to the bus bar 102 can receive the data carried in the infrared signal and place the data on the bus bar 102. The bus 102 carries the data to the main memory 106, and the processor 104 autonomously retrieves and executes the instructions. The instructions received by the main memory 106 may be stored on the storage device 110 before or after execution by the processor 104 as appropriate.

電腦系統100亦較佳地包括耦接至匯流排102之通信介面118。通信介面118提供對連接至區域網路122之網路鏈路120的雙向資料通信耦接。舉例而言,通信介面118可為整合服務數位網路(ISDN)卡或數據機以提供對對應類型之電話線的資料通信連接。作為另一實例,通信介面118可為區域網路(LAN)卡以提供對相容LAN的資料通信連接。亦可實施無線鏈路。在任何此類實施中,通信介面118發送及接收載運表示各種類型之資訊之數位資料流的電信號、電磁信號或光學信號。Computer system 100 also preferably includes a communication interface 118 that is coupled to bus bar 102. Communication interface 118 provides a two-way data communication coupling to network link 120 connected to area network 122. For example, communication interface 118 can be an integrated services digital network (ISDN) card or modem to provide a data communication connection to a corresponding type of telephone line. As another example, communication interface 118 can be a local area network (LAN) card to provide a data communication connection to a compatible LAN. A wireless link can also be implemented. In any such implementation, communication interface 118 transmits and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.

網路鏈路120通常經由一或多個網路而提供對其他資料器件的資料通信。舉例而言,網路鏈路120可經由區域網路122而提供對主機電腦124的連接或提供對藉由網際網路服務提供者(ISP)126操作之資料設備的連接。ISP 126又經由全球封包資料通信網路(現通常被稱作「網際網路」128)而提供資料通信服務。區域網路122及網際網路128均使用載運數位資料流之電信號、電磁信號或光學信號。經由各種網路之信號及在網路鏈路120上且經由通信介面118之信號(其將數位資料載運至電腦系統100及自電腦系統100載運數位資料)為輸送資訊的例示性形式之載波。Network link 120 typically provides data communication to other data devices via one or more networks. For example, network link 120 can provide a connection to host computer 124 via local area network 122 or provide a connection to a data device operated by an Internet Service Provider (ISP) 126. ISP 126 provides data communication services via a global packet data communication network (now commonly referred to as "Internet" 128). Both the local area network 122 and the Internet 128 use electrical, electromagnetic or optical signals that carry digital data streams. Signals via various networks and signals over network link 120 and via communication interface 118, which carry digital data to computer system 100 and digital data from computer system 100, are exemplary carriers for conveying information.

電腦系統100可經由該(該等)網路、網路鏈路120及通信介面118而發送訊息及接收資料(包括程式碼)。在網際網路實例中,伺服器130可能經由網際網路128、ISP 126、區域網路122及通信介面118而傳輸用於應用程式之經請求程式碼。根據本發明,一種此類經下載應用程式提供(例如)該實施例之照明最佳化。經接收程式碼可在其被接收時藉由處理器104執行,及/或儲存於儲存器件110或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統100可獲得以載波之形式的應用程式碼。The computer system 100 can transmit and receive data (including code) via the network, the network link 120, and the communication interface 118. In the Internet instance, server 130 may transmit the requested code for the application via Internet 128, ISP 126, regional network 122, and communication interface 118. In accordance with the present invention, one such downloaded application provides, for example, illumination optimization for this embodiment. The received code may be executed by processor 104 as it is received, and/or stored in storage device 110 or other non-volatile storage for later execution. In this manner, computer system 100 can obtain application code in the form of a carrier wave.

圖13示意性地描繪例示性微影投影裝置,其照明光源可利用本發明之程序加以最佳化。該裝置包含:Figure 13 schematically depicts an exemplary lithographic projection apparatus whose illumination source can be optimized using the procedures of the present invention. The device contains:

- 輻射系統Ex、IL,其用於供應投影輻射光束PB。在此特定情況下,輻射系統亦包含輻射光源LA;- Radiation systems Ex, IL, which are used to supply the projected radiation beam PB. In this particular case, the radiation system also includes a radiation source LA;

- 第一物件台(遮罩台)MT,其具備用於固持遮罩MA(例如,比例光罩)之遮罩固持器,且連接至用於相對於項目PL而準確地定位該遮罩之第一定位構件;a first object stage (mask stage) MT provided with a mask holder for holding a mask MA (for example, a proportional mask) and connected to accurately position the mask with respect to the item PL First positioning member;

- 第二物件台(基板台)WT,其具備用於固持基板W(例如,塗佈抗蝕劑之矽晶圓)之基板固持器,且連接至用於相對於項目PL而準確地定位該基板之第二定位構件;a second object stage (substrate stage) WT having a substrate holder for holding a substrate W (for example, a resist wafer coated with a resist) and connected to accurately position the substrate PL with respect to the item PL a second positioning member of the substrate;

- 投影系統(「透鏡」)PL(例如,折射、反射或反射折射光學系統),其用於將遮罩MA之經輻照部分成像至基板W之目標部分C(例如,包含一或多個晶粒)上。a projection system ("lens") PL (eg, a refractive, reflective or catadioptric optical system) for imaging the irradiated portion of the mask MA to a target portion C of the substrate W (eg, comprising one or more On the grain).

如本文中所描繪,裝置為透射類型(亦即,具有透射遮罩)。然而,一般而言,其亦可為(例如)反射類型(具有反射遮罩)。或者,裝置可將另一類別之圖案化構件用作遮罩之使用的替代例;實例包括可程式化鏡面陣列或LCD矩陣。As depicted herein, the device is of the transmissive type (ie, has a transmissive mask). However, in general, it can also be, for example, a type of reflection (with a reflective mask). Alternatively, the device may use another type of patterned member as an alternative to the use of a mask; examples include a programmable mirror array or LCD matrix.

光源LA(例如,水銀燈或準分子雷射)產生輻射光束。此光束係直接或在已橫穿諸如(例如)光束擴展器Ex之調節構件之後被饋入至照明系統(照明器)IL中。照明器IL可包含調整構件AM以用於設定光束中之強度分佈的外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。此外,照明器IL將通常包含各種其他組件,諸如積光器IN及聚光器CO。以此方式,照射遮罩MA之光束PB在其橫截面中具有所要均一性及強度分佈。A light source LA (eg, a mercury lamp or a quasi-molecular laser) produces a beam of radiation. This beam is fed into the illumination system (illuminator) IL either directly or after having been traversed, for example, by an adjustment member of the beam expander Ex. The illuminator IL may include an adjustment member AM for setting an outer radial extent and/or an inner radial extent (commonly referred to as σ outer and σ inner, respectively) of the intensity distribution in the beam. In addition, the illuminator IL will typically include various other components such as the concentrator IN and the concentrator CO. In this way, the light beam PB illuminating the mask MA has a desired uniformity and intensity distribution in its cross section.

關於圖13應注意,光源LA可在微影投影裝置之外殼內(此情形通常為光源LA為(例如)水銀燈時之情況),但光源LA亦可遠離於微影投影裝置,光源LA所產生之輻射光束經引導至該裝置中(例如,憑藉適當引導鏡面);此後者情景通常為光源LA為準分子雷射(例如,基於KrF、ArF或F2 雷射作用)時之情況。本發明涵蓋至少兩種此等情景。It should be noted with respect to FIG. 13 that the light source LA can be in the housing of the lithographic projection apparatus (in this case, usually when the light source LA is, for example, a mercury lamp), but the light source LA can also be remote from the lithographic projection apparatus, and the light source LA is generated. the radiation beam is guided to the apparatus through (e.g., by virtue of appropriate guiding mirror); typically source LA is an excimer laser (e.g., based on KrF, ArF or F 2 laser action) of the case when this latter scenario. The present invention covers at least two such scenarios.

光束PB隨後截取遮罩MA,遮罩MA被固持於遮罩台MT上。在橫穿遮罩MA後,光束PB傳遞通過透鏡PL,透鏡PL將光束PB聚焦至基板W之目標部分C上。憑藉第二定位構件(及干涉量測構件IF),基板台WT可準確地移動,例如,以便使不同目標部分C定位於光束PB之路徑中。類似地,第一定位構件可用以(例如)在自遮罩庫機械地擷取遮罩MA之後或在掃描期間相對於光束PB之路徑來準確地定位遮罩MA。一般而言,將憑藉未在圖13中被明確地描繪之長衝程模組(粗略定位)及短衝程模組(精細定位)來實現物件台MT、WT之移動。然而,在晶圓步進器(相對於步進掃描工具)之情況下,遮罩台MT可僅僅連接至短衝程致動器,或可為固定的。The light beam PB is then intercepted by the mask MA, and the mask MA is held on the mask table MT. After traversing the mask MA, the light beam PB is transmitted through the lens PL, which focuses the light beam PB onto the target portion C of the substrate W. With the second positioning member (and the interference measuring member IF), the substrate table WT can be accurately moved, for example, to position the different target portions C in the path of the light beam PB. Similarly, the first positioning member can be used to accurately position the mask MA, for example, after mechanically capturing the mask MA from the mask library or during the scan relative to the path of the beam PB. In general, the movement of the object tables MT, WT will be achieved by means of a long stroke module (rough positioning) and a short stroke module (fine positioning) not explicitly depicted in FIG. However, in the case of a wafer stepper (as opposed to a step-and-scan tool), the mask table MT may be connected only to the short-stroke actuator or may be fixed.

所描繪工具可用於兩種不同模式中:The depicted tool can be used in two different modes:

- 在步進模式中,使遮罩台MT保持基本上靜止,且將整個遮罩影像一次性(亦即,單次「閃光」)投影至目標部分C上。接著,使基板台WT在x及/或y方向上移位,使得可藉由光束PB來輻照不同目標部分C;- In the step mode, the mask table MT is kept substantially stationary, and the entire mask image is projected once (i.e., a single "flash") onto the target portion C. Next, the substrate table WT is displaced in the x and / or y direction, so that the different target portions C can be irradiated by the light beam PB;

- 在掃描模式中,適用基本上相同情景,惟在單次「閃光」中不曝光給定目標部分C除外。取而代之,遮罩台MT可以速度v 而在給定方向(所謂的「掃描方向」,例如,y方向)上移動,使得導致投影光束PB遍及遮罩影像進行掃描;同時,基板台WT係以速度V =Mv 而在相同或相反方向上同時移動,其中M 為透鏡PL之放大率(通常,M =1/4或1/5)。以此方式,可在不必損害解析度之情況下曝光相對較大目標部分C。- In scan mode, basically the same scenario applies, except that a given target part C is not exposed in a single "flash". Instead, the mask table MT can be moved at a speed v in a given direction (so-called "scanning direction", for example, the y direction), causing the projection beam PB to scan across the mask image; at the same time, the substrate table WT is at a speed V = Mv and move simultaneously in the same or opposite directions, where M is the magnification of the lens PL (typically, M = 1/4 or 1/5). In this way, the relatively large target portion C can be exposed without impairing the resolution.

可根據以下條款來進一步描述本發明:The invention may be further described in terms of the following clauses:

1. 一種用於最佳化用於將一設計之一部分成像至一基板上之一微影程序的方法,該方法包含:自該設計之該部分選擇一圖案子集;針對用於成像該選定圖案子集之該微影程序最佳化一照明光源;及使用該最佳化照明光源來最佳化該設計之該部分以用於在該微影程序中加以成像。CLAIMS 1. A method for optimizing a lithography process for imaging a portion of a design onto a substrate, the method comprising: selecting a subset of patterns from the portion of the design; The lithography program of the subset of patterns optimizes an illumination source; and the optimized illumination source is used to optimize the portion of the design for imaging in the lithography procedure.

2. 如條款1之方法,其中該方法最初執行以下步驟:選擇一初始光源組態;當使用該初始光源組態時驗證該設計之該部分之一微影效能,其中驗證該微影效能之該步驟包含識別該設計之該部分中之熱點,該等熱點構成限制該設計之該部分之一微影參數的來自該設計之該部分之圖案;且其中該圖案子集包含該等經識別熱點中之至少一些。2. The method of clause 1, wherein the method initially performs the steps of: selecting an initial source configuration; verifying a lithography performance of the portion of the design when the initial source configuration is used, wherein verifying the lithography performance The step includes identifying hotspots in the portion of the design, the hotspots forming a pattern from the portion of the design that limits lithography parameters of the portion of the design; and wherein the subset of patterns includes the identified hotspots At least some of them.

3. 如條款1之方法,其中該設計之該部分包含一全晶片。3. The method of clause 1, wherein the portion of the design comprises a full wafer.

4. 如條款1之方法,其中該設計之該部分包含剪輯,且其中選擇一圖案子集之該步驟包含:自該設計識別一全剪輯集合;自該全剪輯集合選擇一剪輯子集;其中該最佳化步驟包含針對用於成像該選定剪輯子集之該微影程序最佳化一照明光源;且其中該使用步驟包含使用該最佳化照明光源來最佳化該全剪輯集合以用於在該微影程序中加以成像。4. The method of clause 1, wherein the portion of the design includes a clip, and wherein the step of selecting a subset of patterns comprises: identifying a full set of clips from the design; selecting a subset of clips from the set of full cuts; The optimizing step includes optimizing an illumination source for the lithography program for imaging the selected subset of clips; and wherein the using step comprises using the optimized illumination source to optimize the full clip set for use Imaging is performed in the lithography program.

5. 如條款1、2、3或4之方法,其中該選擇步驟包括:計算該設計之該部分中之圖案的繞射級分佈;基於該等計算繞射級分佈而將該等圖案分群成複數個群組;及自該等群組中之每一者選擇一或多個代表性圖案以作為該圖案子集。5. The method of clause 1, 2, 3 or 4, wherein the selecting step comprises: calculating a diffraction order distribution of the pattern in the portion of the design; grouping the patterns into groups based on the calculating the diffraction order distribution a plurality of groups; and selecting one or more representative patterns from each of the groups as the subset of patterns.

6. 如條款1、2、3或4之方法,其中該選擇步驟包括:識別該設計之該部分中之一或多個記憶體圖案;針對該一或多個記憶體圖案預最佳化該照明光源;使用該預最佳化照明光源來判定該設計之該部分中之潛在熱點;及基於該等經判定潛在熱點來選擇該圖案子集。6. The method of clause 1, 2, 3 or 4, wherein the selecting step comprises: identifying one or more memory patterns in the portion of the design; pre-optimizing the one or more memory patterns An illumination source; the pre-optimized illumination source is used to determine potential hot spots in the portion of the design; and the subset of patterns is selected based on the determined potential hot spots.

7. 如條款1、2、3或4之方法,其中該選擇步驟包括:識別用於該微影程序之一原始照明光源;使用該原始照明光源來判定該設計之該部分中之潛在熱點;及基於該等經判定潛在熱點來選擇該圖案子集。7. The method of clause 1, 2, 3 or 4, wherein the selecting step comprises: identifying an original illumination source for the lithography procedure; using the original illumination source to determine a potential hot spot in the portion of the design; And selecting the subset of patterns based on the determined potential hot spots.

8. 如條款6或7之方法,其中該方法進一步包含如下一步驟:計算一熱點之一嚴重性計分;及選擇具有一預定義嚴重性計分之該熱點,或選擇具有在一預定義嚴重性計分範圍內之一嚴重性計分之該熱點。8. The method of clause 6 or 7, wherein the method further comprises the steps of: calculating a severity score for a hotspot; and selecting the hotspot having a predefined severity score, or selecting having a predefined The hot spot of one of the severity scores within the severity score.

9. 如條款1、2、3或4之方法,其中該選擇步驟包括:藉由設計類型而將該設計之該部分中之圖案分群成複數個群組;藉由間距及特徵類型而對每一群組中之該等圖案進行分類以判定每一群組中之一最佳圖案;及選擇每一群組中之該最佳圖案以作為該圖案子集。9. The method of clause 1, 2, 3 or 4, wherein the selecting step comprises: grouping the patterns in the portion of the design into a plurality of groups by design type; each by spacing and feature type The patterns in a group are classified to determine one of the best patterns in each group; and the best pattern in each group is selected as the subset of patterns.

10. 如條款1、2、3或4之方法,其中該選擇步驟包括:識別該微影程序之一模擬模型;使用該模型來估計該設計之該部分中之圖案的程序參數敏感度;及基於該等估計程序參數敏感度來選擇該圖案子集。10. The method of clause 1, 2, 3 or 4, wherein the selecting step comprises: identifying one of the lithography program simulation models; using the model to estimate program parameter sensitivity of the pattern in the portion of the design; The subset of patterns is selected based on the estimated program parameter sensitivity.

11. 如條款1至10中任一項之方法,其進一步包含:判定該最佳化圖案子集之一微影程序效能度量是否為可接受的;及若該經判定度量不為可接受的,則將具有潛在熱點之剪輯添加至該圖案子集且重複該等最佳化步驟。11. The method of any one of clauses 1 to 10, further comprising: determining whether a lithography performance metric of the subset of the optimized pattern is acceptable; and if the determined metric is not acceptable , adding a clip with potential hotspots to the subset of patterns and repeating the optimization steps.

12. 如條款1至11中任一項之方法,其中最佳化該照明光源之該步驟包括使用該微影程序、該照明光源及該圖案子集之一模型來模擬一微影程序效能,以判定該效能是否為可接受的。12. The method of any of clauses 1 to 11, wherein the step of optimizing the illumination source comprises simulating a lithography performance using the lithography program, the illumination source, and one of the pattern subsets. To determine if the performance is acceptable.

13. 如條款1至12中任一項之方法,其中最佳化該設計之該部分之該步驟包括基於該最佳化照明光源而對該等圖案中之特定圖案執行光學近接校正。13. The method of any of clauses 1 to 12, wherein the step of optimizing the portion of the design comprises performing optical proximity correction on the particular pattern in the patterns based on the optimized illumination source.

14. 一種電腦可讀媒體,其經記錄有指令,該等指令在藉由一電腦讀取時使該電腦執行如條款1至13之用於最佳化用於將一設計之一部分成像至一晶圓上之一微影程序的方法。14. A computer readable medium recorded with instructions that, when read by a computer, cause the computer to perform the optimization of clauses 1 through 13 for imaging a portion of a design to a A method of lithography on a wafer.

15. 一種微影裝置,其包含:一照明系統,其經組態以提供一輻射光束;一支撐結構,其經組態以支撐一圖案化構件,該圖案化構件用以在該輻射光束之橫截面中向該輻射光束賦予一圖案;一基板台,其經組態以固持一基板;及一投影系統,其用於將該經圖案化輻射光束投影至該基板之一目標部分上;其中該微影裝置進一步包含一處理器,該處理器用於組態該照明系統以根據如條款1至13之用於最佳化一微影程序的方法來產生最佳化照明光源。15. A lithography apparatus comprising: an illumination system configured to provide a radiation beam; a support structure configured to support a patterned member for use in the radiation beam Giving a pattern to the radiation beam in a cross section; a substrate stage configured to hold a substrate; and a projection system for projecting the patterned radiation beam onto a target portion of the substrate; The lithography apparatus further includes a processor for configuring the illumination system to generate an optimized illumination source in accordance with the method for optimizing a lithography procedure as in clauses 1 through 13.

16. 一種用於自一微影裝置之一照明系統賦予一輻射光束的圖案化構件,該微影裝置經組態以經由一投影系統而將此經賦予光束投影至一基板之一目標部分上,其中該圖案化構件包含一設計之一最佳化部分,其中該設計之該最佳化部分係根據如條款1至12之最佳化一微影程序的方法加以判定。16. A patterned member for imparting a radiation beam from an illumination system of a lithography apparatus, the lithography apparatus being configured to project the imparted beam onto a target portion of a substrate via a projection system Wherein the patterned member comprises an optimized portion of the design, wherein the optimized portion of the design is determined according to the method of optimizing a lithography procedure as in clauses 1 through 12.

根據特定態樣,本發明藉由自一設計智慧地選擇一圖案子集來實現全設計之覆蓋,同時降低計算成本,其中該設計或該設計之一修改經組態以經由一微影程序而成像至一基板上。自一設計選擇該圖案子集之方法包括自該設計識別與該設計之預定義表示相關的一圖案集合。藉由根據該方法來選擇該圖案子集,該選定圖案子集構成該設計之一類似預定義表示以作為該圖案集合。該設計之此預定義表示可(例如)為藉由該設計之該等圖案產生的繞射級,或(例如)存在於該設計中之圖案類型,或(例如)存在於該設計中之該等圖案的一複雜度,或(例如)需要特定關注及/或驗證之圖案,或(例如)具有預定義程序窗效能之圖案,或(例如)對程序參數變化之一預定義敏感度。According to a particular aspect, the present invention achieves full design coverage by intelligently selecting a subset of patterns from a design while reducing computational cost, wherein the design or one of the designs is modified to be configured via a lithography procedure. Imaging onto a substrate. The method of selecting the subset of patterns from a design includes identifying from the design a set of patterns associated with a predefined representation of the design. By selecting the subset of patterns according to the method, the selected subset of patterns constitutes one of the designs resembling a predefined representation as the set of patterns. This predefined representation of the design can, for example, be a diffraction level produced by the pattern of the design, or, for example, the type of pattern present in the design, or, for example, present in the design A complexity of the pattern, or, for example, a pattern that requires particular attention and/or verification, or, for example, a pattern with predefined program window performance, or, for example, a predefined sensitivity to one of the program parameter changes.

本文中所揭示之概念可模擬或數學上模型化用於成像次波長特徵之任何通用成像系統,且可特別用於能夠產生具有愈來愈小之大小之波長的新興成像技術。已經在使用中之新興技術包括能夠藉由使用ArF雷射來產生193奈米之波長且甚至藉由使用氟雷射來產生157奈米之波長的EUV(極紫外線)微影。此外,EUV微影能夠產生在20奈米至5奈米之範圍內的波長,該產生係藉由使用同步加速器,或藉由以高能電子來撞擊材料(固體或電漿),以便產生在此範圍內之光子。因為大多數材料在此範圍內係吸收性的,所以可藉由具有鉬與矽之多堆疊的反射鏡面來產生照明。多堆疊鏡面具有鉬與矽之40個層對,其中每一層之厚度為四分之一波長。可藉由X射線微影來產生甚至更小的波長。通常,使用同步加速器來產生X射線波長。因為大多數材料在x射線波長下係吸收性的,所以薄吸收材料片界定特徵將進行印刷(正抗蝕劑)或不進行印刷(負抗蝕劑)之處。The concepts disclosed herein can simulate or mathematically model any general-purpose imaging system for imaging sub-wavelength features, and can be particularly useful for emerging imaging technologies that are capable of producing wavelengths of ever smaller size. Emerging technologies that are already in use include the ability to generate 193 nm wavelengths by using ArF lasers and even EUV (ultraviolet ultraviolet) lithograms of wavelengths of 157 nm by using a fluorine laser. In addition, EUV lithography is capable of producing wavelengths in the range of 20 nm to 5 nm, either by using a synchrotron or by striking a material (solid or plasma) with high-energy electrons to produce Photons within range. Since most materials are absorptive in this range, illumination can be produced by having a multi-stacked mirror surface of molybdenum and tantalum. The multi-stacked mirror has 40 pairs of layers of molybdenum and tantalum, each of which has a thickness of a quarter wavelength. Even smaller wavelengths can be produced by X-ray lithography. Typically, synchrotrons are used to generate X-ray wavelengths. Since most materials are absorptive at x-ray wavelengths, thin absorbing material sheets define features where they will be printed (positive resist) or not printed (negative resist).

雖然本文中所揭示之概念可用於在諸如矽晶圓之基板上之成像,但應理解,所揭示概念可用於任何類型之微影成像系統,例如,用於在不同於矽晶圓之基板上之成像的微影成像系統。Although the concepts disclosed herein can be used for imaging on substrates such as germanium wafers, it should be understood that the disclosed concepts can be used with any type of lithography imaging system, for example, on substrates other than germanium wafers. Imaging lithography imaging system.

以上描述意欲係說明性而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下對如所描述之本發明進行修改。The above description is intended to be illustrative, and not restrictive. Therefore, it will be apparent to those skilled in the art that the invention as described herein may be modified without departing from the scope of the appended claims.

10‧‧‧微影投影系統10‧‧‧ lithography projection system

12‧‧‧光源12‧‧‧Light source

14‧‧‧特定光源塑形光學儀器14‧‧‧Special light source shaping optical instrument

16a‧‧‧特定光源塑形光學儀器16a‧‧‧Special light source shaping optical instrument

16b‧‧‧特定光源塑形光學儀器16b‧‧‧Special light source shaping optical instrument

16c‧‧‧投影光學儀器16c‧‧‧Projection optical instruments

18‧‧‧遮罩或比例光罩18‧‧‧ mask or proportional mask

20‧‧‧可調整濾光器或孔徑20‧‧‧Adjustable filter or aperture

22‧‧‧晶圓平面22‧‧‧ Wafer plane

26‧‧‧設計佈局模組26‧‧‧Design layout module

28‧‧‧遮罩佈局模組28‧‧‧Mask layout module

30‧‧‧遮罩模型模組30‧‧‧Mask model module

32‧‧‧光學模型模組32‧‧‧Optical model module

34‧‧‧抗蝕劑模型模組34‧‧‧Resist model module

36‧‧‧結果模組36‧‧‧Result Module

100‧‧‧電腦系統100‧‧‧ computer system

102‧‧‧匯流排102‧‧‧ busbar

104‧‧‧處理器104‧‧‧Processor

106‧‧‧主記憶體106‧‧‧ main memory

108‧‧‧唯讀記憶體(ROM)108‧‧‧Reading Memory (ROM)

110‧‧‧儲存器件110‧‧‧Storage device

112‧‧‧顯示器112‧‧‧ display

114‧‧‧輸入器件114‧‧‧Input device

116‧‧‧游標控制件116‧‧‧ cursor control

118‧‧‧通信介面118‧‧‧Communication interface

120‧‧‧網路鏈路120‧‧‧Network link

122‧‧‧區域網路122‧‧‧Regional Network

124‧‧‧主機電腦124‧‧‧Host computer

126‧‧‧網際網路服務提供者(ISP)126‧‧‧ Internet Service Provider (ISP)

128‧‧‧網際網路128‧‧‧Internet

130‧‧‧伺服器130‧‧‧Server

300‧‧‧目標設計300‧‧‧Target design

302‧‧‧圖案集合/全剪輯集合302‧‧‧Pattern Collection/Full Clip Collection

306‧‧‧圖案子集/剪輯子集306‧‧‧Pattern subsets/clip subsets

314‧‧‧最佳化光源314‧‧‧Optimized light source

316‧‧‧全晶片或全剪輯集合/臨界圖案316‧‧‧Full wafer or full clip collection/critical pattern

320‧‧‧驗證320‧‧‧Verification

902A‧‧‧繞射級分佈902A‧‧‧Diffraction level distribution

902B‧‧‧繞射級分佈902B‧‧‧Diffraction level distribution

902C‧‧‧繞射級分佈902C‧‧‧Diffraction level distribution

902D‧‧‧繞射級分佈902D‧‧‧Diffraction level distribution

902E‧‧‧繞射級分佈902E‧‧‧Diffraction level distribution

902F‧‧‧繞射級分佈902F‧‧‧diffraction level distribution

902G‧‧‧繞射級分佈902G‧‧‧Diffraction level distribution

902H‧‧‧繞射級分佈902H‧‧‧Diffraction level distribution

902I‧‧‧繞射級分佈902I‧‧‧Diffraction level distribution

902J‧‧‧繞射級分佈902J‧‧‧Diffraction level distribution

902K‧‧‧繞射級分佈902K‧‧‧diffraction level distribution

902L‧‧‧繞射級分佈902L‧‧‧diffraction level distribution

902M‧‧‧繞射級分佈902M‧‧‧diffraction level distribution

902N‧‧‧繞射級分佈902N‧‧‧Diffraction level distribution

902O‧‧‧繞射級分佈902O‧‧‧Diffraction level distribution

C‧‧‧目標部分C‧‧‧Target section

CO‧‧‧聚光器CO‧‧‧ concentrator

IF‧‧‧干涉量測構件IF‧‧ Interference measuring components

IL‧‧‧輻射系統/照明系統/照明器IL‧‧‧radiation system/lighting system/illuminator

IN‧‧‧積光器IN‧‧‧ concentrator

MA‧‧‧遮罩MA‧‧‧ mask

MT‧‧‧第一物件台/遮罩台MT‧‧‧First Object Table/Mask Table

W‧‧‧基板W‧‧‧Substrate

WT‧‧‧第二物件台/基板台WT‧‧‧Second object table/substrate table

圖1為說明典型微影投影系統的例示性方塊圖;圖2為說明微影模擬模型之功能模組的例示性方塊圖;圖3A為說明根據本發明之實施例之實例SMO程序的流程圖;圖3B為說明圖案選擇演算法的詳細流程圖;圖4為說明可包括於根據本發明之SMO程序之一實施例中之實例圖案選擇方法的流程圖;圖5A為說明可包括於根據本發明之SMO程序之另一實施例中之實例圖案選擇方法的流程圖;圖5B為說明在選擇基線光源或初始光源組態之後進行SMO程序之實例的流程圖;圖6為說明可包括於根據本發明之SMO程序之另一實施例中之實例圖案選擇方法的流程圖;圖7為說明可包括於根據本發明之SMO程序之另一實施例中之實例圖案選擇方法的流程圖;圖8為說明可包括於根據本發明之SMO程序之另一實施例中之實例圖案選擇方法的流程圖;圖9A至圖9O說明根據圖8中之方法所選擇之剪輯的實例繞射級分佈;圖10為比較根據本發明之各種圖案選擇方法之程序窗效能的曲線圖;圖11為比較根據本發明之各種圖案選擇方法之處理運行時間效能的圖表;圖12為說明可輔助實施本發明之模擬方法之電腦系統的方塊圖;及圖13示意性地描繪適用於本發明之方法的微影投影裝置。1 is an exemplary block diagram illustrating a typical lithography projection system; FIG. 2 is an exemplary block diagram illustrating a functional module of a lithography simulation model; and FIG. 3A is a flow chart illustrating an example SMO program in accordance with an embodiment of the present invention. FIG. 3B is a detailed flowchart illustrating a pattern selection algorithm; FIG. 4 is a flowchart illustrating an example pattern selection method that may be included in an embodiment of the SMO program according to the present invention; FIG. 5A is a description that may be included in the A flowchart of an example pattern selection method in another embodiment of the inventive SMO program; FIG. 5B is a flow chart illustrating an example of performing an SMO procedure after selecting a baseline source or initial source configuration; FIG. 6 is an illustration that may be included in A flowchart of an example pattern selection method in another embodiment of the SMO program of the present invention; FIG. 7 is a flow chart illustrating an example pattern selection method that may be included in another embodiment of the SMO program in accordance with the present invention; To illustrate a flowchart of an example pattern selection method that may be included in another embodiment of the SMO program in accordance with the present invention; FIGS. 9A-9O illustrate the implementation of the clip selected in accordance with the method of FIG. Example: Diffraction level distribution; FIG. 10 is a graph comparing program window performance of various pattern selection methods according to the present invention; FIG. 11 is a graph comparing processing time performance of various pattern selection methods according to the present invention; FIG. A block diagram of a computer system that can aid in the implementation of the simulation method of the present invention; and Figure 13 schematically depicts a lithographic projection apparatus suitable for use in the method of the present invention.

300...目標設計300. . . Target design

302...圖案集合/全剪輯集合302. . . Pattern collection / full clip collection

306...圖案子集/剪輯子集306. . . Pattern subset/clip subset

314...最佳化光源314. . . Optimized light source

316...全晶片或全剪輯集合/臨界圖案316. . . Full wafer or full clip collection / critical pattern

320...驗證320. . . verification

Claims (17)

一種選擇與一設計相關聯之一圖案子集之方法,其中該設計或該設計之一修改經組態以經由一微影程序而成像至一基板上,且其中該圖案子集構成該設計之一預定義表示,該方法包含以下步驟:識別與該設計之該預定義表示相關的一圖案集合;對該圖案集合進行分群及/或排名;定義與該分群及/或排名相關之一臨限值;及自該圖案集合選擇該圖案子集,其中該子集包含高於或低於該臨限值的來自該圖案集合之圖案。 A method of selecting a subset of patterns associated with a design, wherein the design or one of the designs is modified to be imaged onto a substrate via a lithography process, and wherein the subset of patterns constitutes the design A predefined representation, the method comprising the steps of: identifying a set of patterns associated with the predefined representation of the design; grouping and/or ranking the set of patterns; defining a threshold associated with the group and/or ranking a value; and selecting the subset of patterns from the set of patterns, wherein the subset includes patterns from the set of patterns above or below the threshold. 如請求項1之方法,其中識別一圖案集合之該步驟包含:識別與該設計相關聯之一剪輯集合;自該設計識別圖案以構成該圖案集合之至少一部分;自該設計自動地識別圖案以構成該圖案集合之至少一部分。 The method of claim 1, wherein the step of identifying a set of patterns comprises: identifying a set of clips associated with the design; identifying a pattern from the design to form at least a portion of the set of patterns; automatically identifying patterns from the design to Forming at least a portion of the collection of patterns. 如請求項1之方法,其中選擇該圖案子集之該步驟包含:自為一剪輯集合之該圖案集合選擇剪輯,該圖案子集包含該等選定剪輯;自該設計自動地提取圖案,該圖案子集包含該等經自動提取圖案;自該設計手動地提取圖案,該圖案子集包含該等經手動提取圖案。 The method of claim 1, wherein the step of selecting the subset of patterns comprises: selecting a clip from the set of patterns for a set of clips, the subset of patterns comprising the selected clips; automatically extracting a pattern from the design, the pattern The subset includes the automatically extracted patterns; the pattern is manually extracted from the design, the subset of patterns comprising the manually extracted patterns. 如請求項1之方法,其中用於識別該圖案集合的該設計之該預定義表示包含以下各項中之一或多者:藉由該設計之圖案產生的繞射級;存在於該設計中之一或多個圖案類型;存在於該設計中之該等圖案的複雜度;在該微影程序期間需要特定關注及/或驗證的存在於該設計中之該等圖案;該設計中之該等圖案的程序窗效能;對存在於該設計中之該等圖案之程序參數變化的一預定義敏感度。 The method of claim 1, wherein the predefined representation of the design for identifying the set of patterns comprises one or more of: a diffraction level produced by a pattern of the design; present in the design One or more pattern types; the complexity of the patterns present in the design; the patterns present in the design that require specific attention and/or verification during the lithography process; Program window performance of a pattern; a predefined sensitivity to program parameter variations of the patterns present in the design. 如請求項3之方法,其使用於識別該圖案集合的該設計之該預定義表示包含該設計中之該等圖案的程序窗效能,其中該圖案子集包含實質上對應於該設計之該程序窗效能的一程序窗效能。 The method of claim 3, wherein the predefined representation of the design for identifying the set of patterns comprises program window performance of the pattern in the design, wherein the subset of patterns comprises the program substantially corresponding to the design A program window performance for window performance. 如請求項3之方法,其使用於識別該圖案集合的該設計之該預定義表示包含該設計中之該等圖案的程序窗效能,其中該圖案子集包含熱點,該等熱點構成限制該設計之該程序窗效能的來自該圖案集合之圖案。 The method of claim 3, wherein the predefined representation of the design for identifying the set of patterns comprises program window performance of the pattern in the design, wherein the subset of patterns comprises hotspots that limit the design The program window performance is derived from the pattern of the pattern set. 如請求項5或6之方法,其中該方法進一步包含用於自該圖案集合識別該等圖案中之至少一些之一程序窗效能的數值模型化方法。 The method of claim 5 or 6, wherein the method further comprises a numerical modeling method for identifying a program window performance of at least one of the patterns from the set of patterns. 如請求項1之方法,其中該分群及/或排名包含根據以下各項中之一或多者的分群及/或排名:與該設計之該預定義表示相關的一參數; 與該設計之該預定義表示相關的一函數;與該設計之該預定義表示相關的一規則。 The method of claim 1, wherein the grouping and/or ranking comprises a grouping and/or ranking according to one or more of: a parameter associated with the predefined representation of the design; A function associated with the predefined representation of the design; a rule associated with the predefined representation of the design. 如請求項1之方法,其中該臨限值包含以下各項中之一或多者:一嚴重性計分位準;一程序窗參數;來自預定數目個圖案群組之每一群組之圖案的一數目,該等圖案群組係經由對該圖案集合進行分群之該步驟加以產生;來自依排名次序之該等經排名圖案之圖案的一預定義數目;該等圖案中之結構的一尺寸;該設計中或該圖案集合中該等圖案之出現的一數目;關於該設計之該等圖案的一臨界度。 The method of claim 1, wherein the threshold comprises one or more of: a severity score level; a program window parameter; a pattern from each of the predetermined number of pattern groups a number of patterns that are generated by the step of grouping the set of patterns; a predefined number of patterns from the ranked patterns in a ranking order; a size of the structure in the patterns a number of occurrences of the patterns in the design or in the set of patterns; a criticality with respect to the patterns of the design. 如請求項1之方法,其中識別一圖案集合之該步驟包含計算該設計之該等圖案之繞射級分佈的步驟,且其中對該圖案集合進行分群及/或排名之該步驟包含基於該等計算繞射級分佈而將該圖案集合分群成複數個群組,且其中選擇該圖案子集之該步驟包含自該複數個群組選擇一或多個圖案以作為該子集。 The method of claim 1, wherein the step of identifying a set of patterns comprises the step of calculating a diffraction order distribution of the patterns of the design, and wherein the step of grouping and/or ranking the set of patterns comprises The diffraction level distribution is calculated to group the pattern sets into a plurality of groups, and wherein the step of selecting the pattern subset includes selecting one or more patterns from the plurality of groups as the subset. 如請求項1之方法,其中識別一圖案集合之該步驟包含識別一或多個記憶 體圖案,且其中該方法進一步包含針對該一或多個記憶體圖案預最佳化用於將該設計成像至該基板上之一微影工具之一照明光源的一步驟,且其中對該圖案集合進行分群及/或排名之該步驟包含使用該預最佳化照明光源來判定該設計中之潛在熱點,且其中選擇該圖案子集之該步驟包含基於該等經判定潛在熱點來選擇該子集。 The method of claim 1, wherein the step of identifying a set of patterns comprises identifying one or more memories a body pattern, and wherein the method further comprises a step of pre-optimizing the illumination source for imaging the design to one of the lithography tools on the substrate for the one or more memory patterns, and wherein the pattern The step of grouping and/or ranking the set includes using the pre-optimized illumination source to determine potential hotspots in the design, and wherein the step of selecting the subset of patterns comprises selecting the sub-spot based on the determined potential hotspots set. 如請求項1之方法,其中該方法進一步包含識別用於該微影程序之一初始照明光源的一步驟,且其中對該圖案集合進行分群及/或排名之該步驟包含使用該初始照明光源來判定該設計中之潛在熱點,且其中選擇該圖案子集之該步驟包含基於該等經判定潛在熱點來選擇該子集。 The method of claim 1, wherein the method further comprises a step of identifying an initial illumination source for the lithography procedure, and wherein the step of grouping and/or ranking the set of patterns comprises using the initial illumination source A potential hot spot in the design is determined, and wherein the step of selecting the subset of patterns comprises selecting the subset based on the determined potential hotspots. 如請求項1之方法,其中對該圖案集合進行分群及/或排名之該步驟包含:藉由設計類型而將該全剪輯集合中之圖案分群成複數個群組;及藉由間距及特徵類型而對每一群組中之該等圖案進行分類以判定每一群組中之一最佳圖案;且其中選擇該圖案子集之該步驟包含選擇每一群組中之該最佳圖案以作為該子集。 The method of claim 1, wherein the step of grouping and/or ranking the set of patterns comprises: grouping the patterns in the full set of clips into a plurality of groups by design type; and by spacing and feature types And classifying the patterns in each group to determine one of the best patterns in each group; and wherein the step of selecting the pattern subset includes selecting the best pattern in each group as This subset. 如請求項1之方法,其中該方法進一步包含識別該微影程序之一模擬模型 且使用該模型來估計該設計之該等圖案之程序參數敏感度的一步驟;且其中選擇該圖案子集之該步驟包含基於該等估計程序參數敏感度來選擇該子集。 The method of claim 1, wherein the method further comprises identifying a simulation model of the lithography program And using the model to estimate a step of program parameter sensitivity of the patterns of the design; and wherein the step of selecting the subset of patterns comprises selecting the subset based on the estimated program parameter sensitivity. 一種執行光源遮罩最佳化以用於經由一微影程序而將一設計或該設計之一修改成像至一基板上的方法,該方法包含以下步驟:根據前述請求項中任一項而自該設計選擇一圖案子集;對該等選定圖案執行光源及遮罩最佳化以獲得一最佳化光源組態,該光源組態為用於將該設計或該設計之一修改成像至該基板上之一微影工具之一照明光源的一組態;及使用該最佳化光源來最佳化該設計。 A method of performing light source mask optimization for imaging a design or one of the designs onto a substrate via a lithography process, the method comprising the steps of: self-according to any of the foregoing claims The design selects a subset of patterns; performing a light source and mask optimization on the selected patterns to obtain an optimized light source configuration configured to modify the design or one of the designs to the A configuration of one of the lithography tools on the substrate illuminates the light source; and the optimized light source is used to optimize the design. 如請求項15之方法,其中該方法最初執行以下步驟:選擇一初始光源組態;當使用該初始光源組態時驗證該設計之一微影效能,其中驗證該微影效能之該步驟包含識別該設計中之熱點,該等熱點構成限制該設計之一微影參數的來自該設計之圖案;且其中該圖案子集包含至少一經識別熱點。 The method of claim 15, wherein the method initially performs the steps of: selecting an initial source configuration; verifying a lithography performance of the design when the initial source configuration is used, wherein the step of verifying the lithography performance comprises identifying Hotspots in the design that form a pattern from the design that limits one of the lithographic parameters of the design; and wherein the subset of patterns includes at least one identified hotspot. 一種電腦程式產品,其包含經記錄有指令之一電腦可讀媒體,該等指令在被執行時使電腦執行如請求項1至14中任一項之選擇圖案子集之方法。A computer program product comprising a computer readable medium having recorded instructions for causing a computer to perform a method of selecting a subset of patterns of any of claims 1 through 14 when executed.
TW099137055A 2009-10-28 2010-10-28 Method of selecting subset of patterns, computer program product for performing thereto and method of performing source mask optimization TWI466171B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US25573809P 2009-10-28 2009-10-28
US36040410P 2010-06-30 2010-06-30

Publications (2)

Publication Number Publication Date
TW201124871A TW201124871A (en) 2011-07-16
TWI466171B true TWI466171B (en) 2014-12-21

Family

ID=43602819

Family Applications (3)

Application Number Title Priority Date Filing Date
TW099136198A TWI463245B (en) 2009-10-28 2010-10-22 Pattern selection for full-chip source and mask optimization
TW099136593A TWI435165B (en) 2009-10-28 2010-10-26 Selection of optimum patterns in a design layout based on diffraction signature analysis
TW099137055A TWI466171B (en) 2009-10-28 2010-10-28 Method of selecting subset of patterns, computer program product for performing thereto and method of performing source mask optimization

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW099136198A TWI463245B (en) 2009-10-28 2010-10-22 Pattern selection for full-chip source and mask optimization
TW099136593A TWI435165B (en) 2009-10-28 2010-10-26 Selection of optimum patterns in a design layout based on diffraction signature analysis

Country Status (7)

Country Link
US (5) US8739082B2 (en)
JP (3) JP5433550B2 (en)
KR (2) KR101800758B1 (en)
CN (3) CN102597872B (en)
NL (2) NL2005522A (en)
TW (3) TWI463245B (en)
WO (1) WO2011051249A1 (en)

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10025198B2 (en) * 2008-07-07 2018-07-17 Asml Netherlands B.V. Smart selection and/or weighting of parameters for lithographic process simulation
CN102224459B (en) 2008-11-21 2013-06-19 Asml荷兰有限公司 Fast freeform source and mask co-optimization method
JP5607308B2 (en) * 2009-01-09 2014-10-15 キヤノン株式会社 Original data generation program and method
JP5607348B2 (en) * 2009-01-19 2014-10-15 キヤノン株式会社 Method and program for generating original data, and original production method
NL2005522A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
NL2006700A (en) * 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
US8667427B2 (en) * 2011-02-24 2014-03-04 International Business Machines Corporation Method of optimization of a manufacturing process of an integrated circuit layout
US8607170B2 (en) 2011-03-02 2013-12-10 Texas Instruments Incorporated Perturbational technique for co-optimizing design rules and illumination conditions for lithography process
NL2008311A (en) * 2011-04-04 2012-10-08 Asml Netherlands Bv Integration of lithography apparatus and mask optimization process with multiple patterning process.
US8504949B2 (en) * 2011-07-26 2013-08-06 Mentor Graphics Corporation Hybrid hotspot detection
EP2570854B1 (en) * 2011-09-16 2016-11-30 Imec Illumination-source shape definition in optical lithography
NL2009982A (en) * 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
NL2010196A (en) * 2012-02-09 2013-08-13 Asml Netherlands Bv Lens heating aware source mask optimization for advanced lithography.
US8555211B2 (en) * 2012-03-09 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Mask making with error recognition
JP6039910B2 (en) * 2012-03-15 2016-12-07 キヤノン株式会社 Generation method, program, and information processing apparatus
US8631360B2 (en) * 2012-04-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology of optical proximity correction optimization
NL2010647A (en) * 2012-05-04 2013-11-06 Asml Netherlands Bv Design rule and lithographic process co-optimization.
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
US8464193B1 (en) 2012-05-18 2013-06-11 International Business Machines Corporation Optical proximity correction (OPC) methodology employing multiple OPC programs
WO2013178459A1 (en) * 2012-05-31 2013-12-05 Asml Netherlands B.V. Gradient-based pattern and evaluation point selection
CN102692814B (en) * 2012-06-18 2013-09-11 北京理工大学 Light source-mask mixed optimizing method based on Abbe vector imaging model
US9064083B2 (en) 2012-08-08 2015-06-23 Dcg Systems, Inc. P and N region differentiation for image-to-CAD alignment
US8667428B1 (en) * 2012-10-24 2014-03-04 GlobalFoundries, Inc. Methods for directed self-assembly process/proximity correction
JP6095334B2 (en) * 2012-11-26 2017-03-15 キヤノン株式会社 Method and program for determining mask pattern and exposure conditions
US20140214192A1 (en) * 2013-01-25 2014-07-31 Dmo Systems Limited Apparatus For Design-Based Manufacturing Optimization In Semiconductor Fab
US8782582B1 (en) * 2013-03-13 2014-07-15 Atrenta, Inc. Efficient method to analyze RTL structures that cause physical implementation issues based on rule checking and overlap analysis
US8782569B1 (en) * 2013-03-14 2014-07-15 United Microelectronics Corp. Method for inspecting photo-mask
WO2014192518A1 (en) * 2013-05-27 2014-12-04 インターナショナル・ビジネス・マシーンズ・コーポレーション Exposure light source, mask design method and program
US9170501B2 (en) 2013-07-08 2015-10-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9009634B2 (en) 2013-07-08 2015-04-14 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
TWI528201B (en) * 2013-08-28 2016-04-01 旺宏電子股份有限公司 Advanced correction method
WO2015049099A1 (en) 2013-10-01 2015-04-09 Asml Netherlands B.V. Profile aware source-mask optimization
US20150112649A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Clustering Lithographic Hotspots Based on Frequency Domain Encoding
US9023730B1 (en) 2013-11-05 2015-05-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating e-beam patterns for directed self-assembly
KR102427139B1 (en) * 2014-02-12 2022-07-29 에이에스엠엘 네델란즈 비.브이. Method of optimizing a process window
KR102227127B1 (en) * 2014-02-12 2021-03-12 삼성전자주식회사 Design rule generating apparatus and method using lithography simulation
US9395622B2 (en) * 2014-02-20 2016-07-19 Globalfoundries Inc. Synthesizing low mask error enhancement factor lithography solutions
KR20160131110A (en) * 2014-03-18 2016-11-15 에이에스엠엘 네델란즈 비.브이. Pattern placement error aware optimization
WO2015158444A1 (en) 2014-04-14 2015-10-22 Asml Netherlands B.V. Flows of optimization for lithographic processes
US9262578B2 (en) * 2014-04-25 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit manufacturing
US9552964B2 (en) * 2014-06-20 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an integrated circuit with a pattern density-outlier-treatment for optimized pattern density uniformity
US10191366B2 (en) 2014-06-25 2019-01-29 Asml Netherlands B.V. Etch variation tolerant optimization
WO2016008711A1 (en) 2014-07-14 2016-01-21 Asml Netherlands B.V. Optimization of assist features and source
US10228320B1 (en) 2014-08-08 2019-03-12 KLA—Tencor Corporation Achieving a small pattern placement error in metrology targets
KR102084048B1 (en) 2014-10-02 2020-03-03 에이에스엠엘 네델란즈 비.브이. Rule-based deployment of assist features
US10074036B2 (en) * 2014-10-21 2018-09-11 Kla-Tencor Corporation Critical dimension uniformity enhancement techniques and apparatus
US10409165B2 (en) 2014-12-15 2019-09-10 Asml Netherlands B.V. Optimization based on machine learning
WO2016096668A1 (en) 2014-12-17 2016-06-23 Asml Netherlands B.V. Hotspot aware dose correction
WO2016096333A1 (en) 2014-12-18 2016-06-23 Asml Netherlands B.V. A lithography model for 3d features
US9405186B1 (en) * 2015-02-23 2016-08-02 GlobalFoundries, Inc. Sample plan creation for optical proximity correction with minimal number of clips
US10459345B2 (en) 2015-03-06 2019-10-29 Asml Netherlands B.V. Focus-dose co-optimization based on overlapping process window
WO2016184664A1 (en) 2015-05-20 2016-11-24 Asml Netherlands B.V. Coloring aware optimization
KR102441582B1 (en) 2015-07-23 2022-09-07 삼성전자주식회사 MPC(Mask Process Correction) verification method, and method for fabricating mask comprising the MPC verification method
US10699971B2 (en) * 2015-08-17 2020-06-30 Qoniac Gmbh Method for processing of a further layer on a semiconductor wafer
US10008422B2 (en) * 2015-08-17 2018-06-26 Qoniac Gmbh Method for assessing the usability of an exposed and developed semiconductor wafer
JP6536813B2 (en) * 2015-09-15 2019-07-03 株式会社ニコン Evaluation method
KR102376280B1 (en) * 2015-10-08 2022-03-18 에이에스엠엘 네델란즈 비.브이. Methods and apparatus for controlling an industrial process
KR20180072768A (en) * 2015-10-19 2018-06-29 에이에스엠엘 네델란즈 비.브이. Apparatus and method for correcting patterning process errors
US9697310B2 (en) * 2015-11-02 2017-07-04 Winbond Electronics Corporation Level faults interception in integrated circuits
US9965901B2 (en) * 2015-11-19 2018-05-08 KLA—Tencor Corp. Generating simulated images from design information
US10656531B2 (en) * 2015-12-22 2020-05-19 Asml Netherlands B.V. Apparatus and method for process-window characterization
US9823994B2 (en) 2015-12-22 2017-11-21 International Business Machines Corporation Dynamically identifying performance anti-patterns
US9898572B2 (en) * 2016-02-17 2018-02-20 Globalfoundries Inc. Metal line layout based on line shifting
US10146124B2 (en) * 2016-02-23 2018-12-04 Xtal, Inc. Full chip lithographic mask generation
WO2017178276A1 (en) 2016-04-14 2017-10-19 Asml Netherlands B.V. Mapping of patterns between design layout and patterning device
CN107797375B (en) * 2016-08-31 2020-11-03 中芯国际集成电路制造(上海)有限公司 Method for correcting target pattern
WO2018172039A1 (en) * 2017-03-21 2018-09-27 Asml Netherlands B.V. Object identification and comparison
CN107133944B (en) * 2017-04-27 2020-02-07 上海华虹宏力半导体制造有限公司 Graph classification method for OPC verification
US10394116B2 (en) 2017-09-06 2019-08-27 International Business Machines Corporation Semiconductor fabrication design rule loophole checking for design for manufacturability optimization
KR102473979B1 (en) 2017-09-27 2022-12-06 에이에스엠엘 네델란즈 비.브이. How to Determine Control Parameters of a Device Manufacturing Process
CN111213090B (en) 2017-10-11 2024-04-09 Asml荷兰有限公司 Optimization flow of patterning process
KR102438502B1 (en) * 2017-12-04 2022-09-01 에이에스엠엘 네델란즈 비.브이. Measurement method, patterning device and device manufacturing method
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
CN116482939A (en) 2017-12-22 2023-07-25 Asml荷兰有限公司 Patterning process improvements involving optical aberrations
KR102585064B1 (en) 2017-12-22 2023-10-05 에이에스엠엘 네델란즈 비.브이. Process window based on defect probability
CN108829948A (en) * 2018-05-30 2018-11-16 中国科学院微电子研究所 The method for building up in bad point library and establish system
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
CN109061999B (en) * 2018-09-12 2022-03-18 上海华力集成电路制造有限公司 Method for estimating potential hot spot and method for increasing hot spot process window
TW202020577A (en) * 2018-09-28 2020-06-01 荷蘭商Asml荷蘭公司 Determining hot spot ranking based on wafer measurement
WO2020094385A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Prediction of out of specification based on spatial characteristic of process variability
CN113168085A (en) * 2018-11-30 2021-07-23 Asml荷兰有限公司 Method for patterning a patterning device based on manufacturability
WO2020135946A1 (en) 2018-12-28 2020-07-02 Asml Netherlands B.V. Method for generating patterning device pattern at patch boundary
EP3906442A1 (en) 2018-12-31 2021-11-10 ASML Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
US20230044490A1 (en) * 2019-12-13 2023-02-09 Asml Netherlands B.V. Method for improving consistency in mask pattern generation
WO2021160522A1 (en) 2020-02-12 2021-08-19 Asml Netherlands B.V. Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
KR20220127925A (en) 2020-02-21 2022-09-20 에이에스엠엘 네델란즈 비.브이. A method for calibrating a simulation process based on a defect-based process window
CN111338179B (en) * 2020-04-17 2021-07-06 中国科学院上海光学精密机械研究所 Full-chip light source mask optimization key graph screening method based on multi-width representation
CN111399336B (en) * 2020-04-17 2021-07-27 中国科学院上海光学精密机械研究所 Method for screening key graph for optimizing full-chip light source mask based on profile representation
CN111624850B (en) * 2020-06-08 2021-07-27 中国科学院上海光学精密机械研究所 Key graph screening method for full-chip light source mask optimization
CN116648672A (en) 2020-12-18 2023-08-25 Asml荷兰有限公司 Method for determining mask patterns and training machine learning models
CN113514910B (en) * 2021-04-13 2023-04-18 长江存储科技有限责任公司 Diffractive optical element, acquisition method and optical system
US11714951B2 (en) * 2021-05-13 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Geometric mask rule check with favorable and unfavorable zones
KR20240011727A (en) * 2021-05-21 2024-01-26 시놉시스, 인크. Machine learning to select initial source shapes for source mask optimization
CN117480449A (en) 2021-06-18 2024-01-30 Asml荷兰有限公司 Computer readable medium for generating assist features using machine learning model
CN113536408B (en) * 2021-07-01 2022-12-13 华蓝设计(集团)有限公司 Residential core tube area calculation method based on CAD external reference collaborative mode
KR20240031314A (en) * 2021-07-13 2024-03-07 에이에스엠엘 네델란즈 비.브이. Pattern selection for source mask optimization and target optimization
WO2023006346A1 (en) 2021-07-30 2023-02-02 Asml Netherlands B.V. Method for generating mask pattern
CN113741140B (en) * 2021-08-27 2024-02-13 中国科学院上海光学精密机械研究所 Full-chip light source mask optimization key pattern screening method based on depth-first search
WO2023066657A1 (en) 2021-10-19 2023-04-27 Asml Netherlands B.V. Pattern matching method
WO2023131476A1 (en) * 2022-01-07 2023-07-13 Asml Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
EP4261616A1 (en) * 2022-04-13 2023-10-18 ASML Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
CN115469512B (en) * 2022-09-13 2023-04-11 武汉宇微光学软件有限公司 Light source calibration method and system in light source mask joint optimization
CN115758699B (en) * 2022-11-09 2023-06-20 武汉宇微光学软件有限公司 Key graph rapid screening method and device oriented to full-chip light source mask optimization

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004312027A (en) * 2003-03-31 2004-11-04 Asml Masktools Bv Optimizing source and mask
US20070050749A1 (en) * 2005-08-31 2007-03-01 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
WO1991017483A1 (en) 1990-05-02 1991-11-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Illumination device
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US5663893A (en) 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
EP0890136B9 (en) 1996-12-24 2003-12-10 ASML Netherlands B.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
US5821014A (en) 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
US6178533B1 (en) * 1997-06-30 2001-01-23 Sun Microsystems, Inc. Method and system for design verification
US6335130B1 (en) 2000-05-01 2002-01-01 Asml Masktools Netherlands B.V. System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features
EP1164432A1 (en) 2000-06-13 2001-12-19 ASML Masktools Netherlands B.V. Optical proximity correction method utilizing serifs having variable dimensions
US6563566B2 (en) * 2001-01-29 2003-05-13 International Business Machines Corporation System and method for printing semiconductor patterns using an optimized illumination and reticle
TW530336B (en) 2001-08-21 2003-05-01 Asml Masktools Bv Lithographic method and lithographic apparatus
DE10228103A1 (en) 2002-06-24 2004-01-15 Bayer Cropscience Ag Fungicidal active ingredient combinations
US7330279B2 (en) * 2002-07-25 2008-02-12 Timbre Technologies, Inc. Model and parameter selection for optical metrology
JP2004128108A (en) * 2002-10-01 2004-04-22 Oki Electric Ind Co Ltd Optimizing method of aperture shape of projection aligner
EP1439419B1 (en) * 2003-01-14 2006-10-04 ASML MaskTools B.V. Method and apparatus for providing optical proximity correction features to a reticle pattern for optical lithography
US7030966B2 (en) 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
JP4192618B2 (en) * 2003-02-17 2008-12-10 ソニー株式会社 Mask correction method
US20040181768A1 (en) 2003-03-12 2004-09-16 Krukar Richard H. Model pattern simulation of semiconductor wafer processing steps
US7010804B2 (en) * 2003-03-20 2006-03-07 Sony Corporation System and method for facilitating TV channel programming
EP2270597B1 (en) * 2003-04-09 2017-11-01 Nikon Corporation Exposure method and apparatus and device manufacturing method
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
SG125970A1 (en) * 2003-12-19 2006-10-30 Asml Masktools Bv Feature optimization using interference mapping lithography
US7342646B2 (en) 2004-01-30 2008-03-11 Asml Masktools B.V. Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model
US7669158B2 (en) * 2004-09-30 2010-02-23 Cadence Design Systems, Inc. Method and system for semiconductor design hierarchy analysis and transformation
US20060147821A1 (en) 2004-12-30 2006-07-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE102005005591B3 (en) * 2005-02-07 2006-07-20 Infineon Technologies Ag Integrated circuit design pattern`s structural components geometry optimization method for producing photomask, involves optimizing geometries of structural components and inserting optimized base patterns into circuit design
SG125232A1 (en) 2005-02-23 2006-09-29 Asml Masktools Bv Method, program product and apparatus for optimizing illumination for full-chip layer
US7548302B2 (en) * 2005-03-29 2009-06-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7965373B2 (en) * 2005-06-28 2011-06-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a datapath having a balanced calculation load
US7374957B2 (en) * 2005-07-11 2008-05-20 Asml Netherlands B.V. Method of calibrating or qualifying a lithographic apparatus or part thereof, and device manufacturing method
US7266803B2 (en) * 2005-07-29 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Layout generation and optimization to improve photolithographic performance
US7370313B2 (en) * 2005-08-09 2008-05-06 Infineon Technologies Ag Method for optimizing a photolithographic mask
CN101305320B (en) 2005-09-09 2012-07-04 Asml荷兰有限公司 System and method for mask verification using an individual mask error model
US20070121090A1 (en) 2005-11-30 2007-05-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8576377B2 (en) * 2006-12-28 2013-11-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR100902711B1 (en) 2007-07-20 2009-06-15 주식회사 동부하이텍 Fabricating method for semiconductor device
US8214775B2 (en) * 2007-09-14 2012-07-03 Luminescent Technologies, Inc. System for determining repetitive work units
KR100944347B1 (en) 2008-01-11 2010-03-02 주식회사 하이닉스반도체 Method for forming photo mask
US8450046B2 (en) * 2008-02-25 2013-05-28 Globalfoundries Singapore Pte. Ltd. Methods for enhancing photolithography patterning
NL1036597A1 (en) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
JP4594994B2 (en) * 2008-03-24 2010-12-08 株式会社東芝 Mask pattern data generation method, mask manufacturing method, semiconductor device manufacturing method, and pattern data generation program
US8578313B2 (en) * 2008-04-24 2013-11-05 Synopsys, Inc. Pattern-clip-based hotspot database system for layout verification
US8566754B2 (en) * 2008-04-24 2013-10-22 Synopsys, Inc. Dual-purpose perturbation engine for automatically processing pattern-clip-based manufacturing hotspots
NL2003702A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
CN102224459B (en) * 2008-11-21 2013-06-19 Asml荷兰有限公司 Fast freeform source and mask co-optimization method
JP4838866B2 (en) * 2009-02-10 2011-12-14 キヤノン株式会社 A determination method, an exposure method, and a device manufacturing method for determining an exposure parameter and a reticle pattern.
US8479125B2 (en) * 2009-03-31 2013-07-02 Christophe Pierrat Lithography modeling and applications
US20110047519A1 (en) * 2009-05-11 2011-02-24 Juan Andres Torres Robles Layout Content Analysis for Source Mask Optimization Acceleration
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
NL2005522A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004312027A (en) * 2003-03-31 2004-11-04 Asml Masktools Bv Optimizing source and mask
US20070050749A1 (en) * 2005-08-31 2007-03-01 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control

Also Published As

Publication number Publication date
TW201131287A (en) 2011-09-16
JP5433550B2 (en) 2014-03-05
TWI463245B (en) 2014-12-01
TW201124871A (en) 2011-07-16
US8739082B2 (en) 2014-05-27
NL2005523A (en) 2011-05-02
CN102597872B (en) 2014-12-10
TW201142488A (en) 2011-12-01
CN102054092A (en) 2011-05-11
JP2013509604A (en) 2013-03-14
KR101800758B1 (en) 2017-11-23
JP2011100121A (en) 2011-05-19
TWI435165B (en) 2014-04-21
KR20110046368A (en) 2011-05-04
NL2005522A (en) 2011-05-02
KR20120098754A (en) 2012-09-05
KR101226646B1 (en) 2013-01-25
CN102054092B (en) 2014-08-20
JP5156075B2 (en) 2013-03-06
US8438508B2 (en) 2013-05-07
US20120216156A1 (en) 2012-08-23
US20130311958A1 (en) 2013-11-21
CN102053504B (en) 2013-01-02
US20110107280A1 (en) 2011-05-05
US9934350B2 (en) 2018-04-03
CN102053504A (en) 2011-05-11
US20160026750A1 (en) 2016-01-28
WO2011051249A1 (en) 2011-05-05
JP2011100122A (en) 2011-05-19
US9183324B2 (en) 2015-11-10
CN102597872A (en) 2012-07-18
US20110099526A1 (en) 2011-04-28
US8543947B2 (en) 2013-09-24
JP5666609B2 (en) 2015-02-12

Similar Documents

Publication Publication Date Title
TWI466171B (en) Method of selecting subset of patterns, computer program product for performing thereto and method of performing source mask optimization
US11042687B2 (en) Fast freeform source and mask co-optimization method
KR101279462B1 (en) Optimization flows of source, mask and projection optics
JP5414455B2 (en) Pattern selection for lithography model calibration
KR101484146B1 (en) Integration of lithography apparatus and mask optimization process with multiple patterning process
KR102137072B1 (en) Profile aware source-mask optimization
US20230076218A1 (en) Method for calibrating simulation process based on defect-based process window
US20210357566A1 (en) Methods for generating characteristic pattern and training machine learning model
TW202024773A (en) Method for determining patterning device pattern based on manufacturability
TW202401137A (en) Non-transitory computer-readable medium for determining mask pattern and training machine learning model
KR20180011263A (en) Simulation of lithography using multi-sampling of angular distribution of source radiation
KR102642972B1 (en) Improved gauge selection for model calibration
KR101198348B1 (en) Pattern selection for full-chip source and mask optimization