TWI463666B - Semiconductor device and methods for forming the same - Google Patents

Semiconductor device and methods for forming the same Download PDF

Info

Publication number
TWI463666B
TWI463666B TW101136801A TW101136801A TWI463666B TW I463666 B TWI463666 B TW I463666B TW 101136801 A TW101136801 A TW 101136801A TW 101136801 A TW101136801 A TW 101136801A TW I463666 B TWI463666 B TW I463666B
Authority
TW
Taiwan
Prior art keywords
trenches
epitaxial layer
conductivity type
semiconductor device
dopant
Prior art date
Application number
TW101136801A
Other languages
Chinese (zh)
Other versions
TW201415629A (en
Inventor
Rudy Sihombing
Chia Haoa Lee
Tsung Hsiung Lee
Shang Hui Tu
Original Assignee
Vanguard Int Semiconduct Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Vanguard Int Semiconduct Corp filed Critical Vanguard Int Semiconduct Corp
Priority to TW101136801A priority Critical patent/TWI463666B/en
Publication of TW201415629A publication Critical patent/TW201415629A/en
Application granted granted Critical
Publication of TWI463666B publication Critical patent/TWI463666B/en

Links

Description

半導體裝置及其製造方法Semiconductor device and method of manufacturing same

本發明係有關於半導體裝置,特別是有關於一種具有超接面結構的半導體裝置及其製造方法。The present invention relates to a semiconductor device, and more particularly to a semiconductor device having a super junction structure and a method of fabricating the same.

習知的垂直擴散金屬氧化物半導體場效電晶體(vertical diffusion metal-oxide-semiconductor field effect transistor,VDMOSFET)具有由N型摻雜漂移區及上方的P型摻雜基底區所構成的一P-N接面結構。P-N接面結構主要用以耐受施加於習知的垂直擴散金屬氧化物半導體場效電晶體的電壓。改善垂直擴散金屬氧化物半導體場效電晶體的耐電壓,需要降低N型摻雜漂移區的摻雜濃度及增加N型摻雜漂移區的厚度。改善P-N接面結構的耐電壓導致習知的垂直擴散金屬氧化物半導體場效電晶體的導通電阻(on-resistance,Ron)上升。也就是說,習知的垂直擴散金屬氧化物半導體場效電晶體的導通電阻受限於N型摻雜漂移區的摻雜濃度及厚度。為了改善N型摻雜漂移區的摻雜濃度而發展出具有超接面結構的垂直擴散金屬氧化物半導體場效電晶體,因而改善垂直擴散金屬氧化物半導體場效電晶體的導通電阻。A conventional vertical diffusion metal-oxide-semiconductor field effect transistor (VDMOSFET) has a PN junction formed by an N-type doped drift region and an upper P-type doped base region. Surface structure. The P-N junction structure is primarily used to withstand the voltage applied to conventional vertical diffusion metal oxide semiconductor field effect transistors. To improve the withstand voltage of the vertically-diffused metal oxide semiconductor field effect transistor, it is necessary to reduce the doping concentration of the N-type doped drift region and increase the thickness of the N-type doping drift region. Improving the withstand voltage of the P-N junction structure results in an increase in on-resistance (Ron) of a conventional vertically-diffused metal oxide semiconductor field effect transistor. That is, the on-resistance of a conventional vertical diffusion metal oxide semiconductor field effect transistor is limited by the doping concentration and thickness of the N-type doped drift region. In order to improve the doping concentration of the N-type doped drift region, a vertical diffusion metal oxide semiconductor field effect transistor having a super junction structure is developed, thereby improving the on-resistance of the vertically-diffused metal oxide semiconductor field effect transistor.

通常透過多重磊晶技術(COOlMOSTM )製造出習知的超接面結構。多重磊晶技術需要進行多次循環製程,包括磊晶成長製程、P型摻雜物離子佈植製程及熱擴散製程。因此,多重磊晶技術具有製程步驟多及製造成本高的缺點。 此外,亦難以縮小垂直擴散金屬氧化物半導體場效電晶體的尺寸。Typically manufactured in conventional superjunction structures through multiple epitaxy (COOlMOS TM). Multiple epitaxial techniques require multiple cycles of processing, including epitaxial growth processes, P-type dopant ion implantation processes, and thermal diffusion processes. Therefore, the multiple epitaxial technology has the disadvantages of many manufacturing steps and high manufacturing cost. In addition, it is also difficult to reduce the size of the vertically-diffused metal oxide semiconductor field effect transistor.

因此,有必要尋求一種新的具有超接面結構的半導體裝置的製造方法,其能夠減輕或排除上述的問題。Therefore, it is necessary to find a new manufacturing method of a semiconductor device having a super junction structure which can alleviate or eliminate the above problems.

本發明係提供一種半導體裝置的製造方法,包括提供具有第一導電型的一半導體基板。在半導體基板上形成具有第一導電型的磊晶層。在磊晶層內形成複數第一溝槽。在磊晶層內形成具有第一導電型的第一摻雜區,且圍繞每一第一溝槽。在每一第一摻雜區內形成具有第一導電型的第二摻雜區,且鄰近每一第一溝槽。以第一絕緣材料填充每一第一溝槽。在磊晶層內形成與第一溝槽交替排置的複數第二溝槽。在磊晶層內形成具有第二導電型的第三摻雜區,且圍繞每一第二溝槽。以第二絕緣材料填充每一第二溝槽。其中每一第一摻雜區包括第一摻雜物及每一第二摻雜區包括第二摻雜物,且第一摻雜物的擴散係數大於第二摻雜物的擴散係數。The present invention provides a method of fabricating a semiconductor device comprising providing a semiconductor substrate having a first conductivity type. An epitaxial layer having a first conductivity type is formed on the semiconductor substrate. A plurality of first trenches are formed in the epitaxial layer. A first doped region having a first conductivity type is formed in the epitaxial layer and surrounds each of the first trenches. A second doped region having a first conductivity type is formed in each of the first doping regions and adjacent to each of the first trenches. Each of the first trenches is filled with a first insulating material. A plurality of second trenches alternately arranged with the first trench are formed in the epitaxial layer. A third doped region having a second conductivity type is formed in the epitaxial layer and surrounds each of the second trenches. Each second trench is filled with a second insulating material. Each of the first doped regions includes a first dopant and each of the second doped regions includes a second dopant, and a diffusion coefficient of the first dopant is greater than a diffusion coefficient of the second dopant.

本發明係提供一種半導體裝置,包括具有第一導電型的半導體基板。具有第一導電型的磊晶層,設置於半導體基板上。填入第一絕緣材料的複數第一溝槽,設置於磊晶層內。具有第一導電型的第一摻雜區,設置於磊晶層內及圍繞每一第一溝槽。具有第一導電型的第二摻雜區,設置於每一第一摻雜區內及相鄰於每一第一溝槽。填入充第二絕緣材料的複數第二溝槽,與第一溝槽交替排置於磊晶層 內。具有第二導電型的第三摻雜區,設置於磊晶層內及圍繞每一第二溝槽。其中每一第一摻雜區包括第一摻雜物及每一第二摻雜區包括第二摻雜物,且第一摻雜物的擴散係數大於第二摻雜物的擴散係數。The present invention provides a semiconductor device including a semiconductor substrate having a first conductivity type. An epitaxial layer having a first conductivity type is disposed on the semiconductor substrate. A plurality of first trenches filled in the first insulating material are disposed in the epitaxial layer. A first doped region having a first conductivity type is disposed within the epitaxial layer and surrounding each of the first trenches. A second doped region having a first conductivity type is disposed in each of the first doping regions and adjacent to each of the first trenches. Filling a plurality of second trenches filled with a second insulating material, and alternately arranged with the first trenches in the epitaxial layer Inside. A third doped region having a second conductivity type is disposed within the epitaxial layer and surrounding each of the second trenches. Each of the first doped regions includes a first dopant and each of the second doped regions includes a second dopant, and a diffusion coefficient of the first dopant is greater than a diffusion coefficient of the second dopant.

以下說明本發明實施例之製作。此說明之目的在於提供本發明的總體概念而並非用以侷限本發明的範圍。本發明之保護範圍當視後附之申請專利範圍所界定者為準。在圖式及內文中,相同或相似的部件係使用相同或相似的標號。The fabrication of an embodiment of the invention is described below. The description is intended to provide an overall concept of the invention and is not intended to limit the scope of the invention. The scope of the invention is defined by the scope of the appended claims. In the drawings and the text, the same or similar components are given the same or similar reference numerals.

本發明不限於特定實施例與圖式所述的內容,而僅限於申請專利範圍所界定者。圖式僅作為說明而並未用以限定本發明。在圖式中,為了說明目的,擴大某些元件的尺寸而並未依照比例繪示,其相對的尺寸未對應於本發明的實際尺寸。The present invention is not limited to the specific embodiments and the contents described in the drawings, but is limited to the scope of the patent application. The drawings are for illustrative purposes only and are not intended to limit the invention. In the drawings, the size of some of the elements are exaggerated for the purpose of illustration and are not drawn to scale, and their relative dimensions do not correspond to the actual dimensions of the invention.

第7圖係繪示出根據本發明實施例之半導體裝置500的剖面示意圖。半導體裝置500可包括具有超接面結構的金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field effect transistor,MOSFET),例如超接面垂直擴散金屬氧化物半導體場效電晶體(super junction VDMOSFET)。半導體裝置500可包括具有第一導電型的半導體基板200及形成於其上且具有第一導電型的磊晶層202。在本實施例中,第一導電型可為P型或N型。再者,半導體基板200的摻雜濃度大於磊晶層202的摻雜濃度。例如第一導電型 為N型,則半導體基板200可為N型重摻雜(N+)半導體基板200,而磊晶層202可為N型輕摻雜(N-)磊晶層202。磊晶層202可包括主動區300及其周圍的終端區302。主動區300用以設置半導體裝置於其上/中,而終端區302作為在半導體裝置之間的隔離特徵部件。FIG. 7 is a cross-sectional view showing a semiconductor device 500 in accordance with an embodiment of the present invention. The semiconductor device 500 may include a metal-oxide-semiconductor field effect transistor (MOSFET) having a super junction structure, such as a super junction vertical diffusion metal oxide semiconductor field effect transistor (super junction VDMOSFET). ). The semiconductor device 500 may include a semiconductor substrate 200 having a first conductivity type and an epitaxial layer 202 formed thereon and having a first conductivity type. In this embodiment, the first conductivity type may be a P type or an N type. Furthermore, the doping concentration of the semiconductor substrate 200 is greater than the doping concentration of the epitaxial layer 202. For example, the first conductivity type For the N-type, the semiconductor substrate 200 can be an N-type heavily doped (N+) semiconductor substrate 200, and the epitaxial layer 202 can be an N-type lightly doped (N-) epitaxial layer 202. Epitaxial layer 202 can include active region 300 and its surrounding termination region 302. Active region 300 is used to place semiconductor devices thereon/in, while termination region 302 acts as an isolation feature between the semiconductor devices.

磊晶層202的主動區300可包括複數第一溝槽204及與其交替排置於其中的複數第二溝槽218,使得每一第二溝槽218相鄰於第一溝槽204中的至少一者或每一第一溝槽204相鄰於第二溝槽218中的至少一者。為了簡化圖式,此處僅繪示出一個第二溝槽218及與其相鄰的兩個第一溝槽204。第一溝槽204可延伸穿過磊晶層202而進入半導體基板200,使得第一溝槽204的下表面205可位於半導體基板200內。同樣地,第二溝槽218可延伸穿過磊晶層202而進入半導體基板200,使得第二溝槽218的下表面209可位於半導體基板200內。第一溝槽204及第二溝槽218延伸進入半導體基板200的好處在於,當摻雜第一溝槽204及第二溝槽218的內表面(即,側壁及底部)時,可降低離子反衝效應(ion recoil effect)。對於超接面垂直擴散金屬氧化物半導體場效電晶體而言,在摻雜溝槽時降低離子反衝效應,可有助於降低導通電阻及增加崩潰電壓(breakdown voltage,VB)。The active region 300 of the epitaxial layer 202 can include a plurality of first trenches 204 and a plurality of second trenches 218 alternately disposed therein such that each second trench 218 is adjacent to at least one of the first trenches 204 One or each first trench 204 is adjacent to at least one of the second trenches 218. To simplify the drawing, only one second trench 218 and two first trenches 204 adjacent thereto are shown here. The first trench 204 may extend through the epitaxial layer 202 into the semiconductor substrate 200 such that the lower surface 205 of the first trench 204 may be located within the semiconductor substrate 200. Likewise, the second trench 218 can extend through the epitaxial layer 202 into the semiconductor substrate 200 such that the lower surface 209 of the second trench 218 can be located within the semiconductor substrate 200. The advantage that the first trench 204 and the second trench 218 extend into the semiconductor substrate 200 is that when the inner surfaces (ie, the sidewalls and the bottom) of the first trench 204 and the second trench 218 are doped, the ion reverse can be reduced. Ion recoil effect. For super-junction vertical-diffused MOSFETs, reducing the ion kickback effect when doping trenches can help reduce on-resistance and increase breakdown voltage (VB).

第一絕緣襯層206可順應性地形成於第一溝槽204的內表面(側壁207及下表面205)上。在一實施例中,第一絕緣襯層206可為氧化線層,可用以釋放磊晶層202的應力。此外,第一絕緣襯層206可作為預離子佈植氧化層,用於 後續的離子佈植製程,以降低通道效應。The first insulating liner 206 is conformally formed on the inner surfaces (side walls 207 and lower surface 205) of the first trench 204. In an embodiment, the first insulating liner 206 can be an oxide layer that can be used to release the stress of the epitaxial layer 202. In addition, the first insulating liner 206 can be used as a pre-ion implant oxide layer for Subsequent ion implantation processes to reduce channel effects.

第一絕緣材料212填充於每一第一溝槽204內。第一絕緣材料212的上表面213可大致上對準於磊晶層202的上表面203。在一實施例中,第一絕緣材料212可包括氧化物或非摻雜多晶矽。A first insulating material 212 is filled in each of the first trenches 204. The upper surface 213 of the first insulating material 212 can be substantially aligned with the upper surface 203 of the epitaxial layer 202. In an embodiment, the first insulating material 212 may comprise an oxide or an undoped polysilicon.

具有第一導電型(例如N型)的第一摻雜區210形成於磊晶層202內及圍繞每一第一溝槽204,其中第一摻雜區210可包括一第一摻雜物。具有第一導電型(例如N型)的第二摻雜區310形成於第一摻雜區210內,且相鄰於每一第一溝槽204,使得第二摻雜區310圍繞對應的第一溝槽204,其中第二摻雜區310可包括一第二摻雜物。第一摻雜物的擴散係數大於第二摻雜物的擴散係數。例如,第一摻雜物為磷且第二摻雜物為砷。第一摻雜區210的摻雜濃度可大於磊晶層202及小於半導體基板200的摻雜濃度。A first doped region 210 having a first conductivity type (eg, N-type) is formed in and around each of the epitaxial layers 202, wherein the first doped region 210 can include a first dopant. A second doping region 310 having a first conductivity type (eg, N-type) is formed in the first doping region 210 and adjacent to each of the first trenches 204 such that the second doping region 310 surrounds the corresponding portion A trench 204, wherein the second doped region 310 can include a second dopant. The diffusion coefficient of the first dopant is greater than the diffusion coefficient of the second dopant. For example, the first dopant is phosphorus and the second dopant is arsenic. The doping concentration of the first doping region 210 may be greater than the epitaxial layer 202 and less than the doping concentration of the semiconductor substrate 200.

第一摻雜區210的深度(例如磊晶層202的上表面203與第一摻雜區210的下表面209之間的距離)可大致上大於第二摻雜區310的深度(例如磊晶層202的上表面203與第二摻雜區310的下表面211之間的距離),且第二摻雜區310的深度可大致上大於第一溝槽204的深度(例如磊晶層202的上表面203與第一溝槽204的下表面205之間的距離)。因此,第二摻雜區310的下表面211可位於第一摻雜區210內,且第一溝槽204的下表面205可位於第一摻雜區210及第二摻雜區310內。The depth of the first doped region 210 (eg, the distance between the upper surface 203 of the epitaxial layer 202 and the lower surface 209 of the first doped region 210) may be substantially greater than the depth of the second doped region 310 (eg, epitaxial The distance between the upper surface 203 of the layer 202 and the lower surface 211 of the second doped region 310), and the depth of the second doped region 310 may be substantially greater than the depth of the first trench 204 (eg, the epitaxial layer 202 The distance between the upper surface 203 and the lower surface 205 of the first trench 204). Therefore, the lower surface 211 of the second doping region 310 may be located in the first doping region 210, and the lower surface 205 of the first trench 204 may be located in the first doping region 210 and the second doping region 310.

同樣地,第二絕緣襯層220可順應性地形成於第二溝槽218的內表面(側壁221及下表面219)上。在一實施例 中,第二絕緣襯層220可為氧化線層,以釋放磊晶層202的應力。此外,第二絕緣襯層220可作為預離子佈植氧化層,用於後續的離子佈植製程,以降低通道效應。Likewise, the second insulating liner 220 is conformally formed on the inner surfaces (the sidewalls 221 and the lower surface 219) of the second trench 218. In an embodiment The second insulating liner 220 may be an oxide layer to release the stress of the epitaxial layer 202. In addition, the second insulating liner 220 can be used as a pre-ion implant oxide layer for subsequent ion implantation processes to reduce channel effects.

第二絕緣材料230設置於第二溝槽218內。第二絕緣材料230的上表面可大致上對準於磊晶層202的上表面203。在一實施例中,第二絕緣材料230可包括氧化物或非摻雜多晶矽。The second insulating material 230 is disposed in the second trench 218. The upper surface of the second insulating material 230 may be substantially aligned with the upper surface 203 of the epitaxial layer 202. In an embodiment, the second insulating material 230 may include an oxide or an undoped polysilicon.

具有相對於第一導電型的第二導電型的第三摻雜區222,形成於磊晶層202內且圍繞第二溝槽218。例如,第二導電型可為P型,且第三摻雜區222可為P型摻雜區。第三摻雜區222可包括一第三摻雜物(例如硼、銦、氟化硼(BF2)或其組合)。此外,第三摻雜區222的摻雜濃度可大於磊晶層202且小於半導體基板200的摻雜濃度。A third doped region 222 having a second conductivity type relative to the first conductivity type is formed within the epitaxial layer 202 and surrounds the second trench 218. For example, the second conductivity type may be a P type, and the third doping region 222 may be a P type doping region. The third doped region 222 can include a third dopant (eg, boron, indium, boron fluoride (BF2), or a combination thereof). In addition, the doping concentration of the third doping region 222 may be greater than the epitaxial layer 202 and less than the doping concentration of the semiconductor substrate 200.

第三摻雜區222的深度(例如磊晶層202的上表面203與第三摻雜區222的下表面229之間的距離)可大致上大於第二溝槽218的深度(例如磊晶層202的上表面203與第二溝槽218的下表面219之間的距離)。因此,第二溝槽218的下表面219可位於第三摻雜區222內。The depth of the third doped region 222 (eg, the distance between the upper surface 203 of the epitaxial layer 202 and the lower surface 229 of the third doped region 222) may be substantially greater than the depth of the second trench 218 (eg, an epitaxial layer) The distance between the upper surface 203 of the 202 and the lower surface 219 of the second trench 218). Accordingly, the lower surface 219 of the second trench 218 can be located within the third doped region 222.

可透過第一摻雜區210、第二摻雜區310及第三摻雜區222形成超接面結構250,其中每一第一摻雜區210相鄰於第三摻雜區222中的至少一者。The super junction structure 250 may be formed through the first doping region 210, the second doping region 310, and the third doping region 222, wherein each of the first doping regions 210 is adjacent to at least the third doping region 222 One.

複數閘極結構228可對應地設置於複數第一溝槽204上。每一閘極結構228可包括閘極氧化層224及上方的閘極層226。在一實施例中,每一閘極結構228分別覆蓋複數第一溝槽204的其中之一及相鄰於被覆蓋的第一溝槽 204的磊晶層202的一部分。此外,透過閘極結構228暴露出第二溝槽218。在一實施例中,閘極氧化層224可包括氧化物、氮化物、氮氧化物、碳氧化物或其組合。在一實施例中,閘極層226可為多晶矽層。The plurality of gate structures 228 can be correspondingly disposed on the plurality of first trenches 204. Each gate structure 228 can include a gate oxide layer 224 and an upper gate layer 226. In one embodiment, each of the gate structures 228 respectively covers one of the plurality of first trenches 204 and adjacent to the covered first trenches A portion of the epitaxial layer 202 of 204. Additionally, the second trench 218 is exposed through the gate structure 228. In an embodiment, the gate oxide layer 224 can include an oxide, a nitride, an oxynitride, a carbon oxide, or a combination thereof. In an embodiment, the gate layer 226 can be a polysilicon layer.

具有第二導電型的一對井區232形成於位於第二溝槽218兩側的磊晶層202的主動區300內,使得該對井區232位於相鄰的兩閘極結構228之間。再者,該對井區232位於第三摻雜區222上方。A pair of well regions 232 having a second conductivity type are formed in the active region 300 of the epitaxial layer 202 on either side of the second trench 218 such that the pair of well regions 232 are located between adjacent two gate structures 228. Furthermore, the pair of well regions 232 are located above the third doped region 222.

具有第一導電型的一對源極區234(例如一對N型重摻雜區)對應地形成於該對井區232內。該對源極區234分別相鄰於對應的閘極結構228的一側。此外,第一摻雜區210及第三摻雜區222之間的界面位置可根據半導體裝置特性的需要作改變。此外,N型半導體基板200可作為垂直擴散金屬氧化物半導體場效電晶體的汲極。A pair of source regions 234 having a first conductivity type (e.g., a pair of N-type heavily doped regions) are correspondingly formed within the pair of well regions 232. The pair of source regions 234 are adjacent to one side of the corresponding gate structure 228, respectively. In addition, the interface position between the first doping region 210 and the third doping region 222 may be changed according to the needs of the characteristics of the semiconductor device. Further, the N-type semiconductor substrate 200 can function as a drain of a vertically-diffused metal oxide semiconductor field effect transistor.

具有接觸孔洞238的內層介電(interlayer dielectric,ILD)層236可形成於磊晶層202上且覆蓋閘極結構228。值得注意的是接觸孔洞238的數量可為一個或多個,其取決於半導體裝置的設計。如第7圖所示,從接觸孔洞238暴露出每一源極區234的一部分及與其相鄰的每一井區232的一部分。一對接點摻雜區240可對應地形成於該對井區232內。該對接點(pick-up)摻雜區240可具有第二導電型,且每一接點摻雜區240相鄰於對應的源極區234。一導電層可形成於內層介電層236上且填充於接觸孔洞238內,以形成一接觸插塞242。接觸插塞242可作為半導體裝置500的源極電極。An interlayer dielectric (ILD) layer 236 having contact holes 238 may be formed over epitaxial layer 202 and overlying gate structure 228. It is worth noting that the number of contact holes 238 can be one or more depending on the design of the semiconductor device. As shown in FIG. 7, a portion of each source region 234 and a portion of each well region 232 adjacent thereto are exposed from contact holes 238. A pair of contact doping regions 240 may be correspondingly formed in the pair of well regions 232. The pick-up doped region 240 can have a second conductivity type, and each contact doped region 240 is adjacent to a corresponding source region 234. A conductive layer can be formed on the inner dielectric layer 236 and filled in the contact holes 238 to form a contact plug 242. The contact plug 242 can serve as a source electrode of the semiconductor device 500.

第1至7圖係繪示出根據本發明實施例之半導體裝置的製造方法的剖面示意圖。如第1圖所示,提供具有第一導電型的半導體基板200。接著,透過磊晶成長製程,在半導體基板200上形成具有第一導電型的磊晶層202。在本實施例中,第一導電型可為P型或N型。再者,半導體基板200的摻雜濃度可大於磊晶層202的摻雜濃度。例如,半導體基板200可為N型重摻雜(N+)半導體基板200,而磊晶層202可為N型輕摻雜(N-)磊晶層202。如第1圖所示,磊晶層202可包括主動區300及其周圍的終端區302。1 to 7 are schematic cross-sectional views showing a method of fabricating a semiconductor device in accordance with an embodiment of the present invention. As shown in Fig. 1, a semiconductor substrate 200 having a first conductivity type is provided. Next, an epitaxial layer 202 having a first conductivity type is formed on the semiconductor substrate 200 through an epitaxial growth process. In this embodiment, the first conductivity type may be a P type or an N type. Furthermore, the doping concentration of the semiconductor substrate 200 may be greater than the doping concentration of the epitaxial layer 202. For example, the semiconductor substrate 200 can be an N-type heavily doped (N+) semiconductor substrate 200, and the epitaxial layer 202 can be an N-type lightly doped (N-) epitaxial layer 202. As shown in FIG. 1, epitaxial layer 202 can include active region 300 and its surrounding termination region 302.

請參照第2圖,其繪示出第一溝槽204的製作。透過低壓化學氣相沉積(low pressure chemical vapor deposition,LPCVD)製程,在磊晶層202上形成一硬式罩幕層(未繪示)。接著,進行微影及蝕刻製程,以形成覆蓋磊晶層202的主動區300的罩幕圖案(未繪示),用以定義出複數第一溝槽。接著,對未被罩幕圖案覆蓋的磊晶層202進行蝕刻製程,以形成對應主動區300的複數第一溝槽204。在一實施例中,第一溝槽204可延伸穿過磊晶層202而進入半導體基板200,使得第一溝槽204的下表面205可位於半導體基板200內。Please refer to FIG. 2, which illustrates the fabrication of the first trench 204. A hard mask layer (not shown) is formed on the epitaxial layer 202 by a low pressure chemical vapor deposition (LPCVD) process. Next, a lithography and etching process is performed to form a mask pattern (not shown) covering the active region 300 of the epitaxial layer 202 to define a plurality of first trenches. Next, the epitaxial layer 202 not covered by the mask pattern is subjected to an etching process to form a plurality of first trenches 204 corresponding to the active region 300. In an embodiment, the first trench 204 may extend through the epitaxial layer 202 into the semiconductor substrate 200 such that the lower surface 205 of the first trench 204 may be located within the semiconductor substrate 200.

接著,在去除罩幕圖案後,進行一製程(例如熱氧化物成長法),將第一絕緣襯層206順應性地形成於每一第一溝槽204的側壁207及下表面205上。Next, after the mask pattern is removed, a process (for example, thermal oxide growth method) is performed, and the first insulating liner 206 is conformally formed on the sidewall 207 and the lower surface 205 of each of the first trenches 204.

請參照第3圖,從每一第一溝槽204的相對的兩側壁207進行一摻雜製程208(例如傾斜離子佈植製程),以摻雜磊晶層202。其中先對磊晶層202摻雜具有相對高擴散係 數的第一摻雜物,以於其中及每一第一溝槽204周圍形成第一摻雜區210。之後,透過具有相對低擴散係數的第二摻雜物繼續進行摻雜製程208,以於每一第一摻雜區210內形成第二摻雜區310,且相鄰於每一第一溝槽204。其中第一摻雜物及第二摻雜物具有第一導電型(例如N型)。形成第一摻雜區210及第二摻雜區310的摻雜製程208可為相似或不同的製程。在一實施例中,形成第一摻雜區210及第二摻雜區310的摻雜製程208具有不同的參數(包括摻雜角度、能量、劑量、溫度或其組合)。「擴散係數」在此處係指磊晶層202或半導體基板200內的第一摻雜物或第二摻雜物的擴散性。在一實施例中,第一摻雜物可包括磷,且第二摻雜物可包括砷,但不限定於此。Referring to FIG. 3, a doping process 208 (eg, a tilt ion implantation process) is performed from opposite sidewalls 207 of each first trench 204 to dope the epitaxial layer 202. First, the epitaxial layer 202 is doped with a relatively high diffusion system. The first dopants are formed to form a first doping region 210 around each of the first trenches 204. Thereafter, the doping process 208 is continued through the second dopant having a relatively low diffusion coefficient to form a second doping region 310 in each of the first doping regions 210 adjacent to each of the first trenches 204. The first dopant and the second dopant have a first conductivity type (eg, N-type). The doping process 208 forming the first doped region 210 and the second doped region 310 may be a similar or different process. In an embodiment, the doping process 208 forming the first doped region 210 and the second doped region 310 has different parameters (including doping angle, energy, dose, temperature, or a combination thereof). The "diffusion coefficient" herein means the diffusivity of the first dopant or the second dopant in the epitaxial layer 202 or the semiconductor substrate 200. In an embodiment, the first dopant may include phosphorus, and the second dopant may include arsenic, but is not limited thereto.

需注意的是在摻雜製程208中,相較於具有相對低擴散係數的第二摻雜物,具有相對高擴散係數的第一摻雜物可從每一第一溝槽204的內表面更深入磊晶層202。如第3圖所示,形成於磊晶層202內及圍繞每一第一溝槽204的每一第一摻雜區210可包括第一摻雜物,而形成於第一摻雜區210內且相鄰於每一第一溝槽204的每一第二摻雜區310可圍繞對應的第一溝槽204且可包括第二摻雜物。每一第一摻雜區210的摻雜濃度大於磊晶層202且小於半導體基板200的摻雜濃度。It should be noted that in the doping process 208, the first dopant having a relatively high diffusion coefficient may be further from the inner surface of each of the first trenches 204 than the second dopant having a relatively low diffusion coefficient. Deep into the epitaxial layer 202. As shown in FIG. 3 , each of the first doping regions 210 formed in the epitaxial layer 202 and surrounding each of the first trenches 204 may include a first dopant formed in the first doping region 210 . And each of the second doped regions 310 adjacent to each of the first trenches 204 may surround the corresponding first trenches 204 and may include a second dopant. The doping concentration of each of the first doping regions 210 is greater than the epitaxial layer 202 and less than the doping concentration of the semiconductor substrate 200.

第一摻雜區210的深度(例如磊晶層202的上表面203與第一摻雜區210的下表面209之間的距離)可大致上大於第二摻雜區310的深度(例如磊晶層202的上表面203與第二摻雜區310的下表面211之間的距離),且第二摻雜區310 的深度可大致上大於第一溝槽204的深度(例如磊晶層202的上表面203與第一溝槽204的下表面205之間的距離)。因此,第二摻雜區310的下表面211可位於第一摻雜區210內,且第一溝槽204的下表面205可位於第一摻雜區210及第二摻雜區310內。The depth of the first doped region 210 (eg, the distance between the upper surface 203 of the epitaxial layer 202 and the lower surface 209 of the first doped region 210) may be substantially greater than the depth of the second doped region 310 (eg, epitaxial a distance between the upper surface 203 of the layer 202 and the lower surface 211 of the second doping region 310), and the second doping region 310 The depth may be substantially greater than the depth of the first trench 204 (eg, the distance between the upper surface 203 of the epitaxial layer 202 and the lower surface 205 of the first trench 204). Therefore, the lower surface 211 of the second doping region 310 may be located in the first doping region 210, and the lower surface 205 of the first trench 204 may be located in the first doping region 210 and the second doping region 310.

在一實施例中,摻雜製程208的傾斜角度θ1主要取決於第一溝槽204的寬度及深度。例如,摻雜製程208的傾斜角度θ1可為1°至10°的範圍。在一實施例中,在進行摻雜製程208後,可在第一摻雜區210及第二摻雜區310內進行一擴散製程(例如快速熱退火(rapid thermal annealing,RTA)製程),以活化其中的摻雜物。擴散製程的製程溫度可為800℃至1500℃的範圍,使得第一摻雜物可均勻地分佈於第一摻雜區210及第二摻雜物可均勻地分佈於第二摻雜區310。In an embodiment, the tilt angle θ1 of the doping process 208 is primarily dependent on the width and depth of the first trench 204. For example, the tilt angle θ1 of the doping process 208 may range from 1° to 10°. In an embodiment, after the doping process 208 is performed, a diffusion process (eg, a rapid thermal annealing (RTA) process) may be performed in the first doping region 210 and the second doping region 310 to The dopants therein are activated. The process temperature of the diffusion process may range from 800 ° C to 1500 ° C such that the first dopant may be uniformly distributed in the first doping region 210 and the second dopant may be uniformly distributed in the second doping region 310 .

請參照第4圖,透過沉積製程(例如低壓化學氣相沉積製程)或塗佈製程(例如旋轉塗佈玻璃(spin-on glass,SOG)法),將第一絕緣材料212形成於磊晶層202上且填充每一第一溝槽204。接著,進行平坦化製程(例如化學機械研磨(chemical mechanical polishing,CMP)製程),以去除磊晶層202的上表面203上多餘的第一絕緣材料212,使得第一絕緣材料212的上表面213大致上對準於磊晶層202的上表面203。Referring to FIG. 4, the first insulating material 212 is formed on the epitaxial layer through a deposition process (for example, a low pressure chemical vapor deposition process) or a coating process (for example, a spin-on glass (SOG) method). Each of the first trenches 204 is filled and filled. Next, a planarization process (eg, a chemical mechanical polishing (CMP) process) is performed to remove excess first insulating material 212 on the upper surface 203 of the epitaxial layer 202 such that the upper surface 213 of the first insulating material 212 The upper surface 203 of the epitaxial layer 202 is substantially aligned.

請再參照第4圖,其繪示出第二溝槽218的製作。同樣地,在磊晶層202上形成一硬式罩幕層(未繪示)。接著,進行微影及蝕刻製程,以形成覆蓋磊晶層202的主動區300 的罩幕圖案(未繪示),用以定義出複數第二溝槽。接著,對未被罩幕圖案覆蓋的磊晶層202進行蝕刻製程,以於其中形成與複數第一溝槽204交替排置的複數第二溝槽218,使得每一第二溝槽218相鄰於至少一第一溝槽204或每一第一溝槽204相鄰於至少一第二溝槽218。再者,複數第二溝槽218可延伸穿過磊晶層202而進入半導體基板200,使得第二溝槽218的下表面219可位於半導體基板200內。延伸進入半導體基板200的第一溝槽204及第二溝槽218可防止半導體裝置發生初期崩潰(earlier breakdown)。為了簡化圖式,此處僅繪示出位於相鄰的兩個第一溝槽204之間的一個第二溝槽218。Referring again to FIG. 4, the fabrication of the second trench 218 is illustrated. Similarly, a hard mask layer (not shown) is formed on the epitaxial layer 202. Next, a lithography and etching process is performed to form an active region 300 covering the epitaxial layer 202. A mask pattern (not shown) is used to define a plurality of second trenches. Next, the epitaxial layer 202 not covered by the mask pattern is etched to form a plurality of second trenches 218 alternately arranged with the plurality of first trenches 204 such that each second trench 218 is adjacent to each other The at least one first trench 204 or each of the first trenches 204 is adjacent to the at least one second trench 218. Moreover, the plurality of second trenches 218 can extend through the epitaxial layer 202 into the semiconductor substrate 200 such that the lower surface 219 of the second trench 218 can be located within the semiconductor substrate 200. The first trench 204 and the second trench 218 extending into the semiconductor substrate 200 prevent an early breakdown of the semiconductor device. To simplify the drawing, only one second trench 218 between adjacent two first trenches 204 is shown here.

在一實施例中,每一第一溝槽204具有相同於每一第二溝槽218的寬度及深度。另外,第二溝槽218的寬度及深度可分別根據半導體裝置特性的需要作改變。In an embodiment, each of the first trenches 204 has the same width and depth as each of the second trenches 218. In addition, the width and depth of the second trench 218 can be changed according to the needs of the characteristics of the semiconductor device, respectively.

接著,在去除罩幕圖案後,進行一製程(例如熱氧化物成長法),將第二絕緣襯層220順應性地形成於第二溝槽218的側壁221及下表面219。Next, after the mask pattern is removed, a process (for example, thermal oxide growth method) is performed, and the second insulating liner 220 is conformally formed on the sidewall 221 and the lower surface 219 of the second trench 218.

請參照第5圖,從每一第二溝槽218的相對的兩側壁221進行一摻雜製程216(例如傾斜離子佈植製程),對磊晶層202摻雜具有相對於第一導電型的第二導電型的第三摻雜物,以形成第三摻雜區222。如第5圖所示,第三摻雜區222大致上圍繞第二溝槽218。第三摻雜區222的深度(例如磊晶層202的上表面203與第三摻雜區222的下表面213之間的距離)可大致上大於第二溝槽218的深度(例如磊晶層202的上表面203與第二溝槽218的下表面219之間的 距離)。因此,第二溝槽218的下表面219可位於第三摻雜區222內。Referring to FIG. 5, a doping process 216 (eg, a tilt ion implantation process) is performed from the opposite sidewalls 221 of each of the second trenches 218, and the epitaxial layer 202 is doped with respect to the first conductivity type. The third dopant of the second conductivity type forms a third doping region 222. As shown in FIG. 5, the third doped region 222 substantially surrounds the second trench 218. The depth of the third doped region 222 (eg, the distance between the upper surface 203 of the epitaxial layer 202 and the lower surface 213 of the third doped region 222) may be substantially greater than the depth of the second trench 218 (eg, an epitaxial layer) Between the upper surface 203 of the 202 and the lower surface 219 of the second trench 218 distance). Accordingly, the lower surface 219 of the second trench 218 can be located within the third doped region 222.

同樣地,摻雜製程216的傾斜角度θ2主要取決於第二溝槽218的寬度及深度。例如,摻雜製程216的傾斜角度θ2可為1°至10°的範圍。在一實施例中,在進行摻雜製程216後,可在第三摻雜區222內進行一擴散製程(例如快速熱退火製程),以活化其中的摻雜物。擴散製程的製程溫度可為800℃至1500℃的範圍,使得第三摻雜物可均勻地分佈於第三摻雜區222內。Likewise, the tilt angle θ2 of the doping process 216 is primarily dependent on the width and depth of the second trench 218. For example, the tilt angle θ2 of the doping process 216 may range from 1° to 10°. In one embodiment, after the doping process 216 is performed, a diffusion process (eg, a rapid thermal annealing process) may be performed in the third doped region 222 to activate dopants therein. The process temperature of the diffusion process may range from 800 ° C to 1500 ° C such that the third dopant may be uniformly distributed within the third doped region 222 .

在一實施例中,第三摻雜物可包括硼、氟化硼(BF2)、銦或其組合。此外,第三摻雜區222的摻雜濃度可大於磊晶層202且小於半導體基板200的摻雜濃度。需注意的是可改變第三摻雜物的劑量,以配合第一摻雜物及第二摻雜物的總劑量,因而可達到在第一溝槽204及第二溝槽218之間的磊晶層202及半導體基板200內的電荷平衡。In an embodiment, the third dopant may include boron, boron fluoride (BF2), indium, or a combination thereof. In addition, the doping concentration of the third doping region 222 may be greater than the epitaxial layer 202 and less than the doping concentration of the semiconductor substrate 200. It should be noted that the dose of the third dopant can be changed to match the total dose of the first dopant and the second dopant, thereby achieving the Lei between the first trench 204 and the second trench 218. The charge in the crystal layer 202 and the semiconductor substrate 200 is balanced.

請參照第6圖,透過相似或相同於填充每一第一溝槽204的製程,將第二絕緣材料230填充於每一第二溝槽218。接著,進行平坦化製程(例如化學機械研磨製程),以去除磊晶層202的上表面203上多餘的第二絕緣材料230。此外,在進行平坦化製程後,第二絕緣材料230的上表面大致上對準於磊晶層202的上表面203。在進行上述製程後,便形成包括第一摻雜區210、第二摻雜區310及第三摻雜區222的超接面結構250,其中每一第一摻雜區210或第二摻雜區310相鄰於第三摻雜區222中的至少一者。Referring to FIG. 6, a second insulating material 230 is filled in each of the second trenches 218 by a process similar or identical to filling each of the first trenches 204. Next, a planarization process (eg, a chemical mechanical polishing process) is performed to remove excess second insulating material 230 on the upper surface 203 of the epitaxial layer 202. In addition, the upper surface of the second insulating material 230 is substantially aligned with the upper surface 203 of the epitaxial layer 202 after the planarization process. After performing the above process, a super junction structure 250 including a first doping region 210, a second doping region 310, and a third doping region 222 is formed, wherein each of the first doping regions 210 or the second doping The region 310 is adjacent to at least one of the third doping regions 222.

複數閘極結構228對應地形成於複數第一溝槽204 上。每一閘極結構228可包括閘極氧化層224及上方的閘極層226。在一實施例中,可透過熱氧化製程或其他習知的沉積製程(例如化學氣相沉積製程或原子層沉積製程(atomic layer deposition,ALD))形成閘極氧化層224。再者,可透過習知的沉積製程(例如物理氣相沉積製程(physical vapor deposition,PVD)、化學氣相沉積製程、原子層沉積製程、濺鍍製程或塗佈製程)形成閘極層226。在一實施例中,每一閘極結構228分別覆蓋一第一溝槽204及相鄰於被覆蓋的第一溝槽204的磊晶層202的一部分,使得透過閘極結構228暴露出第二溝槽218。The plurality of gate structures 228 are correspondingly formed in the plurality of first trenches 204 on. Each gate structure 228 can include a gate oxide layer 224 and an upper gate layer 226. In one embodiment, the gate oxide layer 224 can be formed by a thermal oxidation process or other conventional deposition processes such as chemical vapor deposition processes or atomic layer deposition (ALD). Furthermore, the gate layer 226 can be formed by a conventional deposition process such as a physical vapor deposition (PVD), a chemical vapor deposition process, an atomic layer deposition process, a sputtering process, or a coating process. In one embodiment, each of the gate structures 228 respectively covers a first trench 204 and a portion of the epitaxial layer 202 adjacent to the covered first trench 204 such that the second via the gate structure 228 is exposed. Trench 218.

接著,以閘極結構228作為罩幕層,在磊晶層202內進行摻雜製程(例如離子佈植製程),以於位於每一第二溝槽218兩側上的磊晶層202的主動區300內形成具有第二導電型的一對井區232,使得該對井區232分別位於相鄰的兩閘極結構228之間。再者,該對井區232位於第三摻雜區222上方。Next, using the gate structure 228 as a mask layer, a doping process (eg, an ion implantation process) is performed in the epitaxial layer 202 to actively act on the epitaxial layer 202 on both sides of each of the second trenches 218. A pair of well regions 232 having a second conductivity type are formed within region 300 such that the pair of well regions 232 are respectively located between adjacent two gate structures 228. Furthermore, the pair of well regions 232 are located above the third doped region 222.

接著,以閘極結構228作為罩幕層,進行額外的摻雜製程(例如離子佈植製程),以於該對井區232內對應地形成具有第一導電型的一對源極區234(例如一對N型重摻雜區)。該對源極區234分別相鄰於對應的閘極結構228的一側。此外,第一摻雜區210及第三摻雜區222之間的界面位置可根據半導體裝置特性的需要作改變。Next, using the gate structure 228 as a mask layer, an additional doping process (eg, an ion implantation process) is performed to form a pair of source regions 234 having the first conductivity type correspondingly in the pair of well regions 232 ( For example, a pair of N-type heavily doped regions). The pair of source regions 234 are adjacent to one side of the corresponding gate structure 228, respectively. In addition, the interface position between the first doping region 210 and the third doping region 222 may be changed according to the needs of the characteristics of the semiconductor device.

在一部分的磊晶層202內進行摻雜製程,以於其形成具有第二導電型的一對接點摻雜區240中。如第6圖所示,該對接點摻雜區240形成於該對井區232內,且每一接點 摻雜區240相鄰於對應的源極區234。A doping process is performed in a portion of the epitaxial layer 202 to form a pair of contact doped regions 240 having a second conductivity type. As shown in FIG. 6, the butt doping region 240 is formed in the pair of well regions 232, and each contact Doped region 240 is adjacent to corresponding source region 234.

請參照第7圖,其中內層介電層236可形成於磊晶層202上,且覆蓋複數閘極結構228,例如透過化學氣相沉積製程。接著,在內層介電層236上形成圖案化光阻層(未繪示),以定義接觸孔洞。接著,進行非等向性蝕刻製程,以去除內層介電層236的一部分,然後在每一第二溝槽218上方形成一接觸孔洞238。Referring to FIG. 7, an inner dielectric layer 236 may be formed on the epitaxial layer 202 and cover the plurality of gate structures 228, such as by a chemical vapor deposition process. Next, a patterned photoresist layer (not shown) is formed on the inner dielectric layer 236 to define contact holes. Next, an anisotropic etching process is performed to remove a portion of the inner dielectric layer 236, and then a contact hole 238 is formed over each of the second trenches 218.

接著,透過沉積製程(例如濺鍍製程),將一導電層形成於內層介電層236上且填充每一接觸孔洞238,以在每一接觸孔洞238內形成一接觸插塞242。在進行上述製程後,便形成具有超接面結構250(例如垂直擴散金屬氧化物半導體場效電晶體)的半導體裝置500。半導體裝置500的製作方法中,係以N型垂直擴散金屬氧化物半導體場效電晶體作為本實施例。另外,也可交換上述的第一及第二導電型,以製作P型垂直擴散金屬氧化物半導體場效電晶體。Next, a conductive layer is formed on the inner dielectric layer 236 and fills each contact hole 238 through a deposition process (eg, a sputtering process) to form a contact plug 242 in each contact hole 238. After the above process is performed, a semiconductor device 500 having a super junction structure 250 (e.g., a vertically diffused metal oxide semiconductor field effect transistor) is formed. In the method of fabricating the semiconductor device 500, an N-type vertical diffusion metal oxide semiconductor field effect transistor is used as the present embodiment. Alternatively, the first and second conductivity types described above may be exchanged to form a P-type vertical diffusion metal oxide semiconductor field effect transistor.

與習知技術相比,透過控制N型摻雜區及P型摻雜區的摻雜濃度,超接面結構250可達到改善電荷平衡的效果。N型磊晶層的摻雜濃度可根據半導體裝置的設計作改變。此外,超接面結構250的製造方法中不需要額外的磊晶成長製程,因此,可降低製造成本。與習知技術相比,以超接面結構250製造出的半導體裝置可具有較小的尺寸。By controlling the doping concentration of the N-type doped region and the P-type doped region, the super junction structure 250 can achieve an effect of improving charge balance as compared with the prior art. The doping concentration of the N-type epitaxial layer may vary depending on the design of the semiconductor device. In addition, an additional epitaxial growth process is not required in the manufacturing method of the super junction structure 250, and therefore, the manufacturing cost can be reduced. The semiconductor device fabricated in the super junction structure 250 can have a smaller size than conventional techniques.

在上述實施例中,透過形成含摻雜物且具有不同擴散係數的第一摻雜區210及第二摻雜區310及以退火製程(例如快速熱退火製程)活化摻雜物,可降低導通電阻(Ron), 且增加崩潰電壓(VB)。此外,透過以第一摻雜物摻雜磊晶層202(如第1至7圖所述的方法)而形成的第一摻雜區210,可改善磊晶層202內的電荷平衡,其可增加崩潰電壓(VB)。再者,由於透過以第二摻雜物摻雜磊晶層202(如第1至7圖所述的方法)而形成第二摻雜區310,使得第二摻雜區310具有較小的導通電阻(Ron),因此可有助於導引電流流過半導體裝置500。例如,請參照第7圖,第二摻雜區310可有助於導引從接觸插塞242流向源極區234及井區232的電流(未繪示),大致上沿著磊晶層202及半導體基板200內的第一溝槽204的側壁流動而流過磊晶層202及半導體基板200。因此,可驅使更多電流流過半導體裝置500,以產生高飽和電流。In the above embodiment, the conduction is reduced by forming the first doping region 210 and the second doping region 310 containing dopants and having different diffusion coefficients and activating the dopant by an annealing process (for example, a rapid thermal annealing process). Resistance (Ron), And increase the breakdown voltage (VB). In addition, the charge balance in the epitaxial layer 202 can be improved by the first doped region 210 formed by doping the epitaxial layer 202 with the first dopant (as described in FIGS. 1 to 7). Increase the breakdown voltage (VB). Furthermore, since the second doping region 310 is formed by doping the epitaxial layer 202 with the second dopant (as described in FIGS. 1 to 7), the second doping region 310 has a small conduction. The resistor (Ron) thus helps to direct current through the semiconductor device 500. For example, referring to FIG. 7, the second doping region 310 can help direct current (not shown) from the contact plug 242 to the source region 234 and the well region 232, substantially along the epitaxial layer 202. The sidewall of the first trench 204 in the semiconductor substrate 200 flows and flows through the epitaxial layer 202 and the semiconductor substrate 200. Therefore, more current can be driven to flow through the semiconductor device 500 to generate a high saturation current.

雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,當可作各種潤飾與等效更動。因此,依本發明申請專利範圍及發明說明內容所作之等效變化與修飾,皆仍屬本發明專利涵蓋之範圍內。While the invention has been described above in terms of a preferred embodiment, it is not intended to limit the invention, and any of ordinary skill in the art can be used in various modifications and equivalents. Therefore, equivalent changes and modifications made in the scope of the invention and the description of the invention are still within the scope of the invention.

200‧‧‧半導體基板200‧‧‧Semiconductor substrate

202‧‧‧磊晶層202‧‧‧ epitaxial layer

203、213‧‧‧上表面203, 213‧‧‧ upper surface

204‧‧‧第一溝槽204‧‧‧First trench

205、209、211、219、229‧‧‧下表面205, 209, 211, 219, 229‧‧‧ lower surface

206‧‧‧第一絕緣襯層206‧‧‧First insulating lining

207、221‧‧‧側壁207, 221‧‧‧ side wall

208、216‧‧‧摻雜製程208, 216‧‧‧ doping process

210‧‧‧第一摻雜區210‧‧‧First doped area

212‧‧‧第一絕緣材料212‧‧‧First insulation material

218‧‧‧第二溝槽218‧‧‧Second trench

220‧‧‧第二絕緣襯層220‧‧‧Second insulation lining

222‧‧‧第三摻雜區222‧‧‧ Third doped area

224‧‧‧閘極氧化層224‧‧ ‧ gate oxide layer

226‧‧‧閘極層226‧‧ ‧ gate layer

228‧‧‧閘極結構228‧‧ ‧ gate structure

230‧‧‧第二絕緣材料230‧‧‧Second insulation

232‧‧‧井區232‧‧‧ Well Area

234‧‧‧源極區234‧‧‧ source area

236‧‧‧內層介電層236‧‧‧ Inner dielectric layer

238‧‧‧接觸孔洞238‧‧‧Contact hole

240‧‧‧接點摻雜區240‧‧‧Contact Doping Area

242‧‧‧接觸插塞242‧‧‧Contact plug

250‧‧‧超接面結構250‧‧‧Super junction structure

300‧‧‧主動區300‧‧‧active area

302‧‧‧終端區302‧‧‧ Terminal Area

310‧‧‧第二摻雜區310‧‧‧Second doped area

500‧‧‧半導體裝置500‧‧‧Semiconductor device

θ1、θ2‧‧‧傾斜角度Θ1, θ2‧‧‧ tilt angle

第1至7圖係繪示出根據本發明實施例之半導體裝置的製造方法的剖面示意圖。1 to 7 are schematic cross-sectional views showing a method of fabricating a semiconductor device in accordance with an embodiment of the present invention.

200‧‧‧半導體基板200‧‧‧Semiconductor substrate

202‧‧‧磊晶層202‧‧‧ epitaxial layer

203‧‧‧上表面203‧‧‧ upper surface

204‧‧‧第一溝槽204‧‧‧First trench

205、209、211、219、229‧‧‧下表面205, 209, 211, 219, 229‧‧‧ lower surface

206‧‧‧第一絕緣襯層206‧‧‧First insulating lining

207、221‧‧‧側壁207, 221‧‧‧ side wall

210‧‧‧第一摻雜區210‧‧‧First doped area

212‧‧‧第一絕緣材料212‧‧‧First insulation material

218‧‧‧第二溝槽218‧‧‧Second trench

220‧‧‧第二絕緣襯層220‧‧‧Second insulation lining

222‧‧‧第三摻雜區222‧‧‧ Third doped area

224‧‧‧閘極氧化層224‧‧ ‧ gate oxide layer

226‧‧‧閘極層226‧‧ ‧ gate layer

228‧‧‧閘極結構228‧‧ ‧ gate structure

230‧‧‧第二絕緣材料230‧‧‧Second insulation

232‧‧‧井區232‧‧‧ Well Area

234‧‧‧源極區234‧‧‧ source area

236‧‧‧內層介電層236‧‧‧ Inner dielectric layer

238‧‧‧接觸孔洞238‧‧‧Contact hole

240‧‧‧接點摻雜區240‧‧‧Contact Doping Area

242‧‧‧接觸插塞242‧‧‧Contact plug

250‧‧‧超接面結構250‧‧‧Super junction structure

300‧‧‧主動區300‧‧‧active area

302‧‧‧終端區302‧‧‧ Terminal Area

310‧‧‧第二摻雜區310‧‧‧Second doped area

500‧‧‧半導體裝置500‧‧‧Semiconductor device

Claims (17)

一種半導體裝置的製造方法,包括:提供具有一第一導電型的一半導體基板;在該半導體基板上形成具有該第一導電型的一磊晶層;在該磊晶層內形成複數第一溝槽;在該磊晶層內形成具有該第一導電型的一第一摻雜區,且圍繞每一第一溝槽;在每一第一摻雜區內形成具有該第一導電型的一第二摻雜區,且鄰近每一第一溝槽;以一第一絕緣材料填充每一第一溝槽;在該磊晶層內形成與該等第一溝槽交替排置的複數第二溝槽;在該磊晶層內形成具有一第二導電型的一第三摻雜區,且圍繞每一第二溝槽;以及以一第二絕緣材料填充每一第二溝槽;其中每一第一摻雜區包括一第一摻雜物及每一第二摻雜區包括一第二摻雜物,且該第一摻雜物的擴散係數大於該第二摻雜物的擴散係數。A method of fabricating a semiconductor device, comprising: providing a semiconductor substrate having a first conductivity type; forming an epitaxial layer having the first conductivity type on the semiconductor substrate; forming a plurality of first trenches in the epitaxial layer Forming a first doped region having the first conductivity type in the epitaxial layer and surrounding each of the first trenches; forming a first conductivity type in each of the first doping regions a second doped region adjacent to each of the first trenches; each of the first trenches is filled with a first insulating material; and a plurality of second regions alternately arranged with the first trenches are formed in the epitaxial layer a trench; a third doped region having a second conductivity type formed in the epitaxial layer and surrounding each second trench; and each second trench filled with a second insulating material; wherein each A first doped region includes a first dopant and each of the second doped regions includes a second dopant, and a diffusion coefficient of the first dopant is greater than a diffusion coefficient of the second dopant. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該第一導電型為N型且該第二導電型為P型,或該第一導電型為P型且該第二導電型為N型。The method of manufacturing a semiconductor device according to claim 1, wherein the first conductivity type is N type and the second conductivity type is P type, or the first conductivity type is P type and the second conductivity type It is N type. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該半導體基板的摻雜濃度大於該磊晶層的摻雜濃度。The method of fabricating a semiconductor device according to claim 1, wherein a doping concentration of the semiconductor substrate is greater than a doping concentration of the epitaxial layer. 如申請專利範圍第1項所述之半導體裝置的製造方法,更包括:在每一第一摻雜區內進行一第一擴散製程;以及在每一第三摻雜區內進行一第二擴散製程。The method for fabricating a semiconductor device according to claim 1, further comprising: performing a first diffusion process in each of the first doping regions; and performing a second diffusion in each of the third doping regions Process. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該等第一溝槽及該等第二溝槽的下表面位於該半導體基板內。The method of fabricating a semiconductor device according to claim 1, wherein the lower surfaces of the first trenches and the second trenches are located in the semiconductor substrate. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中每一第一絕緣材料及每一第二絕緣材料包括氧化物或非摻雜多晶矽。The method of fabricating a semiconductor device according to claim 1, wherein each of the first insulating material and each of the second insulating materials comprises an oxide or a non-doped polysilicon. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中每一第一摻雜區相鄰於該等第三摻雜區中至少一者。The method of fabricating a semiconductor device according to claim 1, wherein each of the first doped regions is adjacent to at least one of the third doped regions. 如申請專利範圍第1項所述之半導體裝置的製造方法,更包括:在該等第一溝槽上對應地形成複數閘極結構,其中每一閘極結構包括一閘極氧化層及上方的一閘極層;在該磊晶層內的每一第二溝槽的兩側上形成具有該第二導電型的一對井區;以及在該對井區內對應地形成具有該第一導電型的一對源極區。The method for fabricating a semiconductor device according to claim 1, further comprising: forming a plurality of gate structures correspondingly on the first trenches, wherein each gate structure comprises a gate oxide layer and an upper portion a gate layer; a pair of well regions having the second conductivity type formed on both sides of each of the second trenches in the epitaxial layer; and correspondingly formed in the pair of well regions A pair of source regions. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該第一摻雜物為磷且該第二摻雜物為砷。The method of fabricating a semiconductor device according to claim 1, wherein the first dopant is phosphorus and the second dopant is arsenic. 一種半導體裝置,包括:一半導體基板,具有一第一導電型; 一磊晶層,具有該第一導電型,設置於該半導體基板上;複數第一溝槽,填入一第一絕緣材料,設置於該磊晶層內;一第一摻雜區,具有該第一導電型,設置於該磊晶層內及圍繞每一第一溝槽;一第二摻雜區,具有該第一導電型,設置於每一第一摻雜區內及相鄰於每一第一溝槽;複數第二溝槽,填入一第二絕緣材料,與該等第一溝槽交替排置於該磊晶層內;以及一第三摻雜區,具有一第二導電型,設置於該磊晶層內及圍繞每一第二溝槽;其中每一第一摻雜區包括一第一摻雜物及每一第二摻雜區包括一第二摻雜物,且該第一摻雜物的擴散係數大於該第二摻雜物的擴散係數。A semiconductor device comprising: a semiconductor substrate having a first conductivity type; An epitaxial layer having the first conductivity type disposed on the semiconductor substrate; a plurality of first trenches filled with a first insulating material disposed in the epitaxial layer; and a first doped region having the a first conductivity type disposed in the epitaxial layer and surrounding each of the first trenches; a second doped region having the first conductivity type disposed in each of the first doping regions and adjacent to each a first trench; a plurality of second trenches filled with a second insulating material, and the first trenches are alternately arranged in the epitaxial layer; and a third doped region having a second conductive region And disposed in the epitaxial layer and surrounding each of the second trenches; wherein each of the first doped regions includes a first dopant and each of the second doped regions includes a second dopant, and The diffusion coefficient of the first dopant is greater than the diffusion coefficient of the second dopant. 如申請專利範圍第10項所述之半導體裝置,其中該第一導電型為N型且該第二導電型為P型,或該第一導電型為P型且該第二導電型為N型。The semiconductor device of claim 10, wherein the first conductivity type is N-type and the second conductivity type is P-type, or the first conductivity type is P-type and the second conductivity type is N-type . 如申請專利範圍第10項所述之半導體裝置,其中該半導體基板的摻雜濃度大於該磊晶層的摻雜濃度。The semiconductor device of claim 10, wherein the semiconductor substrate has a doping concentration greater than a doping concentration of the epitaxial layer. 如申請專利範圍第10項所述之半導體裝置,其中該等第一溝槽及該等第二溝槽的下表面位於該半導體基板內。The semiconductor device of claim 10, wherein the lower surfaces of the first trenches and the second trenches are located in the semiconductor substrate. 如申請專利範圍第10項所述之半導體裝置,其中每一第一絕緣材料及每一第二絕緣材料包括氧化物或非摻 雜多晶矽。The semiconductor device of claim 10, wherein each of the first insulating material and each of the second insulating materials comprises an oxide or a non-doped Heteropolycrystalline germanium. 如申請專利範圍第10項所述之半導體裝置,其中每一第一摻雜區相鄰於該等第三摻雜區中至少一者。The semiconductor device of claim 10, wherein each of the first doped regions is adjacent to at least one of the third doped regions. 如申請專利範圍第10項所述之半導體裝置,更包括:複數閘極結構,對應地設置於該等第一溝槽上,其中每一閘極結構包括一閘極氧化層及上方的一閘極層;一對井區,具有該第二導電型,設置於該磊晶層內的每一第二溝槽的兩側上;以及一對源極區,具有該第一導電型,對應地設置於該對井區內。The semiconductor device of claim 10, further comprising: a plurality of gate structures correspondingly disposed on the first trenches, wherein each gate structure comprises a gate oxide layer and a gate above a pair of well regions having the second conductivity type disposed on both sides of each of the second trenches in the epitaxial layer; and a pair of source regions having the first conductivity type, correspondingly Set in the pair of well areas. 如申請專利範圍第10項所述之半導體裝置,其中該第一摻雜物為磷且該第二摻雜物為砷。The semiconductor device of claim 10, wherein the first dopant is phosphorus and the second dopant is arsenic.
TW101136801A 2012-10-05 2012-10-05 Semiconductor device and methods for forming the same TWI463666B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW101136801A TWI463666B (en) 2012-10-05 2012-10-05 Semiconductor device and methods for forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW101136801A TWI463666B (en) 2012-10-05 2012-10-05 Semiconductor device and methods for forming the same

Publications (2)

Publication Number Publication Date
TW201415629A TW201415629A (en) 2014-04-16
TWI463666B true TWI463666B (en) 2014-12-01

Family

ID=52575737

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101136801A TWI463666B (en) 2012-10-05 2012-10-05 Semiconductor device and methods for forming the same

Country Status (1)

Country Link
TW (1) TWI463666B (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050006699A1 (en) * 2003-05-13 2005-01-13 Kabushiki Kaisha Toshiba Semiconductor device and its manufacturing method
US6987299B2 (en) * 2001-09-07 2006-01-17 Power Integrations, Inc. High-voltage lateral transistor with a multi-layered extended drain structure
US7355257B2 (en) * 2005-03-08 2008-04-08 Fuji Electric Holdings Co., Ltd. Semiconductor superjunction device
US7777316B2 (en) * 2007-09-27 2010-08-17 Sanyo Electric Co., Ltd. Semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6987299B2 (en) * 2001-09-07 2006-01-17 Power Integrations, Inc. High-voltage lateral transistor with a multi-layered extended drain structure
US20050006699A1 (en) * 2003-05-13 2005-01-13 Kabushiki Kaisha Toshiba Semiconductor device and its manufacturing method
US7355257B2 (en) * 2005-03-08 2008-04-08 Fuji Electric Holdings Co., Ltd. Semiconductor superjunction device
US7777316B2 (en) * 2007-09-27 2010-08-17 Sanyo Electric Co., Ltd. Semiconductor device

Also Published As

Publication number Publication date
TW201415629A (en) 2014-04-16

Similar Documents

Publication Publication Date Title
JP5551213B2 (en) Manufacturing method of semiconductor device
TWI478336B (en) Resurf structure and ldmos device
JP5622793B2 (en) Semiconductor device and manufacturing method thereof
JP5607109B2 (en) Semiconductor device and manufacturing method thereof
US9691604B2 (en) LDMOS transistor and fabrication method thereof
US7595242B2 (en) Method of manufacturing a superjunction power MOSFET with self-aligned trench gate
JP5298565B2 (en) Semiconductor device and manufacturing method thereof
US8629020B2 (en) Semiconductor device and method of fabricating the same
JP2008546216A (en) Charge balanced field effect transistor
TWI407564B (en) Power semiconductor with trench bottom poly and fabrication method thereof
JP2014135494A (en) Semiconductor element having dual parallel channel structure and method of manufacturing the same
US9000516B2 (en) Super-junction device and method of forming the same
US8642427B1 (en) Semiconductor device and method for fabricating the same
TW201606857A (en) Method of manufacturing semiconductor device
US9099435B2 (en) Method of manufacturing semiconductor device
US9666485B2 (en) Method for forming semiconductor device having super-junction structures
JP2024032949A (en) Manufacturing method of semiconductor device
JP2017054958A (en) Semiconductor device
TWI567977B (en) Metal oxide semiconductor field effect transistor and method of fabricating the same
TW201814904A (en) Double diffused metal oxide semiconductor device and manufacturing method thereof
TWI463666B (en) Semiconductor device and methods for forming the same
US8633072B2 (en) Method of manufacturing semiconductor device
US9496357B2 (en) Semiconductor device
US20140124853A1 (en) Semiconductor device with reduced miller capacitance and fabrication method thereof
TWI506705B (en) Semiconductor device and methods for forming the same