TWI447415B - 用於可組態掃描架構的測試設計優化器 - Google Patents
用於可組態掃描架構的測試設計優化器 Download PDFInfo
- Publication number
- TWI447415B TWI447415B TW098114423A TW98114423A TWI447415B TW I447415 B TWI447415 B TW I447415B TW 098114423 A TW098114423 A TW 098114423A TW 98114423 A TW98114423 A TW 98114423A TW I447415 B TWI447415 B TW I447415B
- Authority
- TW
- Taiwan
- Prior art keywords
- test
- design
- candidate
- vectors
- scan
- Prior art date
Links
Classifications
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/317—Testing of digital circuits
- G01R31/3181—Functional testing
- G01R31/3185—Reconfiguring for testing, e.g. LSSD, partitioning
- G01R31/318533—Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
- G01R31/318544—Scanning methods, algorithms and patterns
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/317—Testing of digital circuits
- G01R31/3181—Functional testing
- G01R31/3185—Reconfiguring for testing, e.g. LSSD, partitioning
- G01R31/318533—Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
- G01R31/318583—Design for test
Landscapes
- Engineering & Computer Science (AREA)
- General Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Tests Of Electronic Circuits (AREA)
- Semiconductor Integrated Circuits (AREA)
- Test And Diagnosis Of Digital Computers (AREA)
Description
本發明係關於積體電路之掃描鏈測試架構,特別關於視電路設計而定之測試架構的最佳化。
積體電路(IC)之愈大及愈複雜的邏輯設計導致需要更複雜的設計,以確保這些IC的無故障性能。此測試可以代表IC設計、製造、及服務的重要部份。在簡單的模型中,IC的測試可以包含施加多個測試樣本至電路的輸入及監視其輸出以偵測故障的發生。故障涵蓋率意指偵測潛在故障範圍中每一故障時測試樣本的功效。如此,假使樣本測試能夠實質上偵測每一潛在故障,則取得接近100%的故障涵蓋率。
為了便於更佳的故障涵蓋率以及使測試成本最小,使用DFT(用於測試的設計)。在一DFT技術中,可以使用邏輯設計中的結構。具體而言,實施於IC中的邏輯設計一般包含多個狀態暫存器,舉例而言,例如正反器或佇鎖器等序列儲存元件。這些狀態暫存器可以連接成具有根據設計而經過計算的長度之掃描鏈。在一實施例中,設計中的所有狀態暫存器是可以掃描的,亦即,每一狀態暫存器是在掃描鏈中。掃描鏈中的狀態暫存器典型上稱為掃描胞。在DFT中,每一掃描鏈包含掃描輸入接腳(此處也稱為掃描輸入)以及掃描輸出接腳,作為掃描模式期間之控制及觀測節點。
以預定邏輯訊號時脈計時通過掃描胞,可以使掃描鏈載有測試樣本。如此,假使每一掃描鏈包含500個掃描胞時,則使用500個時脈循環以完成負載處理。注意,為了簡單起見,此處所述的某些實施例具有均等長度的掃描鏈。在真正的實施例中,DFT嘗試產生但不常達成此目的。如此,在真正的實施例中,軟體可以補償不同的掃描鏈長度,藉以確保來自每一樣本的輸出被確認及因而被分析。習於此技藝者知道此技術,因此,於此不再詳述。
典型地,設計愈複雜,則設計中包含愈多的正反器。不幸地,相較於具有相對少的可作為掃描鏈的端子之設計輸入及輸出,每一掃描鏈的正反器的數目大幅增加。結果,操作掃描鏈所需的時間(此處稱為測試應用時間)大幅地增加。
圖1顯示用於序列電路之典型邏輯設計的有關部份。其包含結合的邏輯110及一些狀態暫存器112-0、112-1、112-2、及112-3(總稱112)。如同此處所使用般,「結合邏輯」包含直接連接,以致於經過結合邏輯110之邏輯路徑包含僅為佈線之邏輯,而無任何發生於它們載送的邏輯訊號中之改變。僅有四個狀態暫存器顯示於圖1中,但是,很多設計具有數以千計或百萬計的狀態暫存器。一些主要的邏輯輸入PI0、PI1及PI2提供給結合邏輯110,一些狀態暫存器輸出Q0、Q1、Q2及Q3也提供給結合邏輯110。結合邏輯110的輸出包含主輸出PO0、PO1及PO2、以及提供給狀態暫存器112的下一狀態輸入D0、D1、D2及D3。雖然圖1的顯示一般未標示積體電路晶片上的元件的實體定位,但是所有同步電路設計可以如同所示般繪製。
圖1的顯示也將狀態暫存器112組織成二個掃描鏈114-0及114-1(總稱114)。掃描鏈114-0包含狀態暫存器112-0及112-1,而掃描鏈114-1包含狀態暫存器112-2及112-3。在掃描鏈114-0中可見狀態暫存器112-0具有連接至掃描鏈114-0的掃描輸入SI0之分別的掃描輸入、以及狀態暫存器112-1具有連接至狀態暫存器112-0的輸出Q0之分別的掃描輸入。狀態暫存器112-1的輸出Q1除了連接至結合邏輯110之外,也提供給掃描鏈114-0的掃描輸出SO0。類似地,在掃描鏈114-1中可見狀態暫存器112-2具有連接至掃描鏈114-1的掃描輸入SI1之分別的掃描輸入、以及狀態暫存器112-3具有連接至狀態暫存器112-2的輸出Q2之分別的掃描輸入。狀態暫存器112-3的輸出Q3除了連接至結合邏輯110之外,也提供給掃描鏈114-1的掃描輸出SO1。典型地,多於二個狀態暫存器包含於每一掃描鏈中,但是,為了使顯示簡明,在圖1中的每一掃描鏈中僅顯示二個。
裝置設計成以二模式中的任一模式可選擇地操作,此處,有時稱為操作模式及掃描模式。在操作模式中,從結合邏輯110的輸出D0-D3取得用於狀態暫存器112之下一狀態資料。在本模式中,掃描鏈是不致動的。在掃描模式中,從各別狀態暫存器的掃描輸入,取得用於狀態暫存器112的下一狀態資料。
圖2是圖1的設計的另一部份視圖,包含二個狀態暫存器112-0及112-1、以及部份結合邏輯110。在每一狀態暫存器112之內,在對正反器223的D輸入處插入多工器222,以在來自結合邏輯110的各別D輸入與來自SI0的掃描輸入或來自掃描鏈中先前的狀態暫存器112的掃描輸入之間選擇。從掃描鏈中的先前元件的暫存器輸至多工器222輸入的連接、以及對例如SI0和SO0等掃描輸入及輸出接腳之連接,在圖2中總體地以224表示。使用掃描模式(亦即,控制)訊號,將多工器222配置成允許掃描進入的值移入正反器223而不會通過結合邏輯110。假使裝置處於操作模式中,則施加至正反器223的時脈(CLK)端之脈衝將捕捉結合邏輯110輸出的值,或是,假使裝置處於掃描模式中,則施加至正反器223的時脈(CLK)端之脈衝將值從掃描輸SI0移入掃描鏈中。在其將值從掃描輸入SI0移入掃描鏈之同時,其也將目前存在於狀態暫存器112中的值經由掃描輸出SO0移出。「實施」掃描鏈的處理之一部份涉及以暫存器/多工器結合來取代電路設計中例如D正反器223等暫存器,以及,增加掃描鏈互連224。
圖3顯示用於處理待測的特定裝置之單一掃描測試樣本之標準流程。在流程300中,步驟301將裝置設定在掃描模式中。步驟302將掃描進入的值移入致動的掃描鏈。步驟303離開掃描模式,使裝置返回至操作模式。步驟304將增加的刺激值施加至測試電路輸入PI0-P12。如同此處所使用般,刺激值包含施加至主輸入PI0-P12的值、以及移入掃描鏈中的值。此處,用於特定測試迭代的刺激值有時也稱為測試樣本或測試向量。步驟305供應時計脈衝以捕捉狀態暫存器112中受測的裝置的響應。步驟306將裝置再度設於掃描模式中,以及,步驟307將掃描出來的值從主動掃描鏈移出。步驟308將裝置再度設定在操作模式中。裝置對測試刺激的響應由外部設備處理以偵測受測的裝置中的故障,此響應包含步驟307中掃描出來的值以及主輸出PO0-PO2上監視而得的值。
值得注意地,步驟301、303-306、及308在測試器上僅取一時脈週期。但是,例如步驟302和307等每一偏移操作採取與最長的掃描鏈一樣多的時脈週期。在複雜的設計中,包含百萬個以上的正反器。假定僅可提供10個掃描鏈,每一個掃描鏈接著具有100,100(1,000,000/10)正反器,因而需要100,000時脈循環以處理單一掃描測試樣式。因此,不論任何由重疊相鄰的測試圖之掃描操作取得的最佳化為何,測試應用時間以掃描操作為主。
可以使用可決定的自動測試樣本產生(ATPG)以產生用於測試根據特定電路設計而製造的裝置之測試樣本組。在操作上,ATPG通常分析電路設計及辨識完整的潛在「故障」組,接著嘗試產生用於最大的潛在故障組之測試所需的最小測試圖案組。理想地,故障涵蓋率接近100%,但是,在複雜的電路設計,對於要施加大量的樣本作為刺激以及對於用於每一測試樣本的預期的響應值,這在測試應用設備中將需要顯著的儲存區域。ATPG軟體使用單一測試樣本通常可以結合用於多個故障的測試,但是,所需的測試圖案數目仍然很大。
某些習知的測試架構利用一觀測,此觀測係典型上測試樣本中僅有有限數目的位置需要設定以偵測任何特定的故障。對於典型的測試圖案,僅需2%的刺激值。對於測試圖案的其餘部份,施加的值對於偵測該故障的處理未造成差異。在設計測試樣本時之標誌速記中,未扮演任何角色之測試樣本中的位置稱為「忽略」位置,通常以邏輯X而非0或1表示。
在某些較新的架構中,每一裝置掃描輸入連接至一些掃描鏈輸入。在具有N個掃描鏈及m個裝置掃描輸入的設計中,每一掃描進入值提供給N/m掃描鏈。共用的掃描進入值因而允許很多比傳統的掃描架構更短的掃描鏈。依此方式,裝置中的狀態暫存器可以組織成比可作為裝置掃描輸入之裝置輸入數目更大數目的平行掃描鏈。藉由4路共用,舉例而言,每一裝置的測試時間可以降低約4倍。
但是,當使用此掃描架構時,必須小心地選擇測試向量以避衝突。當測試向量指定一值施加至眾多掃描鏈之一中的一位置處的狀態暫存器時,以及,指定相反值被施加至共用相同裝置掃描輸入的眾多掃描鏈中不同之一的相同位置處的狀態暫存器時,會發生衝突。藉由例如重新設計測試樣本(以致於在掃描鏈的每一特定位置,共同值或忽略出現在共用相同裝置輸入的所有掃描鏈中),或者藉由改變對於裝置掃描輸入的掃描鏈分配,或者藉由改變掃描鏈內的狀態暫存器的序列,或是藉由改變對於掃描鏈的狀態暫存器指定等習知技術,可以避免此衝突。但是,假使無法取得這些選項中的任一項,則需要不同的掃描架構或是必須接受比全故障涵蓋率更小的涵蓋率。
在於此一併列入參考之Karpu等人的美國專利公開號2005/0268190(Kapur等人)中,揭示一技術,其中,對於每一測試樣本的每一轉移,在需要時,掃描進入測試架構是動態地可組態的。「解壓縮器」插入於裝置掃描輸入與掃描鏈之間,解壓縮器可以以一些不同模式操作,用於將裝置掃描輸入值(或自其導出的值)傳送給掃描鏈。對於測試樣本內的掃描鏈的每一轉移,測試器將解壓縮器設在測試向量的特定位置所需的適當模式。
使裝置掃描輸入與內部掃描鏈相配之增加的邏輯稱為解壓縮器;這是因為其僅採用少數輸入值以供應更大的接收掃描鏈組。使內部掃描鏈輸入與裝置掃描輸出相配之增加的邏輯稱為壓縮器,其從掃描鏈取得很多值並使它們漏斗般地通至更小的裝置掃描輸入組。有時,測試向量在掃描出來的位置中產生響應,掃描出來的位置是不可預測的邏輯值。不可預測的邏輯值可能來自未初始化的記憶元件、或是來自匯流排連接或不可預測的時序有關爭議。這些掃描出來的位置具有的值無法知道相關故障值是否存在。這些不知道的值,例如測試向量本身中的「忽略」,它們有時以邏輯X’s(不知道值)表示。它們對於在壓縮器中一起出現的良好響應之觀測力具有負面影響。
藉由辨識X源並接著移除它們或者藉由插入增加的DFT邏輯以經由增添增加的測試點而使X源固定,可以前瞻地阻擋響應捕捉期間產生的X’s抵達掃描胞。阻擋Xs抵達掃描胞的另一方式是藉由小心的測試圖案產生,其中,將掃描進入中的忽略位元設定為控制值以阻擋Xs抵達掃描胞。在另一解決之道中,可以使用誤差遮罩及/或X遮罩。誤差遮照涉及小心地設計壓縮器,以致於多個誤差彼此抵消,X遮罩涉及將掩罩邏輯插入於掃描鏈輸出與壓縮器之間以防止X傳播至壓縮器輸出。圖4顯示用於壓縮器的掩罩邏輯之實施例,其在壓縮器的XOR中具有冗餘。在本實施例中,掩罩邏輯確保要觀測的任何掃描鏈組之內任何掃描胞中捕捉到的響應中的邏輯X’s不會干擾其它掃描鏈中掃描胞的觀測力。但是,由於遮罩降低設計的觀測力,所以,遮罩會導入其自己的問題。因此,對於相同的故障涵蓋率,測試樣本計數傾向於增加,因而部份地反制因使用輸出壓縮器而取得的節省。
也已發展出大量不同的解決之道以用於掃描鏈的輸入側上的介面邏輯。它們中的一些的調查揭示於2006年7月-8月之IEEE Design and Test of Computers中的294-303頁中,由N.A. Touba所著之「Survey of Test Vector Compression Techniques」,於此,將其一併列入參考。它們包含碼化為基礎的設計、線性解壓縮器為基礎的設計及廣播掃描為基礎的設計。這些解決之道可以分類成結合式或序列式。結合式解決之道可與如同裝置掃描輸入至內部掃描鏈的直接(但共用的)連接般簡單,或是,如同將掃描資料解譯成1及0的序列之解碼邏輯般複雜。更加一般的解決之道係在輸入上使用XOR或MUX以將來自裝置掃描輸入的值散佈至接收掃描鏈。序列解決之道包含某些經過裁減以用於掃描壓縮器之邏輯BIST結構的變異。種子間隔地或每一移動時流入,將用於故障偵測及觀測(遮罩)的刺激值需求編碼以在測試資料量及測試應用時間上提供顯著的增益。序列的解決之道也包含使用移位暫存器以暫時地儲存由不同的散佈邏輯應用之多個值。
大部份的現代積體電路設計處理使用電子設計自動化(EDA)工具。不同的EDA賣方藉由自動地(1)將提供的電路設計的狀態暫存器組織成掃描鏈、及(2)將它們自己偏好的預定壓縮及解壓縮邏輯插入於掃描鏈之前及之後,而提供它們自己的解決之道。於此,狀態暫存器組織成掃描鏈以及壓縮及解壓縮邏輯、與用於測試向量的展開之提供ATPG系統的某些組態設定有時一起總稱為電路設計的「測試設計」。EDS軟體允許使用者者在此處理中有一些選擇,例如更改掃描輸入與掃描輸出功能之間的裝置I/O接腳、要實施於解壓縮器中的模式的數目、是否應實施輸出遮罩、等等。但是,由於使用者典型上未準備好以任何有意義的方式回答這些問題,所以,使用者典型上僅接受軟體的內定設定,這些內定設定通常代表某些「平均」電路設計的最佳答案。如果,由於真實世界的電路設計並沒有「平均的」,所以,在資料量或故障率上或二者上,實施於很多電路設計的測試設計是比最佳還差的。
概括而言,本發明關於視電路設計本身而定的用於提供的電路設計之掃描為基礎的測試架構最佳化。在一實施例中,發展用於電路設計之多個待選的測試設計。較佳地使用下游使用的相同ATPG演繹法以產生用於製造積體電路裝置之最後測試向量,視電路設計及待選測試設計而產生多個測試向量,以用於每一待選測試設計。決定用於每一待選的測試設計之例如故障涵蓋率等測試協定優值,以及,取決於此測試協定優值的比較,選取待選的測試設計之一以實施於積體電路裝置中。較佳地,僅有ATPG可以產生的全組測試向量的取樣被用以決定將由每一特定待選測試設計所發現潛在故障數目。
將於申請專利範圍、說明書及圖式中,說明本發明的特別態樣。
將參考圖式,於下詳細說明。敍述較佳實施例以說明本發明,但非限定由申請專利範圍所界定的範圍。習於此技藝者將知這下述說明之眾多均等變異。
圖5顯示說明用的數位積體電路設計及測試流程的簡化表示。如同此處的所有流程圖般,將瞭解圖5中的很多步驟可以結合、平行地執行或是以不同順序執行,而不會影響取得的功能。在某些情形中,僅有作某些其它改變時,步驟的重新配置將取得相同的結果,在其它情形中,僅在滿足某些條件時,步驟的重新配置將取得相同的結果。對於讀者而言,這些重新配置的可能性將很清楚。
在高階時,圖5的處理始於產品概念(步驟500)以及於EDA(電子設計自動化)軟體設計處理(步驟510)中實現。當設計最終化時,進行製造處理(步驟550)及封裝和組裝處理(步驟560),最後,造成完成的積體電路晶片(結果570)。使用預定的測試向量及預期的響應,在測試機上,於步驟580中測試一些或所有完成的晶片。
EDA軟體設計處理(步驟510)事實上由為簡明起見而以直線方式顯示的一些步驟512-530構成。在真實的積體電路設計處理中,特定的設計可能必須回溯經過言些步驟直到通過某些測試為止。類似地,在任何真實的設計處理中,這些步驟可能以不同的次序及組合發生。因此,藉由上下文及一般的說明而非用於特定積體電路之特定的、或建議的設計流程,而作出本說明。
現在,將提供EDA軟體設計處理(步驟510)的元件步驟之簡要說明。
系統設計(步驟512):設計者描述要實施的功能,它們可以執行假設分析(what-if)計算以使功能、檢查成本、等等精化。硬體一軟體架構分割可以在此階段發生。可以用於此步驟之例如來自Synopsys公司的EDA軟體產品包含Model Arcbitect、Saber、System Studio、及Design Ware產品。
邏輯設計及功能驗證(步驟514):在此階段,撰寫用於系統中的模組之VHDL或Verilog碼,以及,檢查設計的功能準確性。更具體而言,檢查設計以確定其產生正確的輸出以回應特定的輸入刺激值。此步驟可以使用之例如來自Synopsys公司之EDA軟體產品包含VCS、VERA、DesignWare、Magellan、Formality、ESP及LEDA產品。在此發展階段,此處,設計有時稱為「邏輯設計」或「電路設計」。雖然在此階段中某些設計已經包含例如掃描鏈等某些測試用設計特點以及相關連的掃描壓縮或解壓縮電路,但是,這些未包含於此處所使用的「邏輯設計」及「電路設計」中。
用於測試之合成及設計(步驟516):此處,將VHDL/Verilog轉譯成網路連線表。可以將網路連線表最佳化以用於標的技術。此外,測試架構的實施發生於此步驟中,以允許檢查完成的晶片。在此步驟可以使用之來自Synopsys公司的舉例說明的EDA軟體包含Design Compiler、Physical Compiler、Test Compiler、Power Compiler、FPGA Compiler、Tetramax、及Design Ware產品。
網路連線表驗證(步驟118):在此階段,檢查網路連線表以符合時序限制及對應於VHDL/Verilog原始碼。在此步驟可以使用之來自Synopsys公司的舉例說明的EDA軟體包含Formality、PrimeTime、及VCS產品。
設計計劃(步驟120):此處,建構及分析用於晶片的整體佈局規劃(floorplan),以用於時序及頂層佈線。在此步驟可以使用之來自Synopsys公司的舉例說明的EDA軟體包含Astro、及IC Compiler產品。
實體實施(步驟122):在此步驟產生配置(電路元件的定位)及佈線(電路元件的連接)。在此步驟可以使用之來自Synopsys公司的舉例說明的EDA軟體包含Astro、及IC Compiler產品。最終的ATPG執行典型上發生於此步驟期間,以開發測試向量的資料庫(包含壓縮模式選取位元)及測試晶片時使用的預期響應。可以與本發明的態樣一起使用之ATPG軟體的實施例包含TetraMAX,Synopsys的2002年5月2日之2002.05版本的TetraMAX使用者手冊說明TetraMAX,於此將其一併列入參考。。
分析及選取(步驟524):在此步驟,驗證電晶體層級的電路功能,這接著允許假設(what-if)精進。在此步驟可以使用之來自Synopsys公司的舉例說明的EDA軟體包含AstroRail、PrimeRail、Primetime、及Start RC/XT產品。
實體驗證(步驟526):在此步驟,執行不同的檢查功能,以確定製造、電議題、微影議題、及電路之正確性。在此步驟可以使用之來自Synopsys公司的舉例說明的EDA軟體包含Hercules產品。
投片(步驟527):此步驟提供用於製造完成的晶片之光罩的生產資料之「投片」資料。在此階段可以使用之來自Synopsys公司的舉例說明的EDA軟體包含CATS(R)系列產品。
解析度增強(步驟528):此步驟涉及佈局的幾何操作以增進設計的製造能力。在此步驟可以使用之來自Synopsys公司的舉例說明的EDA軟體包含Proteus、ProteusAF、及PSMGen產品。
光罩製備(步驟530):本步驟包含光罩資料製備及光罩本身的寫入本身。
如同所述,可以從不同的EDA賣方取得數種不同的架構。它們之中的大部份或全部可以從應用本發明的態樣而得利。為了簡明起見,在此處所述的實施例中所使用的架構是可從加州Mt. View之Synopsys公司提供的工具取得的架構;但是,需瞭解,本發明可以同樣良好地應用於可從其它賣方取得的架構。如同此處所使用般,測試「架構」是在由測試「架構」可取得的眾多設計選擇固定之後成為客製化的特定測試「設計」。在本實施例的測試架構中,可以取得很多參數以用於客製化。如同此處所使用般,「參數」僅為值的容器。如此,「測試架構」包含未填充的參數,一旦這些參數被值填充時,「測試設計」即被界定。
圖6顯示此處所述的實施例中所使用的測試架構。可見狀態暫存器610組織成N個掃描鏈611。在特定測試設計中,雖然希望均等的長度,但是,這些掃描鏈61無需具有相等的長度。至掃描鏈611的掃描輸入由解壓縮器612驅動,壓縮器612的輸入連接至裝置的mI裝置掃描輸入。解壓縮器612藉以形成要提供給N個掃描鏈輸入之邏輯會受可能包含數個位元的模式選取輸入614影響。掃描鏈611的掃描輸出提供給壓縮器616,壓縮器616自其導出mO裝置掃描輸出。壓縮器616包含XOR電路618,以及,視設計選擇而在掃描鏈輸出與XOR電路618之間包含X-遮罩邏輯620。
解壓縮器612可組態以提供多個可經由模式輸入614選取的操作模式。每一模式界定從裝置掃描輸入(或它們的邏輯互補)至掃描鏈輸入之訊號的具體路由。亦即,多個預定模式中之一特定模式的選取會建立那一裝置掃描輸入饋送各別掃描鏈輸入,以及,其是否要首先被互補。在本實施例中,在每一掃描鏈輸入的開頭,以多工化器實施解壓縮器612。每一多工器均具有一些輸入。每一多工器的第一輸入,在特定設計中可為反相或非反相,其連接成從特定裝置掃描輸入接收值,各別掃描鏈是要在第一操作模式中接收。類似地,每一多工器的第二輸入,在特定設計中再為反相或非反相,其連接成從特定裝置掃描輸入接收值,各別掃描鏈是要在第二操作模式中接收。將瞭解,在不同的測試結構中,眾多電路元件、組合及/或序列可以取得用於特定測試設計中。
本實施例的測試架構提供眾多組態參數。參數包含可以分類成主參數、巨集參數、或ATPG參數。如同此處所使用般,主參數是在所造成的裝置上建立對應的特點之參數。實施例包含:
‧掃描鏈數目
‧對於掃描鏈之狀態暫存器指定
‧掃描鏈之內的狀態暫存器序列
‧分配給模式選取的I/O接腳的數目
‧可取得的解壓縮模式的數目(假使分配給模式選取的接腳數目先前已固定)
‧其餘可取得的I/O接腳對於(a)掃描輸入及(b)掃描輸出之分配
‧反相是否包含在解壓縮器中,假使是,則掃描在其上輸入
‧輸入(及它們的反相,假使有)指定給各別的多工器
‧遮罩是否實施於壓縮電路中
‧遮罩的組態,假使遮罩實施於壓縮電路中
如同此處所使用般,巨集參數是本身未直接呈現於裝置上,但是,暗指真正呈現於所造成的裝置上之主參數之一或更多組的值。實施例包含:
‧可取得的解壓縮模式之數目(假使分配給模式選取的接腳數目是要被改變的)
→此巨集參數決定分配給模式選取的接腳之數目,其餘可取得的接腳是可分配作為掃描輸入及掃描輸出。
‧最大壓縮至標的
→此巨集參數決定內部掃描鏈的數目。假使允許更大的壓縮,則可以有更多的內部鏈。測試時間及測試資料量將降低,但是會有更大的無法偵測的故障之機率。
‧響應X’s是否要被移至在分別的遮罩條件之下觀測之分別鏈中,以及,分析的%為何
→增加故障的觀測力之一策略是移入分別的掃描鏈,當設計測試向量時,所有的狀態暫存器最可能載運響應值未知。藉由模擬電路設計的隨機測試及選取用於分別的掃描鏈之至少某些預定的隨機測試的百分比(例如25%)中載有響應值未知之所有狀態暫存器,以完成此點。此百分比是此巨集參數中分析的%。
→此巨集參數至少影響下述主參數:遮罩是否實施於壓縮電路中、遮置的組態、對於掃描鏈之狀態暫存器指定。
‧X寬容度的程度
→增加故障的觀測力之另一策略是提供從內部掃描鏈至裝置掃描輸出接腳之冗餘路徑。這降低回應故障的測試樣本破壞觀測力之回應中X的機率。X寬容度的程度決定所實施的冗餘連接之程度。
→巨集參數影響遮罩是否實施於壓縮電路中、假使如此時遮罩的組態、及對於掃描鏈之狀態暫存器指定。
如同此處所使用般,ATPG參數是用以在實體實施步驟522中規劃ATPG軟體。某些ATPG參數是用於ATPG執行之本身組態參數,而其它的僅暗指用於ATPG的一或更多其它組態設定。ATPG參數未影響裝置本身上的任何特點。實施例包含:
‧是否干擾電路設計時脈分組(對於狀態暫存器指定給用於計時目的之分別域,在測試期間多個互動時脈域是否被允許被一起脈衝化)。
‧放棄用於ATPG的限制。放棄限制控制ATPG被允許嘗試找到用於特定故障之測試的測試樣本之次數。
‧合併用於ATPG的努力。合併努力參數控制ATPG被允許使用單一測試樣本以找到多於一個故障的測試之努力量。
如同所述,DFT及ATPG軟體可以提供使用者眾多選項,以主導測試設計的發展,但是,使用者典型上不能智慧型地選取用於不同的選項之值。結果,使用者典型地僅接受由DFT及ATPG軟體賣方所選取之軟體提供的用於某些「平均」電路設計的最佳結果之內定設定。舉例而言,以掃描端預算實施壓縮及解壓縮,掃描端預算來自於例如使用多場所測試的封裝限制、測試器限制、設計限制、及製造限制等很多來源。在給定的總掃描端子預算中,習知的軟體允許使用者將不同數目的裝置掃描輸入及裝置掃描輸出分配給掃描壓縮及解壓縮使用。跨越輸入及輸出的掃描端子之平衡使用典型上為工具或使用者內定。但是,取決於設計,可取得的壓縮可由解壓縮器所產生的相依性或是壓縮器中的未知的寬忍度所限制。考慮假使掃描壓縮受限於輸入相依性,取決於電路設計,測試設計應偏向分配更多裝置I/O接腳作為裝置掃描輸入,較少裝置I/O接腳作為裝置掃描輸出。另一方面,假使響應中的未知干擾故障觀測時,更多的裝置I/O接腳應分配作為裝置掃描輸出,較少的裝置I/O接腳分配作為裝置掃描輸入。如同此處所使用般,I/O「接腳」一詞意指外部可接取的電路節點。其不必是實體接腳。
例如分配給掃描輸入及掃描輸出之裝置I/O接腳的分配等參數是難以知道如何填充,以及,如同所述,使用者通常接受假定用於平均電路設計的內定值。結果,所造成的測試設計對於考慮的特定電路設計不可能是最佳的。由於使用者不知道要選什麼值以使用於任何特定電路設計的測試設計最佳化,所以,即使使用者對於某些參數選取非內定值,這仍然為真。
例如此處所述的測試設計優化器藉由產生虛擬環境及評估策略,以致於可以使不同的測試架構組態相互比較以允許選取最佳的測試設計,因而能解答這些問題。由於測試設計優化器包含虛擬環境中受測的電路設計,所以,其具有發展視所考慮的特定電路設計而定而非某些平均電路而定的最佳化測試設計之功效。測試設計優化器的結果是當建立真實的測試設計時要使用的測試設計值組。在DFT步驟516期間,使用由優化器所決定的用於主參數及巨集參數之任何值以插入及連接掃描鏈(假使之前未建立),以及插入壓縮及解壓縮電路。由用於ATPG參數的優化器所決定的任何值,於步驟522中應用至ATPG軟體(圖5)以控制用於測試設計的測試向量的產生,流程由EDA中的該點實施。在一實施例中,ATPG參數自動地應用壓ATPG,而在另一實施例中,它們視需要而代表使用者可以或不可以應用的建議。
圖7是具有本發明的特點之測試設計優化器的實施例的流程圖。概略而言,其包括迭代搜尋造成用於所考慮的電路設計之最佳測試設計之測試參數值組。在步驟710中,建立那些參數要固定及那些參數要改變。在實施例的廣義等級中,在搜尋期間,掃描鏈是固定的且不允許改變。亦即,例如掃描鏈數目、對於掃描鏈之狀態參數的指定、及掃描鏈之內的狀態暫存器序列都為預定的且不允許改變的。此實施例可以使用例如DFT MAX等預先存在的產品,以發展掃描鏈。在另一實施例中,這些參數不是固定的,且被允許隨著部份搜尋而變。關於上述參數實施例,在不同的實施例中,在最佳化搜尋期間,它們之中的任一者或全部與其它參數可以改變。假使巨集參數是要改變,則無需分別地改變巨集參數暗指的主參數(除非巨集參數僅暗指用於主參數的值之限制,在此情形中,在這些限制之內,搜尋期間主參數可以改變)。
在步驟712中,建立用於搜尋期間保持固定的參數之值,以及選擇用於將被允許改變的參數之初始值。在一實施例中,選擇初始值作為被視為「平均」之某些電路設計的最佳值。如同所述,對於很多參數,這些值已經可以取得且作為習知的軟體會提供給使用者之內定值。注意,假使ATPG參數要被採用作為ATPG軟體內定值且不改變時,當真正使用ATPG時,在步驟712中設定這些值的步驟事實上一直到步驟716之前都不會發生。
在步驟714中,回路開始搜尋多個待選測試設計。第一待選測試設計是由步驟712中設定的參數值所暗指的設計,以及,視要使用的特定搜尋演繹法而產生後續的待選設計。眾多不同的潛在搜尋演繹法可以用於不同的實施例;於下,將參考圖8,說明一特定的實施例。待選測試設計在某種意義上虛擬電路設計及測試設計的電路之結合,以致於可以評估結合的能力以偵測故障。
在步驟716中,提供結合的電路設計及測試設計電路給ATPG,較佳地提供給作為步驟522(圖5)的部份之相同的ATPG軟體,以產生最後的測試向量。在實施例中,在步驟716中使用稱為TetraMAX的套裝軟體。在此步驟716期間,用於目前的待選測試設計之ATPG組態參數值提供給ATPG,以及,ATPG繼續進行以發展其最佳的測試向量值組,如同在目前待選測試設計中般,所述向量值組被授予這些參數值、及被受予掃描電路和壓縮及解壓縮電路的結構。ATPG產生測試協定,測試協定包含測試向量組及來自每一向量之預期的(亦即非故障的)響應。
概略而言,在操作上,TetraMAX中所使用的ATPG演繹法首先產生標的故障清單,舉例而言,其可為固定在0或1的連接或網路。對於每一標的故障,ATPG接著決定將在故障場所產生「差異」的輸入值組(假使標的故障存在時在故障場所的一邏輯值,假使標的故障不存在時在故障場所的相反邏輯值)。ATPG接著「感測」從故障場所至可觀測點(掃描暫存器或主輸出)之路徑。「感測」涉及辨識至可觀測點的邏輯路徑中的所有邏輯閘,以及回溯這些邏輯閘的其它輸入,以決定對於出現在可觀測點上的差異而言,什麼值需要依序在輸入上。在故障場所注入差異及感測至可觀測點的路徑所需的輸入值組是測試樣本,以及,當故障不存在時所預期的可觀測值組是來自該測試樣本的預期響應。假使被賦能時,ATPG也嘗試將每一新的測試樣本與先前產生的樣本相合併,由於假使二樣本可以成功地合併,則偵測到的故障數增加但樣本數目未增加。假使ATPG被允許執行至完成,則其將產生大量的測試樣本,這些大量的測試樣本將一起找出ATPG演繹法開始時辨識的標的故障的大部份。
在步驟718,評估由ATPG決定的測試協定之故障涵蓋率。如同此處所使用般,「故障涵蓋率」被定義為測試協定所偵測到的電路設計中的故障數目相對於電路設計中的故障總數的比例。在其它實施例中,可以使用其它測試協定品質測量。一其它品質測量實施例是「測試涵蓋率」,其為測試協定偵測到的電路設計中的故障數目相對於可偵測的電路設計中的故障總數的比例(舉例而言,排除下述不可偵測的故障:由於有些故障位於對任何其它邏輯不具電連接之輸出上所以是不可偵測的,由於有些故障位於與固定的邏輯值永久地接在一起之接腳上,所以是不可偵測的,以及,由於冗餘的邏輯路徑阻礙故障的控制或可觀測性而使它們成為不可偵測的故障。)又有其它的品質測量實施例為「ATPG有效性」,其為ATPG可解決故障的數目相對於電路設計中的總故障數之比例。對讀者而言,其它品質測量將清楚可知。
在步驟720中,決定是否取得最佳的故障涵蓋率。在此步驟的一實施例中,被視為造成最佳故障涵蓋率的測試設計是目前的待選測試設計。亦即,搜尋使選擇向下窄化至據信為最佳的測試設計。在另一實施例中,被視為造成最佳故障涵蓋率的測試設計可為某些其它待選測試設計。亦即,搜尋會評估最終被視為最佳的待選測試設計之外的待選測試設計,以致於在步驟720中目前的待選測試設計不是被視為最佳的待選測試設計;其僅為品質受評估的最後一個待選測試設計。
假使在步驟720中,尚未取得最佳的故障涵蓋率,則在步驟722中,則產生下一待選測試設計,以及,處理返回至步驟714以根據其而產生新的測試協定。下一待選測試設計據以產生的演繹法視搜尋演繹法而定。對於耗盡式搜尋,此步驟簡單地涉及使測試參數之一的值增量。對於更聚焦的搜尋,此步驟考量一或更多先前評估的待選測試設計的品質測量,以便瞄準找出最佳者。
假使在步驟720中,判定已取得最佳的故障涵蓋率,則在步驟724中,處理繼續實施最佳測試設計。取決於那些測試參數已於步驟712中固定,這涉及將電路設計及測試設計的結構態樣以結合設計一起合併。這包以根據最佳測試設計參數值所暗指的掃描鏈而組織的掃描暫存器來取代電路設計中的狀態暫存器,以及/或者,包含最佳測試設計參數值所暗指的特定壓縮及/或解壓縮電路的插入。在任一情形中,結果顯示於被向前引至EDA處理中的下一步驟(例如網路清單驗證步驟518(圖5))之網路連線清單中。其也反應在供應給ATPG的設計之版本中,以用於其在步驟522中的最後執行。全組測試向量及預期的響應在當時產生及接著遞送給步驟580中所使用的測試設備。實施步驟724的結果最後也反應在步驟530中產生的遮罩、及晶片570中。最佳測試設計的實施也涉及根據被判定為對於最後的ATPG執行是最佳的組態參數值,控制步驟522中的最後ATPG執行。測試設計的此部份未反應在遮罩或晶片中,但是反應在遞送給步驟580中用以測試所造成的晶片之晶片測試設備的測試資料組中(包含測試向量及預期的響應)。
看到使用例如圖7中所示的流程以將包含掃描鏈的結構、解壓縮器及壓縮器的結構、與ATPG組態參數等很多測試設計的態樣最佳化,以取得用於最佳化測試設計的最佳測試向量組。使用者不再需要猜測測試參數值,也不需要接受對於考慮中的特定電路設計可能不是最佳的內定值。
也可見,取決於考慮中的電路設計的複雜度、搜尋期間被允許改變的測試參數的數目、及搜尋演繹法的效率,如圖7中所示的迭代搜尋可以耗費禁止的長時間。舉例而言,ATPG可以耗費數個小時以發展用於相當複雜的電路設計之單一測試設計之測試協定。在大的搜尋空間中對每一測試設計執行ATPG至完成將是不實際的。因此,在實施例中,使用ATPG以產生測試協定之步驟716較佳地使用樣本取樣,更佳地使用樣本取樣及故障取樣,以使例如圖7中所示的迭代搜尋實際化。
圖8是使用ATPG以產生測試協定的步驟716的流程圖。在步驟810中,ATPG首先辨識電路設計中所有潛在的故障。特別地,ATPG辨識可以固定在0或1的每一節點、以及可以緩慢上升或下降的每一節點、以及可以橋接在一起的任何成對的相鄰節點。
在步驟812中,選擇例如步驟810中所辨識的故障的10%之取樣。較佳地,選擇故障取樣以致於均勻地、實體地散佈於晶片上。
在步驟814中,使用ATPG以產生僅瞄準取樣的故障組之測試向量。僅在產生測試向量的少量取樣之後,ATPG才終止。如同此處所使用般,測試向量的「取樣」意指少於假使ATPG被允許執行至完成時將產生的測試向量的總數。較佳地,在實施例中,取樣含有的向量不會多於256個向量。甚至更佳地,其含有的向量不會多於128個向量,又甚至更佳地,其含有的向量不會多於64個向量。較佳地,其多於32個測試向量,32個測試向對於很多的設計是不足夠的。這是與假使ATPG被允許執行至完成時可能有數以千計或數以萬計的測試向量相比較。對於搜尋中的所有測試設計,測試向量取樣大小應該固定,以致於結果可以彼此有效地相比較。
同時,ATPG產生測試向量,關於其處理的正常部份,其也產生將由相同的測向量組找到的標的故障的清單。接著,在步驟718中,使用清單中的故障數目作為度量,用於評估測試協定的故障涵蓋率。
雖然以往在產業中已使用故障取樣,但是,未使用樣本取樣。樣本取樣以下述假設為基礎:由第一少數樣本所看到的故障涵蓋率與步驟810中由ATPG所辨識的完整故障組上執行的全ATPG所找到的總故障百分比單調地相關。更特別地,圖8的方法依靠下述假設:假使ATPG可以決定用於特定的測試設計之測試協定,其中,在僅一些測試樣本之後測試協定造成高故障涵蓋率,則當針對全組經過辨識的潛在故障,ATPG接著在步驟522中執行至完成時,其決定的通信協定將類似地造成高故障涵蓋率(或是具有較少測試向量的相同故障涵蓋率)。此假設據信通常是準確的,以及,在不同的測試設計已造成更佳的故障涵蓋率之少數情形中,改良量不是很顯著。
如同所述,在步驟714中,在不同實施例中可以使用眾多不同的搜尋演繹法。在一實施例中,執行耗盡搜尋,嘗試搜尋期間將改變的用於測試參數之值的所有可能組合。耗盡式搜尋是最可能造成最佳的測試設計,但是,除非僅有一些測試參數被允許改變,否則典型上是不實際的。假使更大數目的測試參數被允許變化、假使使用故障取樣及特別地假使使用樣本取樣,則其變成實際的。可以使用的其它搜尋演繹法實施例為模擬退火、及響應表面方法學。模擬退火說明於Science,New Series,Vol. 220,No. 4598(1983年5月13日)第671-680頁中S. Kirkpatrick;C. D. Gelatt;M. P. Vecchi等所著的「藉由模擬退火之最佳化(Optimization by Simulated Annealing)」,於此,將其一併列入參考。響應表面方法學揭示於Journal of the Royal Statistical Society Series B 13(1):1-45(1951)中Box,G.E.P.及Wilson,K.B.等所著之「關於最佳條件的實驗取得(討論)(On the Experimental Attainment of Optimum Conditions(with discussion))」,於此,將其一併列入參考。
圖9是實施例的流程圖,其中,搜尋首先最佳化一參數,接著,移至下一參數。在步驟910中,建立那些參數是要固定的及那些參數是要改變的。如同圖7的實施例,假使巨集參數是要改變,則無需分別地改變巨集參數暗指的主參數(非巨集參數僅暗指用於主參數的值之限制,在此情形中,在這些限制內,在搜尋期間,主參數可以改變。)
在步驟912中,建立搜尋期間維持固定的參數,以及選擇用於被允許改變的參數之值。如圖7的實施例中般,在一實施例中,選擇初始值作為在被視為「平均」之某些電路設計中最佳的值。
在步驟914中,外迴路開始迭代要被改變的不同搜尋參數。假使10個參數配被改變,舉例而言,則此迴路具有10次迭代,每一參數一次。
在步驟915中,從用於所有測試參數之目前值中產生待選測試設計。再度地,這包含用於目前搜尋參數的目前待選值、用於先前最佳化的搜尋參數之最佳值(在迴路914的先前迭代中)、用於尚未最佳化的搜尋參數之內定值、及用於未改變的參數之固定值。測試設計包含掃描鏈的結構、壓縮及解壓縮的結構、以及ATPG組態參數值。待選測試設計將電路設計及測試設計的電路結合地虛擬化,以致於可以評估結合的能力以偵測故障。
在步驟916中,電路設計及待選測試設計電路的結合提供給ATPG,較佳地給將作為步驟522的部份之相同的ATPG軟體(圖5)以產生最終測試向量。在此步驟916期間,用於目前的待選測試設計之ATPG組態參數值提供給ATPG,以及,ATPG繼續進行以發展最佳的測試向量組,如同目前的待選測試設計中所建立般,所述測試向量組被授予這些參數值、及掃描電路和壓縮及解壓縮電路的結構。較佳地,如圖8所示,在步驟916中使用故障取樣,甚至更佳地,使用樣本取樣。ATPG產生包含測試向量組及來自每一向量的預期響應之測試協定。
在步驟918中,評估ATPG所決定的測試協定之故障涵蓋率。在另一實施例中,可以使用另一品質測量,例如測試涵蓋率或ATPG有效性。對於讀者而言,其它品質測量將是清楚的。
在步驟920中,判定是否已取得用於目前搜尋參數的最佳故障涵蓋率。如圖7中所示般,在本步驟的一實施例中,被視為造成最佳故障涵蓋率的測試設計是目前的待選測試設計。在另一實施例中,被視為造成最佳故障涵蓋率之測試設計可為目前的待選測試設計之評估顯示為最佳之某些其它待選測試設計。
在步驟920中,若尚未取得用於目前的搜尋參數之最佳故障涵蓋率,則在步驟922中,產生用於目前的搜尋參數之下一待選值,以及,處理返回至步驟915以根據其而產生新的待選測試設計。
假使在步驟920中判定已取得用於目前的搜尋參數之最佳故障涵蓋率,則在步驟923中,決定是否有更多的搜尋參數要最佳化。假使是,則處理返回至步驟914以將下一搜尋參數的值最佳化。假使否,則在步驟924中,處理繼續實施最佳測試設計。再度地,如同圖7般,取決於步驟912中那些測試已固定,假使這涉及以根據最佳測試設計參數值所暗指的掃描鏈而組織之掃描暫存器取代電路設計中的狀態暫存器,以及由最佳的測試設計參數值所暗指的特定壓縮及/或解壓縮電路的實施,則結果反應於被向前引至EDA處理中的下一步驟(例如網路清單驗證步驟518(圖5))之網路清單中。結果最後反應於步驟530中產生的遮罩中以及晶片570中。最佳測試設計的實施也涉及將被視為用於最終的ATPG執行為最佳之組態參數值向前引至實體實施步驟522。測試設計的此部份未反應於遮罩或晶片中,但是反應於據信是傳送給步驟580中用以測試所造成的晶片之晶片測試設備之測試資料組中。
注意,步驟920藉以決定已取得用於目前搜尋參數的最佳故障涵蓋率之測試,對於搜尋參數中的不同參數是不同的。類似地,在步驟922中藉以產生下一待選值的演繹法對於搜尋參數中的不同參數也可以是不同的。數個實施例如下所述。
假使目前搜尋參數是要在解壓縮器中實施的模式的數目,則搜尋可能橫貫待選值2、3、及4。初始值可為4,以及,產生下一待選值的步驟922可能使目前的待選值向下減量一。決定是否已取得用於模式數目參數的最佳故障涵蓋率之步驟920可以在評估使用待選值2所產生的測試設計之後簡單地停止。用於模式數目的最佳值接著被採用為步驟918中產生最高故障涵蓋率的值。在實施例中,也可以實施第二級度量;假使為回應待選值而產生的最高故障涵蓋率及次高故障涵蓋率比某些預定的差值更接近,則假使晶片面積比樣本計數更重要時,選擇這二個值中的較低值;假使樣本計數比晶片面積更重要時,選擇這二個值中的較高值。
假使目前的搜尋參數是裝置掃描輸入與裝置掃描輸出之間可取得的I/O接腳的分配,則掃描可以橫貫對稱地涵括分配給每一者之均等數目的接腳的內定值之待選取。初始值可以將最大數目的接腳分配給裝置掃描輸入,以及,產生下一待選值的步驟922可以使一接腳的分配從裝置掃描輸入移至裝置掃描輸出。決定是否已取得用於接腳分配參數之最佳故障涵蓋率的步驟920可以在評估使用分配給裝置掃描輸出之最大數目的接腳以產生測試設計之後簡單地停止。用於接腳分配參數之最佳值接著被採用作為在步驟918中產生最高的故障涵蓋率度量之值。
假使目前的搜尋參數是ATPG放棄阻制時,則搜尋可能橫貫例如10、100、400、700、等等預定的待選值系列至某預定的最大值。初始值可為10,以及,產生下一待選值的步驟922可以取代系列中的下一待選值。決定是否已取得用於模式次數參數之最佳故障涵蓋率之步驟920在評估使用系列中的最後待選值以產生測試設計之後簡單地停止。接著,僅在故障涵蓋率比步驟918中從另一值取得的下一較低的故障涵蓋率超過預定的增量時,將用於模式次數參數的最佳值作為產生步驟918中的最高故障涵蓋率度量之值。假使由用於ATPG放棄限制之最佳產生值所取得的故障涵蓋率彼此接近時,則選取產生二個最佳故障涵蓋率之二個值中較低的值。
假使目前的搜尋參數為是否干擾考慮的電路設計之時脈分組時,則搜尋橫貫是及否等二個值,從其中一值開始並於另一值結束。產生待選測試設計的步驟915包含以作為組態輸入的目前參數值來執行設計度量檢查器之步驟。假使目前的待選值為「是」,則設計度量檢查器決定那些時脈域可以被一起供予時脈而無有害互動之風險,以及,將其結果作為指令提供給用於步驟916(以及,最終為步驟922)中的ATPG。假使目前的待選值為「否」,則提供給ATPG的指令是將考慮的電路設計中每一時脈域分別地脈衝化。用於干擾時脈分組參數之最佳值接著作為步驟918中產生最高故障涵蓋率度量的值。
假使目前的搜尋參數是解壓縮器的結構,則搜尋可以橫貫涉及EDA軟體可以取得之測試架構內可以取得之裝置掃描輸入與多工器輸入之間的多工器及連接的很多不同配置之待選值。產生用於解壓縮器的結構之下一待選值的步驟922之初始迭代可以調整步驟915中造成多工器的增加或連接的改變之某些參數值。在步驟916中執行ATPG,以及,在步驟918中評估待選結構的故障涵蓋率。取決於步驟918中決定的故障涵蓋率,產生下一待選值的步驟922可以決定接受或拒絕最近作的調整,然後在參數值中作不同的調整。在步驟916及918中再度評估造成步驟915之配置,以及,步驟922再度接受或拒絕調整及作另一調整。舉例而言,以此方式執行模擬的退火搜尋,以自動地找到最佳的解壓縮器結構。可以使用類似的搜尋方法,以找出用於壓縮器之最佳結構。
注意,對於步驟714中很多可能的搜尋演繹法(圖7),某些參數需要在其它參數之前被最佳化。舉例而言,假使要改變之參數的一子集合是對於一或更多X鏈(含有狀態暫存器的掃描鏈,暫態暫存器具有載有未知響應的高機率)的狀態暫存器指定,則子集合參數應在最佳化解壓縮器架構之前最佳化。類似地,在最佳化輸出壓縮器的結構之前,需要選擇是否實施輸出遮罩。ATPG唯參數需要最後最佳化。
圖10是可以用以實施具有本發明的態樣之軟體的電腦系統1010的簡化方塊圖。雖然此處揭示的流程圖及其它演繹法揭示步驟序列,但是,應瞭解,藉由使例如1010等電腦系統以指定方式操作,可以實施流程圖或演繹法的各別步驟。
電腦系統1010典型上包含處理器子系統1014,處理器子系統1014經由匯流排系統1012而與多個週邊裝置通訊。處理器子系統1014含有一或多個處理器。週邊裝置包含儲存子系統1024、使用者介面輸入裝置1022、使用者介面輸出裝置1020、及網路介面子系統1016,儲存子系統1024包含記憶體子系統1026及檔案儲存子系統1028。輸入及輸出裝置允許使用者與電腦系統1010互動。網路介面子系統1016提供對外部網路的介面,包含對通訊網路1018的介面,以及,經由通訊網路1018而耦合至其它電腦系統中對應的介面裝置。通訊網路1018包括很多互連的電腦系統及通訊鏈結。這些通訊鏈結可以是接線式鏈結、光學鏈結、無線鏈結、或任何其它用於資訊通訊的機制。雖然在一實施例中,通訊網路1018是網際網路,但是,在其它實施例中,通訊網路1018可以為任何適當的電腦網路。網路介面的實體硬體元件有時稱為網路介面卡(NIC),但是,它們無需為卡的形式:舉例而言,它們可為積體電路及直接配接至主機板的連接器之形式、或為與其它電腦系統元件製於單一積體電路晶片上的巨集胞形式。
使用者介面輸入裝置1022包含鍵盤、例如滑鼠、軌跡球、觸控板、或繪圖板等指標裝置、掃描器、併入於顯示器中的觸控螢幕、例如語音辨識系統等音頻輸入裝置、麥克風、及其它形式的輸入裝置。一般而言,使用「輸入裝置」一詞時是包含將資訊輸入電腦系統1010或至電腦網路1018之所有可能型式的裝置及方式。
使用者介面輸出裝置1020包含顯示子系統、印表機、傳真機、或例如音頻輸出裝置等非視覺顯示器。顯示子系統包含陰極射線管(CRT)、例如液晶顯示器(LCD)等平板裝置、投影裝置、或用於產生可見影像的某些其它機構。顯示子系統也提供例如音頻輸出裝置等非視覺顯示器。一般而言,使用「輸入裝置」一詞時是包含從電腦系統1010輸出資訊給使用者或另一機械或電腦之所有可能型式的裝置及方式。
儲存子系統1024儲存提供本發明的某些實施例之功能的資料結構及基本程式。舉例而言,實施本發明的某些實施例的功能之不同的模組可以儲存於儲存子系統1024中。這些軟體模組大致上由處理器子系統1014執行。
記憶體子系統1026典型上包含很多記憶體,包括用於在程式執行期間儲存指令及資料之主隨機存取記憶體(DRAM)1030,以及儲存有固定指令的唯讀記憶體(ROM)1032。檔案儲存子系統1028提供用於程式及資料檔案的持續儲存,以及包含硬碟機、磁碟機和相關的可移式媒體、CD ROM儲存器、光學儲存器、或可卸式媒體匣。實施本發明的某些實施例之功能的資料庫及模組可以設於例如一或更多CD-ROM等電腦可讀取的媒體,以及,可以由檔案儲子系統1028儲存。主記憶體1026尚含有電腦指令,電腦指令由處理器子系統1014執行時會使電腦系統操作或執行如此處所述的功能。如同此處所使用般,可說是在「主機」或「電腦」上或其中執行之處理及軟體會在處理器子系統1014上執行以回應電腦指令及主記憶體子系統1026中的資料,主記憶體子系統1026包含用於這些指令及資料之任何其它本地或遠端儲存。
匯流排子系統1012提供機制,以使電腦系統1010的不同元件及子系統如同所欲般彼此通訊。雖然匯流排子系統1012概要地顯示為單一匯流排,但是,匯流排子系統的替代實施例可以使用多個匯流排。
電腦系統本身可以具有不同的型式,包括個人電腦、可攜式電腦、工作站、電腦終端、網路電路、電視機、主機、平行處理系統、多於一個電路之網路、或任何其它型式的資料處理系統或使用者裝置。由於電腦及網路的時常變化本質,所以,圖10中所示的電腦系統1010的說明僅是要作為說明本發明的較佳實施例之特定實施例。比圖10中所示的電腦系統具有更多或更少元件之電腦系統1010的很多其它配置是可能的。
如同此處所使用般,假使先前輸入影響給定的活動,則給定的活動「負責」先前輸入。假使有介入的處理元件、步驟或時間週期,則給定的活動仍然「負責」先前輸入。假使介入的處理元件或步驟結合多於一輸入,則活動被視為負責每一輸入。類似地界定給定的活動「取決於」一或更多輸入。
為了說明及顯示起見,已提供本發明的較佳實施例的前述說明。並非要將本發明耗盡或限定於揭示的精準形式。顯然地,對於習於此技藝者而言,顯然可知很多修改及變異。舉例而言,雖然圖7及9的實施例執行迭代搜尋以找出最佳的測試設計,另一實施例可以取得相同或類似的結果而不用迭代,例如藉由選加地使用不同的處理器,平行地評估待選測試設計。關於另一實施例,雖然在實施例中,假使圖7及9根據最佳故障涵蓋率(或某些其它品質度量)以選取最佳測試設計,則將瞭解,在另一實施例中,可以根據例如測試期間的耗電等某些其它度量,而以最佳的品質選加地僅為次級選取度量,來選取最佳測試設計。或者,使用品質度量僅作為具有一或更多其它因素之加權平均中的一因素。一般而言,用以決定「最佳」測試設計之度量有時於此稱為用於測試設計的「優值」,品質度量僅為此優值的的較佳實施例。關於又另一實施例,在例如圖8中的步驟810等步驟中的ATPG可以辨識不僅單獨電路設計中所有的潛在故障,也辨識電路設計與測試設計結合中所有的潛在故障。選擇及說明實施例以最佳地解釋本發明的原理及其實際應用,藉以使其它習於此技藝者能夠瞭解本發明可用於適於可思及的特定用途之不同的實施例及不同的修改。本發明的範圍係由後附申請專利範圍及其均等所界定。
110...組合邏輯
112...狀態暫存器
114...掃描鏈
222...多工器
223...正反器
224...掃描鏈互連
610...狀態暫存器
611...掃描鏈
612...解壓縮器
614...模式選取輸入
616...壓縮器
618...XOR電路
620...X遮罩邏輯
1010...電腦系統
1012...匯流排子系統
1014...處理器子系統
1016...網路介面
1018...通訊網路
1020...使用者介面輸出裝置
1022...使用者介面輸入裝置
1024...儲存子系統
1026...記憶體子系統
1028...檔案儲存子系統
1030...隨機存取記憶體
1032...唯讀記憶體
將參考本發明的特別態樣以說明本發明,以及,將參考圖式,其中:
圖1顯示由於序列電路的典型邏輯設計的部份。
圖2是圖1的設計的部份之另一視圖。
圖3顯示用於受測試的特別裝置之處理單一掃描測試樣本的流程。
圖4顯示用於處理器之遮罩邏輯的實施例。
圖5顯示說明用的數位積體電路設計及測試流程的簡化表示。
圖6顯示用於此處所述的實施例中的測試架構。
圖7是具有本發明的特點之測試設計優化器之實施例的流程圖。
圖8是使用ATPG以產生測試協定之圖7的步驟之流程圖。
圖9是具有本發明的特點之測試設計優化器的實施例的流程圖。
圖10是可以用以實施具有本發明的態樣之軟體的電腦系統的簡化方塊圖。
Claims (38)
- 一種發展用於積體電路設計之掃描為基礎的測試設計之方法,包括下述步驟:發展用於該電路設計之眾多待選測試設計,包含取決於該電路設計而產生眾多測試向量;使用電腦系統產生用於該等待選測試設計中的每一待選測試設計之測試協定優值;及取決於對該等待選測試設計中的每一待選測試設計而產生的測試協定優值之比較,選取該等待選測試設計中之一以用於實施於積體電路裝置中,其中,該產生眾多測試向量之步驟使用預定的自動測試樣本產生演繹法,以及,其中,該產生用於該等待選測試設計中的每一待選測試設計之測試協定優值之步驟包括一步驟,使用假使該預定的自動測試樣本產生演繹法執行至完成時將產生的較所有該等測試向量為少的測試向量,決定用於每一特定待選測試設計之測試協定優值。
- 如申請專利範圍第1項之方法,其中,該眾多待選測試設計包含該電路設計的狀態暫存器在掃描鏈中的不同配置。
- 如申請專利範圍第1項之方法,其中,該眾多待選測試設計包含使裝置掃描輸入接腳互連至掃描鏈輸入之 不同解壓縮電路。
- 如申請專利範圍第1項之方法,其中,該眾多待選測試設計包含使掃描鏈輸出互連至裝置掃描輸出接腳之不同壓縮電路。
- 如申請專利範圍第1項之方法,其中,該眾多待選測試設計包含用於控制該自動測試樣本產生演繹法的操作之不同組態參數值。
- 如申請專利範圍第1項之方法,又包括下述步驟:將該電路設計與來自該選取步驟中所選取的該待選測試設計之至少掃描鏈配置及解壓縮器配置併入結合設計中;及取決於該結合設計而執行該預定的自動測試樣本產生演繹法至完成。
- 如申請專利範圍第1項之方法,又包括下述步驟:取決於該選取步驟中選取的該待選測試設計而執行該預定的自動測試樣本產生演繹法至完成。
- 如申請專利範圍第7項之方法,其中,執行該預定的自動測試樣本產生演繹法至完成之該步驟造成眾多測試向量,又包括下述步驟:提供該眾多測試向量給用於測試積體電路晶片之積體電路測試設備,該積體電路晶片係取決於該電路設計及該選取步驟中選取的該待選測試設計而被製造。
- 如申請專利範圍第7項之方法,其中,該眾多待選測試設計包含用於控制該自動測試樣本產生演繹法的操作之不同組態參數值,以及,其中,執行該預定的自動測試樣本產生演繹法至完成之該步驟包括應用該等組態參數值於該選取步驟中選取的該待選測試設計中。
- 如申請專利範圍第1項之方法,又包括取決於該電路設計及該選取步驟中選取的該待選測試設計而產生製造遮罩組之步驟。
- 如申請專利範圍第1項之方法,其中,該使用假使該預定的自動測試樣本產生演繹法執行至完成時將產生的較所有該等測試向量為少的測試向量來決定用於每一特定待選測試設計之測試協定優值的步驟,使用最多256個測試向量。
- 如申請專利範圍第11項之方法,其中,該使用假使該預定的自動測試樣本產生演繹法執行至完成時將產生的較所有該等測試向量為少的測試向量來決定用於每一特定待選測試設計之測試協定優值的步驟,使用多於32個測試向量。
- 如申請專利範圍第1項之方法,其中,該使用假使該預定的自動測試樣本產生演繹法執行至完成時將產生的較所有該等測試向量為少的測試向量來決定用於每一特定待選測試設計之測試協定優值的步驟,使用最多64個測試向量。
- 如申請專利範圍第1項之方法,其中,該預定的自動測試樣本產生演繹法產生序列的測試樣本,以及,其中,該使用假使該預定的自動測試樣本產生演繹法執行至完成時將產生的較所有該等測試向量為少的測試向量來決定用於每一特定待選測試設計之測試協定優值的步驟,僅使用該預定的自動測試樣本產生演繹法所產生的前N個測試向量,其中,N是預定的整數,N對於該眾多待選測試設計中的所有待選測試設計是固定的。
- 如申請專利範圍第14項之方法,其中,產生眾多測試向量之該步驟包括下述步驟:操作該預定的自動測試樣本產生演繹法直到產生N個測試向量為止;及在產生任何進一步的測試向量之前,停止該預定的自動測試樣本產生演繹法。
- 如申請專利範圍第1項之方法,其中,該測試協定優值是測試協定品質測量值。
- 如申請專利範圍第1項之方法,其中,該測試協定優值是故障涵蓋率。
- 如申請專利範圍第1項之方法,其中,選取該等待選測試設計中之一的該步驟包括迭代地搜尋該眾多待選測試設計中使該測試協定優值最大化之待選測試設計之步驟。
- 如申請專利範圍第1項之方法,其中,取決於該電路設計而產生眾多測試向量之該步驟包括下述步驟: 辨識可能發生於根據該電路設計而製造的積體電路裝置中之潛在故障組;及操作該預定的自動測試樣本產生演繹法以致於僅以該潛在故障組中潛在故障的取樣為標的。
- 一種發展用於積體電路設計之掃描為基礎的測試設計之系統,包括:資料處理器;儲存子系統;及程式碼,當由該資料處理器執行時執行下述步驟:發展用於該電路設計的眾多待選測試設計,包含取決於該電路設計而產生眾多測試向量;產生用於該等待選測試設計中的每一待選測試設計之測試協定優值;及取決於對該等待選測試設計中的每一待選測試設計所產生之該測試協定優值的比較,選取該等待選測試設計中的一待選測試設計以用於實施於積體電路裝置中,其中,產生眾多測試向量之該步驟使用預定的自動測試樣本產生演繹法,以及,其中,產生用於該等待選測試設計中的每一待選測試設計之測試協定優值包括一步驟,使用假使該預定的自動測試樣本產生演繹法執行至完成時將產生的較所有該等測試向量為少的測試向量,決定用於每一特定待選測試設計之測試協定優值。
- 如申請專利範圍第20項之系統,其中,該眾多 待選測試設計包含該電路設計的狀態暫存器在掃描鏈中的不同配置。
- 如申請專利範圍第20項之系統,其中,該眾多待選測試設計包含使裝置掃描輸入接腳互連至掃描鏈輸入之不同解壓縮電路。
- 如申請專利範圍第20項之系統,其中,該眾多待選測試設計包含使掃描鏈輸出互連至裝置掃描輸出接腳之不同壓縮電路。
- 如申請專利範圍第20項之系統,其中,該眾多待選測試設計包含用於控制該自動測試樣本產生演繹法的操作之不同組態參數值。
- 如申請專利範圍第20項之系統,其中該程式碼進一步:將該電路設計與來自該選取步驟中所選取的該待選測試設計之至少掃描鏈配置及解壓縮器配置併入結合設計中;及取決於該結合設計而執行該預定的自動測試樣本產生演繹法至完成。
- 如申請專利範圍第20項之系統,其中該程式碼進一步取決於該選取步驟中選取的該待選測試設計而執行該預定的自動測試樣本產生演繹法至完成。
- 如申請專利範圍第26項之系統,其中,執行該預定的自動測試樣本產生演繹法至完成之該步驟造成眾多測試向量, 以及,其中,該程式碼進一步輸出該眾多測試向量,供測試積體電路晶片中之積體電路測試設備使用,該積體電路晶片係取決於該電路設計及該選取步驟中選取的該待選測試設計而被製造。
- 如申請專利範圍第26項之系統,其中,該眾多待選測試設計包含用於控制該自動測試樣本產生演繹法的操作之不同組態參數值,以及,其中,執行該預定的自動測試樣本產生演繹法至完成之該步驟包括應用該等組態參數值於該選取步驟中選取的該待選測試設計中。
- 如申請專利範圍第20項之系統,其中,該程式碼進一步提供該選取步驟中選取的該待選測試設計,供遮罩設備用於製造遮罩。
- 如申請專利範圍第20項之系統,其中,該使用假使該預定的自動測試樣本產生演繹法執行至完成時將產生的較所有該等測試向量為少的測試向量來決定用於每一特定待選測試設計之測試協定優值的步驟,使用最多256個測試向量。
- 如申請專利範圍第30項之系統,其中,該使用假使該預定的自動測試樣本產生演繹法執行至完成時將產生的較所有該等測試向量為少的測試向量來決定用於每一特定待選測試設計之測試協定優值的步驟,使用多於32個測試向量。
- 如申請專利範圍第20項之系統,其中,該使用 假使該預定的自動測試樣本產生演繹法執行至完成時將產生的較所有該等測試向量為少的測試向量來決定用於每一特定待選測試設計之測試協定優值的步驟,使用最多64個測試向量。
- 如申請專利範圍第20項之系統,其中,該預定的自動測試樣本產生演繹法產生序列的測試樣本,以及,其中,該使用假使該預定的自動測試樣本產生演繹法執行至完成時將產生的較所有該等測試向量為少的測試向量來決定用於每一特定待選測試設計之測試協定優值的步驟,僅使用該預定的自動測試樣本產生演繹法所產生的前N個測試向量,其中,N是預定的整數,N對於該眾多待選測試設計中的所有待選測試設計是固定的。
- 如申請專利範圍第33項之系統,其中,作為產生眾多測試向量之部分該步驟,該程式碼操作該預定的自動測試樣本產生演繹法直到產生N個測試向量為止,以及及在產生任何進一步的測試向量之前,停止該預定的自動測試樣本產生演繹法。
- 如申請專利範圍第20項之系統,其中,該測試協定優值是測試協定品質測量值。
- 如申請專利範圍第20項之系統,其中,該測試協定優值是故障涵蓋率。
- 如申請專利範圍第20項之系統,其中,作為選取該等待選測試設計中之一的部分該步驟,該程式碼迭代地搜尋該眾多待選測試設計中使該測試協定優值最大化之 待選測試設計。
- 如申請專利範圍第20項之系統,其中,作為取決於該電路設計而產生眾多測試向量之部分該步驟,該程式碼:辨識可能發生於根據該電路設計而製造的積體電路裝置中之潛在故障組;及操作該預定的自動測試樣本產生演繹法以致於僅以該潛在故障組中潛在故障的取樣為標的。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US8245308P | 2008-07-21 | 2008-07-21 | |
US12/248,710 US8584073B2 (en) | 2008-07-21 | 2008-10-09 | Test design optimizer for configurable scan architectures |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201009368A TW201009368A (en) | 2010-03-01 |
TWI447415B true TWI447415B (zh) | 2014-08-01 |
Family
ID=41531383
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW098114423A TWI447415B (zh) | 2008-07-21 | 2009-04-30 | 用於可組態掃描架構的測試設計優化器 |
Country Status (5)
Country | Link |
---|---|
US (2) | US8584073B2 (zh) |
EP (1) | EP2316040B1 (zh) |
CN (1) | CN101815951B (zh) |
TW (1) | TWI447415B (zh) |
WO (1) | WO2010011387A1 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI715945B (zh) * | 2018-06-27 | 2021-01-11 | 台灣積體電路製造股份有限公司 | 電腦系統以及分組及排序之方法 |
Families Citing this family (51)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7886263B1 (en) * | 2007-12-10 | 2011-02-08 | Cadence Design Systems, Inc. | Testing to prescribe state capture by, and state retrieval from scan registers |
US7958472B2 (en) * | 2008-09-30 | 2011-06-07 | Synopsys, Inc. | Increasing scan compression by using X-chains |
US8065651B2 (en) * | 2009-01-29 | 2011-11-22 | Synopsys, Inc. | Implementing hierarchical design-for-test logic for modular circuit design |
US8433961B2 (en) * | 2010-05-06 | 2013-04-30 | Arm Limited | Data processing apparatus and method for testing a circuit block using scan chains |
US8887018B2 (en) | 2010-06-11 | 2014-11-11 | Texas Instruments Incorporated | Masking circuit removing unknown bit from cell in scan chain |
JP5003800B2 (ja) * | 2010-07-13 | 2012-08-15 | カシオ計算機株式会社 | 電波時計 |
TWI559156B (zh) * | 2010-10-27 | 2016-11-21 | 索力托自動化設計股份有限公司 | 識別稀有事件故障率的方法與系統 |
US8718998B2 (en) * | 2011-03-17 | 2014-05-06 | Apple Inc. | Identifying initial don't care memory elements for simulation |
JP5361930B2 (ja) * | 2011-03-25 | 2013-12-04 | 株式会社東芝 | 半導体集積回路およびその設計方法 |
CN102156259B (zh) * | 2011-04-02 | 2013-07-03 | 北京大学深圳研究生院 | 一种集成电路的测试方法及一种集成电路 |
CN102737725A (zh) * | 2011-04-13 | 2012-10-17 | 复旦大学 | 自动优化存储器性能的可编程内建自测系统和方法 |
CN102968515B (zh) * | 2011-08-31 | 2016-03-30 | 国际商业机器公司 | 用于计算集成电路模型的验证覆盖率的方法和设备 |
CN102645628B (zh) * | 2012-04-19 | 2014-01-22 | 北京航空航天大学 | 一种数字电路板在线测试的固高固低故障注入电路及方法 |
US9116785B2 (en) | 2013-01-22 | 2015-08-25 | Teradyne, Inc. | Embedded tester |
US9081932B2 (en) * | 2013-02-01 | 2015-07-14 | Qualcomm Incorporated | System and method to design and test a yield sensitive circuit |
US8839061B2 (en) * | 2013-02-07 | 2014-09-16 | Freescale Semiconductor, Inc. | System and method for scan chain re-ordering |
US9329235B2 (en) | 2013-03-13 | 2016-05-03 | Synopsys, Inc. | Localizing fault flop in circuit by using modified test pattern |
US9411014B2 (en) | 2013-03-22 | 2016-08-09 | Synopsys, Inc. | Reordering or removal of test patterns for detecting faults in integrated circuit |
US9239897B2 (en) | 2013-04-03 | 2016-01-19 | Synopsys, Inc. | Hierarchical testing architecture using core circuit with pseudo-interfaces |
US9417287B2 (en) | 2013-04-17 | 2016-08-16 | Synopsys, Inc. | Scheme for masking output of scan chains in test circuit |
US9588179B2 (en) | 2013-06-12 | 2017-03-07 | Synopsys, Inc. | Scheme for masking output of scan chains in test circuit |
US10067187B2 (en) | 2013-07-19 | 2018-09-04 | Synopsys, Inc. | Handling of undesirable distribution of unknown values in testing of circuit using automated test equipment |
CN104700171B (zh) * | 2013-12-06 | 2018-03-02 | 中国飞机强度研究所 | 一种作动筒设备资源的信息管理及优化配置方法 |
US20150178436A1 (en) * | 2013-12-20 | 2015-06-25 | Lattice Semiconductor Corporation | Clock assignments for programmable logic device |
US9330792B2 (en) * | 2014-02-26 | 2016-05-03 | Advantest Corporation | Testing memory devices with distributed processing operations |
US9612272B2 (en) | 2014-02-26 | 2017-04-04 | Advantest Corporation | Testing memory devices with parallel processing operations |
CN104950241B (zh) * | 2014-03-31 | 2017-10-24 | 联发科技(新加坡)私人有限公司 | 集成电路及在集成电路中建立扫描测试架构的方法 |
US10444282B2 (en) * | 2014-10-16 | 2019-10-15 | Mentor Graphics Corporation | Test point insertion for low test pattern counts |
US9274171B1 (en) | 2014-11-12 | 2016-03-01 | International Business Machines Corporation | Customer-transparent logic redundancy for improved yield |
EP3082000B1 (de) * | 2015-04-15 | 2020-06-10 | dSPACE digital signal processing and control engineering GmbH | Verfahren und system zum testen eines mechatronischen systems |
US9842038B2 (en) | 2015-04-30 | 2017-12-12 | Advantest Corporation | Method and system for advanced fail data transfer mechanisms |
CN107851291B (zh) * | 2015-07-28 | 2019-04-19 | 三菱电机株式会社 | 时序数据处理装置 |
US20170254851A1 (en) * | 2016-03-01 | 2017-09-07 | International Business Machines Corporation | Physically aware scan diagnostic logic and power saving circuit insertion |
WO2018029668A1 (en) | 2016-08-09 | 2018-02-15 | Sealights Technologies Ltd. | System and method for continuous testing and delivery of software |
US10520550B2 (en) * | 2017-05-22 | 2019-12-31 | Mentor Graphics Corporation | Reconfigurable scan network defect diagnosis |
CN107885925B (zh) * | 2017-11-03 | 2021-06-29 | 中国电子科技集团公司第五十四研究所 | 一种eda验证阶段的寄存器测试方法 |
CN108362943A (zh) * | 2017-12-30 | 2018-08-03 | 广州市迪士普音响科技有限公司 | 一种多通道功放检测设备 |
US11086759B2 (en) | 2018-09-27 | 2021-08-10 | SeaLights Technologies LTD | System and method for probe injection for code coverage |
CN109445413B (zh) * | 2018-10-26 | 2021-02-02 | 电子科技大学 | 一种大规模电路互连网络的测试向量自动生成方法 |
US10914785B2 (en) * | 2018-11-13 | 2021-02-09 | Realtek Semiconductor Corporation | Testing method and testing system |
US10598730B1 (en) * | 2018-11-13 | 2020-03-24 | Realtek Semiconductor Corporation | Testing method and testing system |
US10816599B2 (en) | 2019-01-16 | 2020-10-27 | International Business Machines Corporation | Dynamically power noise adaptive automatic test pattern generation |
CN110687437A (zh) * | 2019-09-03 | 2020-01-14 | 天津大学 | 一种扫描测试压缩的优化方法 |
US11573885B1 (en) | 2019-09-26 | 2023-02-07 | SeaLights Technologies LTD | System and method for test selection according to test impact analytics |
CN110688821B (zh) * | 2019-09-27 | 2023-10-13 | 北京中电华大电子设计有限责任公司 | 一种复杂算法的测试激励生成器及其控制方法 |
CN112305400B (zh) * | 2020-01-04 | 2023-09-29 | 成都华微电子科技股份有限公司 | 一种参数快速扫描测试装置和方法 |
CN116157694A (zh) * | 2020-11-26 | 2023-05-23 | 华为技术有限公司 | 控制电路及其控制方法、集成电路芯片 |
CN112948191B (zh) * | 2021-02-26 | 2024-08-06 | 记忆科技(深圳)有限公司 | 适应多种封装的测试方法、装置、计算机设备及存储介质 |
CN114563693B (zh) * | 2022-04-28 | 2022-12-16 | 深圳比特微电子科技有限公司 | 基于半静态d触发器的支持可测性设计的电路 |
US12066489B2 (en) * | 2022-09-02 | 2024-08-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Scan flip-flops with pre-setting combinational logic |
CN115309374B (zh) * | 2022-10-11 | 2022-12-13 | 北京云枢创新软件技术有限公司 | Atpg库模型生成系统 |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050015689A1 (en) * | 2001-09-21 | 2005-01-20 | Friedrich Eppensteiner | Electronic component and method for measuring its qualification |
TW200523727A (en) * | 2003-09-15 | 2005-07-16 | Nvidia Corp | A system and method for testing and configuring semiconductor functional circuits |
JP2006023168A (ja) * | 2004-07-07 | 2006-01-26 | Matsushita Electric Ind Co Ltd | 半導体集積回路および半導体集積回路の製造方法 |
US20070100586A1 (en) * | 2004-03-31 | 2007-05-03 | Wu-Tung Cheng | Direct fault diagnostics using per-pattern compactor signatures |
TW200807003A (en) * | 2006-06-27 | 2008-02-01 | Silicon Image Inc | Test clock control structures to generate configurable test clocks for scan-based testing of electronic circuits using programmable test clock controllers |
Family Cites Families (36)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5991909A (en) * | 1996-10-15 | 1999-11-23 | Mentor Graphics Corporation | Parallel decompressor and related methods and apparatuses |
US5983380A (en) * | 1997-09-16 | 1999-11-09 | International Business Machines Corporation | Weighted random pattern built-in self-test |
US6055649A (en) * | 1997-11-19 | 2000-04-25 | Texas Instruments Incorporated | Processor test port with scan chains and data streaming |
JP3243207B2 (ja) | 1997-12-03 | 2002-01-07 | 株式会社半導体理工学研究センター | テスト容易な集積回路、集積回路のテスト容易化設計方法、および集積回路のテスト容易化設計のためのプログラムを記録したコンピュータ読み取り可能な記録媒体 |
US6708303B1 (en) * | 1998-03-06 | 2004-03-16 | Texas Instruments Incorporated | Method and apparatus for controlling a seperate scan output of a scan circuit |
US6662327B1 (en) * | 1998-05-13 | 2003-12-09 | Janusz Rajski | Method for clustered test pattern generation |
HUP0301274A2 (en) * | 1998-09-30 | 2003-08-28 | Cadence Design Systems | Block based design methodology |
US6442723B1 (en) * | 1999-05-12 | 2002-08-27 | International Business Machines Corporation | Logic built-in self test selective signature generation |
US6327687B1 (en) * | 1999-11-23 | 2001-12-04 | Janusz Rajski | Test pattern compression for an integrated circuit test environment |
US6557129B1 (en) * | 1999-11-23 | 2003-04-29 | Janusz Rajski | Method and apparatus for selectively compacting test responses |
US6684358B1 (en) * | 1999-11-23 | 2004-01-27 | Janusz Rajski | Decompressor/PRPG for applying pseudo-random and deterministic test patterns |
US6516432B1 (en) * | 1999-12-22 | 2003-02-04 | International Business Machines Corporation | AC scan diagnostic method |
TW538250B (en) * | 2000-04-21 | 2003-06-21 | Ind Tech Res Inst | Built-in self-verification circuit applied in system chip design |
US6865706B1 (en) * | 2000-06-07 | 2005-03-08 | Agilent Technologies, Inc. | Apparatus and method for generating a set of test vectors using nonrandom filling |
US6587996B1 (en) * | 2000-06-12 | 2003-07-01 | Intel Corporation | Device and method for increased fault coverage using scan insertion techniques around synchronous memory |
US6990619B1 (en) * | 2000-08-31 | 2006-01-24 | Synopsys, Inc. | System and method for automatically retargeting test vectors between different tester types |
US6904553B1 (en) * | 2000-09-26 | 2005-06-07 | Hewlett-Packard Development Company, L.P. | Deterministic testing of edge-triggered logic |
US7137053B2 (en) * | 2001-09-04 | 2006-11-14 | Verigg Ipco | Bandwidth matching for scan architectures in an integrated circuit |
US20030126533A1 (en) * | 2001-12-28 | 2003-07-03 | Mcadams Mark Alan | Testing of circuit modules embedded in an integrated circuit |
US6807645B2 (en) * | 2002-02-04 | 2004-10-19 | International Business Machines Corporation | Method and apparatus for implementing enhanced LBIST diagnostics of intermittent failures |
US20030163774A1 (en) * | 2002-02-26 | 2003-08-28 | Parrish Gregory C. | Method, apparatus, and system for efficient testing |
US7234092B2 (en) * | 2002-06-11 | 2007-06-19 | On-Chip Technologies, Inc. | Variable clocked scan test circuitry and method |
DE602004014904D1 (de) * | 2003-02-13 | 2008-08-21 | Mentor Graphics Corp | Komprimieren von testantworten unter verwendung eines kompaktors |
US7302624B2 (en) * | 2003-02-13 | 2007-11-27 | Janusz Rajski | Adaptive fault diagnosis of compressed test responses |
US6961886B2 (en) * | 2003-04-16 | 2005-11-01 | International Business Machines Corporation | Diagnostic method for structural scan chain designs |
US7584392B2 (en) * | 2003-05-23 | 2009-09-01 | Cadence Design Systems, Inc. | Test compaction using linear-matrix driven scan chains |
US7418640B2 (en) * | 2004-05-28 | 2008-08-26 | Synopsys, Inc. | Dynamically reconfigurable shared scan-in test architecture |
US7395473B2 (en) * | 2004-12-10 | 2008-07-01 | Wu-Tung Cheng | Removing the effects of unknown test values from compacted test responses |
US7487419B2 (en) * | 2005-06-15 | 2009-02-03 | Nilanjan Mukherjee | Reduced-pin-count-testing architectures for applying test patterns |
US7415678B2 (en) * | 2005-11-15 | 2008-08-19 | Synopsys, Inc. | Method and apparatus for synthesis of multimode X-tolerant compressor |
US7840861B2 (en) * | 2006-06-27 | 2010-11-23 | Silicon Image, Inc. | Scan-based testing of devices implementing a test clock control structure (“TCCS”) |
EP1994419B1 (en) * | 2006-02-17 | 2013-11-06 | Mentor Graphics Corporation | Multi-stage test response compactors |
EP1865601A1 (en) * | 2006-06-08 | 2007-12-12 | STMicroelectronics S.r.l. | Asynchronous RS flip-flop having a test mode |
US7647540B2 (en) * | 2006-07-21 | 2010-01-12 | Janusz Rajski | Decompressors for low power decompression of test patterns |
CN101663648B (zh) * | 2007-02-12 | 2012-10-03 | 明导公司 | 低功耗扫描测试技术及装置 |
US7840865B2 (en) * | 2007-03-23 | 2010-11-23 | Mentor Graphics Corporation | Built-in self-test of integrated circuits using selectable weighting of test patterns |
-
2008
- 2008-10-09 US US12/248,710 patent/US8584073B2/en active Active
-
2009
- 2009-04-30 EP EP09800722.2A patent/EP2316040B1/en active Active
- 2009-04-30 WO PCT/US2009/042367 patent/WO2010011387A1/en active Application Filing
- 2009-04-30 TW TW098114423A patent/TWI447415B/zh active
- 2009-04-30 CN CN200980000211.2A patent/CN101815951B/zh active Active
-
2013
- 2013-11-05 US US14/072,529 patent/US8954918B2/en active Active
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050015689A1 (en) * | 2001-09-21 | 2005-01-20 | Friedrich Eppensteiner | Electronic component and method for measuring its qualification |
TW200523727A (en) * | 2003-09-15 | 2005-07-16 | Nvidia Corp | A system and method for testing and configuring semiconductor functional circuits |
US20070100586A1 (en) * | 2004-03-31 | 2007-05-03 | Wu-Tung Cheng | Direct fault diagnostics using per-pattern compactor signatures |
JP2006023168A (ja) * | 2004-07-07 | 2006-01-26 | Matsushita Electric Ind Co Ltd | 半導体集積回路および半導体集積回路の製造方法 |
TW200807003A (en) * | 2006-06-27 | 2008-02-01 | Silicon Image Inc | Test clock control structures to generate configurable test clocks for scan-based testing of electronic circuits using programmable test clock controllers |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI715945B (zh) * | 2018-06-27 | 2021-01-11 | 台灣積體電路製造股份有限公司 | 電腦系統以及分組及排序之方法 |
Also Published As
Publication number | Publication date |
---|---|
EP2316040B1 (en) | 2013-12-18 |
EP2316040A1 (en) | 2011-05-04 |
EP2316040A4 (en) | 2012-11-14 |
TW201009368A (en) | 2010-03-01 |
US8954918B2 (en) | 2015-02-10 |
US8584073B2 (en) | 2013-11-12 |
US20100017760A1 (en) | 2010-01-21 |
WO2010011387A1 (en) | 2010-01-28 |
CN101815951A (zh) | 2010-08-25 |
US20140059399A1 (en) | 2014-02-27 |
CN101815951B (zh) | 2013-09-04 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI447415B (zh) | 用於可組態掃描架構的測試設計優化器 | |
Goel et al. | Effective and efficient test architecture design for SOCs | |
US7823034B2 (en) | Pipeline of additional storage elements to shift input/output data of combinational scan compression circuit | |
US7814444B2 (en) | Scan compression circuit and method of design therefor | |
US7562321B2 (en) | Method and apparatus for structured ASIC test point insertion | |
US20210033669A1 (en) | Reversible Multi-Bit Scan Cell-based Scan Chains For Improving Chain Diagnostic Resolution | |
US11041906B2 (en) | Optimized scan chain diagnostic pattern generation for reversible scan architecture | |
US10520550B2 (en) | Reconfigurable scan network defect diagnosis | |
US9157961B2 (en) | Two-level compression through selective reseeding | |
US20220043062A1 (en) | Bidirectional scan cells for single-path reversible scan chains | |
US10346557B2 (en) | Increasing compression by reducing padding patterns | |
WO2009084424A1 (ja) | 半導体テスト装置、半導体装置および試験方法 | |
US20100131910A1 (en) | Simulating Scan Tests with Reduced Resources | |
US8468409B2 (en) | Speed-path debug using at-speed scan test patterns | |
US7500165B2 (en) | Systems and methods for controlling clock signals during scan testing integrated circuits | |
US8099705B2 (en) | Technique for determining circuit interdependencies | |
Lin et al. | Speed binning with high-quality structural patterns from functional timing analysis (FTA) | |
Sonawane et al. | Hybrid Performance Modeling for Optimization of In-System-Structural-Test (ISST) Latency | |
Kasturi Rangan | Design-dependent monitors to track the timing of digital CMOS circuits | |
Das et al. | Implementation of a testing environment for digital IP cores | |
Zhang | Production-Level Test Issues in Delay Line Based Asynchronous Designs | |
Polian et al. | Multiple scan chain design for two-pattern testing | |
Takeuchi et al. | Clock-skew test module for exploring reliable clock-distribution under process and global voltage-temperature variations | |
Lim et al. | Reconfigurable Multi-Bit Scan Flip-Flop for Cell-Aware Diagnosis | |
CN118468776A (zh) | 用于扫描测试的电路系统、方法、装置、介质和程序产品 |