TWI426361B - Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings - Google Patents
Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings Download PDFInfo
- Publication number
- TWI426361B TWI426361B TW095100721A TW95100721A TWI426361B TW I426361 B TWI426361 B TW I426361B TW 095100721 A TW095100721 A TW 095100721A TW 95100721 A TW95100721 A TW 95100721A TW I426361 B TWI426361 B TW I426361B
- Authority
- TW
- Taiwan
- Prior art keywords
- weight
- diamino
- methyl
- formulation
- composition
- Prior art date
Links
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/42—Stripping or agents therefor
- G03F7/422—Stripping or agents therefor using liquids only
- G03F7/425—Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/30—Imagewise removal using liquid means
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/30—Imagewise removal using liquid means
- G03F7/32—Liquid compositions therefor, e.g. developers
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/34—Imagewise removal by selective transfer, e.g. peeling away
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/091—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
Description
本發明係關於在微電子裝置製造中用於有效地自具有硬化光阻劑及/或底層抗反射塗料(BARC)之基板上將此等層移除的水基組成物,及關於使用此等組成物於自微電子裝置移除硬化光阻劑及/或BARC層之方法。The present invention relates to water-based compositions for efficient removal of such layers from substrates having cured photoresists and/or underlying anti-reflective coatings (BARC) in the manufacture of microelectronic devices, and for the use of such The composition is a method of removing a hardened photoresist and/or a BARC layer from a microelectronic device.
微影(photolithography)技術包括塗布、曝光及顯影之步驟。先將晶圓塗布正型或負型光阻劑物質,並接著覆蓋界定待於後續程序中保留或移除之圖案的光罩。於將光罩正確定位後,將單色輻射,諸如紫外(UV)光或深UV(DUV)光(λ250奈米)之光束導引通過光罩,以使經曝光之光阻劑材料或多或少可溶解於選定的沖洗溶液中。然後將可溶解的光阻劑材料移除,或「顯影」,因而留下與光罩相同的圖案。Photolithography techniques include the steps of coating, exposure, and development. The wafer is first coated with a positive or negative photoresist material and then covered with a reticle that defines a pattern to be retained or removed in subsequent processes. After the reticle is properly positioned, monochromatic radiation, such as ultraviolet (UV) light or deep UV (DUV) light (λ) The 250 nm light beam is directed through the reticle so that the exposed photoresist material is more or less soluble in the selected rinsing solution. The soluble photoresist material is then removed, or "developed," leaving the same pattern as the reticle.
目前有四種顯影輻射波長被使用於微影工業中-436奈米、365奈米、248奈米及193奈米-且近來的研究心力係集中在157奈米微影製程上。理論上,隨各波長的減小,可於半導體晶片上製作較小的特徵。然而,由於半導體基板之反射比係與微影波長成反比,因而干涉及不均勻曝光的光阻劑限制了微電子裝置之臨界尺寸的一致性。There are currently four development radiation wavelengths used in the lithography industry - 436 nm, 365 nm, 248 nm, and 193 nm - and recent research efforts have focused on the 157 nm lithography process. In theory, as the wavelengths decrease, smaller features can be fabricated on the semiconductor wafer. However, since the reflectance of the semiconductor substrate is inversely proportional to the wavelength of the lithography, the photoresist involved in uneven exposure limits the uniformity of the critical dimensions of the microelectronic device.
舉例來說,當暴露至DUV輻射時,熟知光阻劑之透射比與基板對DUV波長之高反射比結合導致DUV輻射往回反射至光阻劑中,因而於光阻劑層中產生駐波。駐波於光阻劑中觸發進一步的光化學反應,導致包括在不期望暴露至輻射之經遮蔽部分中之光阻劑的不均勻曝光,其造成線寬、間隔及其他臨界尺寸的變化。For example, when exposed to DUV radiation, a combination of a known transmittance of the photoresist and a high reflectance of the substrate to the DUV wavelength causes DUV radiation to be reflected back into the photoresist, thereby creating a standing wave in the photoresist layer. . The standing wave triggers a further photochemical reaction in the photoresist, resulting in a non-uniform exposure of the photoresist included in the masked portion that is not desired to be exposed to the radiation, which causes variations in line width, spacing, and other critical dimensions.
為解決透射比及反射比的問題,已發展出無機及有機性質的底層抗反射塗料(BARC),其係在塗布光阻劑之前先塗布至基板。舉例來說,有機BARC,包括,但不限於,聚碸、聚脲、聚脲碸、聚丙烯酸酯及聚(乙烯基吡啶),典型上係600-1200埃厚,且係使用旋轉塗布技術沈積。一般而言,有機BARC係平面化層,其均勻填補通道,且係高度交聯。有機BARC藉由使BARC層之反射率與光阻劑層之反射率相配合而防止光反射,同時並吸收輻射,因而防止輻射反射及駐波。In order to solve the problems of transmittance and reflectance, inorganic and organic underlying antireflective coatings (BARC) have been developed which are applied to a substrate before coating the photoresist. For example, organic BARCs, including, but not limited to, polyfluorenes, polyureas, polyureas, polyacrylates, and poly(vinylpyridines), typically 600-1200 angstroms thick, are deposited using spin coating techniques. . In general, organic BARC is a planarization layer that uniformly fills the channels and is highly crosslinked. The organic BARC prevents light reflection by matching the reflectance of the BARC layer with the reflectance of the photoresist layer, while absorbing radiation, thereby preventing radiation reflection and standing waves.
在積體電路的後段製程(BEOL;back-end-of-line)雙重鑲嵌(dual-damascene)加工中,使用氣相電漿蝕刻於將經顯影光阻劑塗層之圖案轉移至下方的介電塗層。在圖案轉移過程中,反應性電漿氣體與經顯影光阻劑反應,而導致於光阻劑之表面上形成經硬化、交聯的聚合材料或「外皮」。此外,反應性電漿氣體與BARC之側壁及經蝕刻至介電質中之特徵反應。在前段製程(FEOL)加工中,使用離子植入於將摻雜劑原子加至經暴露的晶圓層中。經離子植入暴露之光阻劑與經電漿蝕刻之光阻劑類似,亦係高度交聯。In the back-end-of-line (dual-damascene) processing of the integrated circuit, vapor phase plasma etching is used to transfer the pattern of the developed photoresist coating to the lower layer. Electrocoating. During the pattern transfer process, the reactive plasma gas reacts with the developed photoresist to cause a hardened, crosslinked polymeric material or "skin" to form on the surface of the photoresist. In addition, the reactive plasma gas reacts with the sidewalls of the BARC and the features etched into the dielectric. In front-end processing (FEOL) processing, ion implantation is used to add dopant atoms to the exposed wafer layer. Photoresist exposed photoresists are similar to plasma etched photoresists and are also highly crosslinked.
將硬化光阻劑及/或BARC材料自微電子裝置晶圓完全移除經證實有困難及/或成本高昂。若未經移除,則此等層會干擾後續的矽化或接點形成。典型上,此等層係經由氧化或還原電漿灰化或濕式清潔而移除。然而,使基板暴露至氧化或還原電漿蝕刻的電漿灰化會藉由改變特徵形狀及尺寸,或藉由增加介電材料之介電常數,而對介電材料造成損壞。當低k介電材料,諸如有機矽酸鹽玻璃(OSG)或摻雜碳之氧化物玻璃,為下方之介電材料時,後一問題更為嚴重。因此,通常希望避免使用電漿灰化於移除硬化光阻劑及/或BARC層。Complete removal of the hardened photoresist and/or BARC material from the microelectronic device wafer has proven difficult and/or costly. If not removed, such layers can interfere with subsequent deuteration or contact formation. Typically, such layers are removed via oxidation or reduction plasma ashing or wet cleaning. However, plasma ashing that exposes the substrate to oxidation or reduction plasma etching can cause damage to the dielectric material by changing the shape and size of the features, or by increasing the dielectric constant of the dielectric material. The latter problem is exacerbated when low-k dielectric materials, such as organic tellurite glass (OSG) or carbon-doped oxide glass, are underlying dielectric materials. Therefore, it is often desirable to avoid the use of plasma ashing to remove the hardened photoresist and/or BARC layer.
當在BEOL應用中使用清潔劑/蝕刻劑組成物於處理具有鋁或銅互連線的表面時,組成物應具有良好的金屬相容性,例如,於銅、鋁、鈷等等上的低蝕刻速率。水性移除溶液由於處置技術較簡單而為較佳,然而,該光阻劑「外皮」典型上極不溶於水性清潔劑,尤其係不會損壞介電質的清潔劑中。通常將實質量的共溶劑、濕潤劑及/或表面活性劑添加至水溶液中,以改良溶液的清潔力。When using a cleaner/etchant composition in a BEOL application to treat a surface having aluminum or copper interconnects, the composition should have good metal compatibility, for example, low on copper, aluminum, cobalt, and the like. Etching rate. The aqueous removal solution is preferred because of the relatively simple disposal technique, however, the photoresist "skin" is typically very insoluble in aqueous cleaners, especially in detergents that do not damage the dielectric. A substantial amount of cosolvent, wetting agent, and/or surfactant is typically added to the aqueous solution to improve the cleaning power of the solution.
舉例來說,共溶劑可藉由提高光阻劑材料於組成物中之溶解度及/或減低溶液表面張力(即增加可濕性),而提高移除硬化光阻劑之能力,然而,包含共溶劑會提高其他材料諸如金屬及低k介電質的不期望腐蝕。為此,需要不含共溶劑的水溶液,較佳係可自下方介電質完全及有效率地移除硬化光阻劑及/或BARC層的水溶液。For example, the co-solvent can improve the ability to remove the hardened photoresist by increasing the solubility of the photoresist material in the composition and/or reducing the surface tension of the solution (ie, increasing the wettability). Solvents can increase undesirable corrosion of other materials such as metals and low-k dielectrics. To this end, an aqueous solution containing no cosolvent is required, preferably an aqueous solution of the hardened photoresist and/or BARC layer can be completely and efficiently removed from the underlying dielectric.
本發明係關於包含高離液(chaotropic)溶質的移除組成物。理論上高離液溶質會使液態水的氫鍵結構拆解或分離,因此使其他物種(例如,聚合物)於水中的溶解度提高。離液劑(chaotrope)的效應首先由Hofmeister於1888年(Hofmeister,F.,Arch.Exp.Pathol.Pharmakol. ,24,247-260(1888))注意到成蛋白質溶解度的函數,且於包含一「系列」陰離子的溶液中基於蛋白質溶解度產生該等陰離子(Collins,K.D.,Washabaugh,M.W.,Quart.Rev.Biophysics ,18(4),323-422(1985))。熟知之高離液陰離子包括Cl- 、NO3 - 、Br- 、I- 、ClO4 - 、及SCN- 。其他的高離液物種包括鈲離子及非離子性脲,其經證實可提高烴於水溶液中之溶解度(Wetlaufer,D.B.,Malik,S.K.,Stoller,L.,Coffin,R.L.,J.Am.Chem.Soc. ,86,508-514(1964))。The present invention relates to a removal composition comprising a high chaotropic solute. Theoretically, high chaotropic solutes disassemble or separate the hydrogen bonding structure of liquid water, thereby increasing the solubility of other species (eg, polymers) in water. The effect of chaotrope was first noted by Hofmeister in 1888 (Hofmeister, F., Arch. Exp. Pathol. Pharmakol . , 24, 247-260 (1888)) as a function of protein solubility, and contains one These anions are produced based on protein solubility in a solution of "series" anions (Collins, KD, Washabaugh, MW, Quart . Rev. Biophysics , 18(4), 323-422 (1985)). Well-known high chaotropic anions include Cl - , NO 3 - , Br - , I - , ClO 4 - , and SCN - . Other high chaotropic species include strontium ions and nonionic ureas which have been shown to increase the solubility of hydrocarbons in aqueous solutions (Wetlaufer, DB, Malik, SK, Stoller, L., Coffin, RL, J. Am. Chem. Soc. , 86, 508-514 (1964)).
近來Xu等人報告聚(4-乙烯基酚)凝膠於含離液劑之溶液中的潤脹行為(Xu,L.,Yokoyama,E.,Watando,H.,Okuda-Fukui,R.,Kawauchi,S.,Satoh,M.,Langmuir ,20,7064-7069(2004))。聚(4-乙烯基酚)係經證實可於四烷基氯化銨水溶液中潤脹的高度交聯聚合物,潤脹指示聚合物於含離液劑之溶液中的增加溶解度。同樣地,硬化光阻劑及BARC層係高度交聯,因此,高離液溶質理論上應以類似的方式潤脹經交聯光阻劑及BARC層。Recently, Xu et al. reported the swelling behavior of poly(4-vinylphenol) gel in a solution containing a chaotropic agent (Xu, L., Yokoyama, E., Watando, H., Okuda-Fukui, R., Kawauchi, S., Satoh, M., Langmuir , 20, 7064-7069 (2004)). Poly(4-vinylphenol) is a highly crosslinked polymer that has been shown to swell in aqueous tetraalkylammonium chloride, and the swelling indicates increased solubility of the polymer in a solution containing a chaotropic agent. Similarly, the hardened photoresist and the BARC layer are highly crosslinked, so high chaotropic solutes should theoretically swell the crosslinked photoresist and BARC layer in a similar manner.
因此,提供一種能克服先前技藝之關於自微電子裝置移除硬化光阻劑及/或BARC層之缺失之不含共溶劑的水基組成物在技藝中將係一項重大進步。Accordingly, it would be a significant advancement in the art to provide a water-based composition that is free of cosolvent-removing prior art removal of the hardened photoresist and/or BARC layer from the microelectronic device.
此外,提供一種包含高離液溶質之水基組成物,以提高硬化光阻劑及/或BARC層於該組成物中之溶解度,而達成該等層自其上具有該等層之微電子裝置之表面的移除,在技藝中將係一項重大進步。Further, a water-based composition comprising a high chaotropic solute is provided to increase the solubility of the hardened photoresist and/or the BARC layer in the composition to achieve a microelectronic device having the layers from the layers The removal of the surface will be a major advancement in the art.
本發明係關於在微電子裝置製造中用於有效地自其上具有硬化光阻劑及/或BARC層之基板將此等層移除的水基組成物,及關於使用此等組成物於自微電子裝置移除硬化光阻劑及/或BARC層之方法。The present invention relates to water-based compositions for use in the manufacture of microelectronic devices for effectively removing such layers from a substrate having a cured photoresist and/or BARC layer thereon, and for the use of such compositions A method of removing a hardened photoresist and/or a BARC layer by a microelectronic device.
在一態樣中,本發明係關於一種用於有效地自具有光阻劑及/或底層抗反射塗料(BARC)材料之微電子裝置基板上將此等材料移除的水基移除組成物,該組成物包含在水性介質中之至少一高離液溶質及至少一鹼性鹽,其中該移除組成物可有效用於自具有光阻劑及/或BARC材料之微電子裝置上將此等材料移除。In one aspect, the present invention is directed to a water-based removal composition for effectively removing such materials from a substrate of a microelectronic device having a photoresist and/or a bottom anti-reflective coating (BARC) material. The composition comprises at least one high chaotropic solute and at least one basic salt in an aqueous medium, wherein the removal composition is effective for use on a microelectronic device having a photoresist and/or a BARC material Wait for material to be removed.
在另一態樣中,本發明係關於一種自具有光阻劑及/或BARC材料之基板上將該材料移除之方法,該方法包括使基板與水基移除組成物接觸足夠的時間,以自基板至少部分移除該材料,其中該水基移除組成物包含在水性介質中之至少一高離液溶質及至少一鹼性鹽。In another aspect, the invention relates to a method of removing a material from a substrate having a photoresist and/or a BARC material, the method comprising contacting the substrate with a water-based removal composition for a sufficient period of time, The material is at least partially removed from the substrate, wherein the water-based removal composition comprises at least one high chaotropic solutes and at least one basic salt in the aqueous medium.
在另一態樣中,本發明係關於一種製造微電子裝置之方法,該方法包括使微電子裝置與水基移除組成物接觸足夠的時間,以自具有光阻劑及/或BARC材料之微電子裝置上至少部分移除該材料,其中該水基移除組成物包含在水性介質中之至少一高離液溶質及至少一鹼性鹽。In another aspect, the present invention is directed to a method of fabricating a microelectronic device, the method comprising contacting a microelectronic device with a water-based removal composition for a time sufficient to have a photoresist and/or a BARC material. The material is at least partially removed from the microelectronic device, wherein the water-based removal composition comprises at least one high chaotropic solutes and at least one basic salt in the aqueous medium.
本發明之又另一態樣係關於使用本發明之方法製得之改良的微電子裝置及併入該裝置之製品,該方法包括使用文中說明之方法及/或組成物自其上具有光阻劑及/或BARC層之微電子裝置至少部分移除該材料,及視需要將微電子裝置併入至製品中。Still another aspect of the invention is directed to an improved microelectronic device made using the method of the invention and an article incorporating the device, the method comprising using the method and/or composition described herein to have a photoresist thereon The microelectronic device of the agent and/or BARC layer at least partially removes the material and, if desired, incorporates the microelectronic device into the article.
本發明之其他態樣、特徵及具體例將可由隨後之揭示內容及隨附之申請專利範圍而更加明白。Other aspects, features and specific examples of the invention will be apparent from the appended claims and appended claims.
本發明係基於發現一種對於自其上具有硬化光阻劑及BARC層之圖案化微電子裝置晶圓將該等材料移除極度有效的水基組成物。明確言之,本發明係關於自經電漿蝕刻及/或離子植入的微電子裝置晶圓移除硬化光阻劑及/或BARC層。The present invention is based on the discovery of a water-based composition that is extremely effective in removing such materials from patterned microelectronic device wafers having cured photoresist and BARC layers thereon. In particular, the present invention relates to the removal of hardened photoresist and/or BARC layers from plasma-etched and/or ion-implanted microelectronic device wafers.
為容易參考起見,「微電子裝置」係對應於半導體基板、平面顯示器、及經製造供使用於微電子、積體電路、或電腦晶片應用中之微機電系統(MEMS)。應瞭解術語「微電子裝置」並不具任何限制意味,且其包括任何最終將成為微電子裝置或微電子組件的基板。微電子裝置為半導體基板較佳。For ease of reference, "microelectronic devices" correspond to semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS) that are manufactured for use in microelectronics, integrated circuits, or computer chip applications. It should be understood that the term "microelectronic device" is not meant to be limiting, and it includes any substrate that will eventually become a microelectronic device or microelectronic assembly. The microelectronic device is preferably a semiconductor substrate.
此處所使用之「硬化光阻劑」包括,但不限於,經電漿蝕刻(例如,在積體電路之BEOL雙重鑲嵌加工中)及/或離子植入(例如,在前段製程(FEOL)加工中,以於微電子裝置晶圓之適當層中植入摻雜劑物種)的光阻劑。As used herein, "hardened photoresist" includes, but is not limited to, plasma etched (eg, in BEOL dual damascene processing of integrated circuits) and/or ion implanted (eg, in front end processing (FEOL) processing) A photoresist for implanting a dopant species in a suitable layer of a microelectronic device wafer.
此處所使用之「約」係相當於所述值的±5%。As used herein, "about" is equivalent to ± 5% of the stated value.
本發明之組成物可以如更完整說明於後文之相當多樣的特定配方具體實施。The compositions of the present invention may be embodied as a more complete description of the particular formulations which will be described hereinafter.
在所有此等組成物中(其中組成物的特定成分係參照包括零下限的重量百分比範圍作論述),當明瞭在組成物之各種特定具體例中可存在或不存在此等成分,且在存在此等成分之情況中,其可以基於其中使用此等成分之組成物之總重量計低至0.01重量百分比的濃度存在。In all such compositions (wherein the specific components of the composition are referred to in the range of weight percentages including the lower limit of zero), it will be apparent that such components may or may not be present in various specific embodiments of the composition and are present In the case of such ingredients, it may be present in a concentration as low as 0.01 weight percent based on the total weight of the composition in which the ingredients are used.
在一態樣中,本發明係關於有用於自微電子裝置基板移除硬化光阻劑及/或BARC層的水基移除組成物。本發明之配方包含在水性介質中之至少一高離液溶質及至少一鹼性鹽,其以組成物之總重量計,係以下述範圍存在:
在本發明之廣義實行中,水基移除組成物可包含在水性介質中之至少一高離液溶質及至少一鹼性鹽,由其所組成,或基本上由其所組成。一般而言,可適當地改變高離液溶質、鹼性鹽及水性介質相對於彼此的特定比例及量,以提供水基組成物對於硬化光阻劑及/或BARC層物種及/或處理設備之期望的移除作用,其係可無需過多心力而於技藝技能內容易地決定。In a broad practice of the invention, the water-based removal composition can comprise, consist of, or consist essentially of at least one high chaotropic solute and at least one basic salt in an aqueous medium. In general, the specific ratios and amounts of high chaotropic solutes, basic salts, and aqueous media relative to each other can be suitably varied to provide water-based compositions for hardened photoresists and/or BARC layer species and/or processing equipment. The desired removal action can be easily determined within the skill skill without undue effort.
此處所使用之「水性介質」可為任何不會改變至少一高離液溶質及至少一鹼性鹽之移除效力的水基介質。水性介質為水較佳,去離子水最佳。As used herein, "aqueous medium" can be any aqueous medium that does not alter the removal effectiveness of at least one high chaotropic solutes and at least one basic salt. The aqueous medium is preferably water, and the deionized water is the best.
高離液溶質可提高硬化光阻劑及/或BARC成分物種於水基組成物中之溶解度。此處所定義之「高離液溶質」係指可提高水性鹼性組成物移除硬化光阻劑及/或BARC層之能力之水溶性或水性鹼性可溶解中性及陰離子性物種。「高離液陰離子」較佳具有大於或等於1.6埃之原子或分子半徑,舉例而言,該等習知為高離液的陰離子包括,但不限於,氯離子、溴離子、碘離子、硝酸根、硫氰酸根及氯酸根。其他於此處考慮使用作為高離液溶質的溶質包括,但不限於:脲;及鈲鹽,例如,氯化鈲。另外,吾人預期一些溶質基於與已知離液劑的結構類似性而可作為離液劑。此等溶質可包括,但不限於:陰離子性苯甲酸鹽及苯甲酸鹽衍生物諸如2-、3-或4-胺基苯甲酸、2-、3-或4-硝基苯甲酸、2-、3-或4-對甲氧苯甲酸、2-、3-或4-氟-、氯-、溴-或碘-苯甲酸、2-、3-或4-甲硫基苯甲酸,及其他經單取代或多取代的苯甲酸鹽;2,4-二胺基-6-甲基-1,3,5-三;苯胺或經取代苯胺諸如2-、3-或4-甲硫基苯胺或2-、3-或4-甲氧苯胺;1,2-、1,3-或1,4-苯二胺,含氮雜環化合物諸如1,3,5-三或經取代1,3,5-三諸如三聚氰胺、乙醯鳥糞胺、2,4-二胺基-6-苯基-1,3,5-三、2-氯-4,6-二胺基-1,3,5-三、2,4,6-三甲氧基-1,3,5-三、2,4,6-三甲氧基-1,3,5-三、2,4-二胺基-1,3,5-三、2-胺基-1,3,5-三、2-胺基-4-乙氧基-6-(甲胺基)-1,3,5-三、2-甲氧基-4-甲基-6-(甲胺基)-1,3,5-三、1,2,4-三唑或經取代1,2,4-三唑;咪唑或經取代咪唑諸如2-巰基咪唑及2-巰基苯并咪唑。The high chaotropic solute increases the solubility of the hardened photoresist and/or the BARC component species in the water-based composition. As used herein, "highly chaotropic solute" means a water-soluble or aqueous alkaline soluble neutral and anionic species that enhances the ability of an aqueous alkaline composition to remove a hardened photoresist and/or a BARC layer. The "high chaotropic anion" preferably has an atomic or molecular radius greater than or equal to 1.6 angstroms. For example, such highly anion-removing anions include, but are not limited to, chloride, bromide, iodide, nitric acid. Root, thiocyanate and chlorate. Other solutes contemplated for use herein as high chaotropic solutes include, but are not limited to, urea; and phosphonium salts, for example, rhodium chloride. In addition, it is expected that some solutes may be used as chaotropic agents based on structural similarities to known chaotropic agents. Such solutes may include, but are not limited to, anionic benzoates and benzoate derivatives such as 2-, 3- or 4-aminobenzoic acid, 2-, 3- or 4-nitrobenzoic acid, 2-, 3- or 4-p-methoxybenzoic acid, 2-, 3- or 4-fluoro-, chloro-, bromo- or iodo-benzoic acid, 2-, 3- or 4-methylthiobenzoic acid, And other monosubstituted or polysubstituted benzoates; 2,4-diamino-6-methyl-1,3,5-three An aniline or substituted aniline such as 2-, 3- or 4-methylthioaniline or 2-, 3- or 4-methoxyaniline; 1,2-, 1,3- or 1,4-phenylenediamine, Nitrogen-containing heterocyclic compounds such as 1,3,5-three Or replaced by 1,3,5-three Such as melamine, acetaminophen, 2,4-diamino-6-phenyl-1,3,5-three 2-chloro-4,6-diamino-1,3,5-three , 2,4,6-trimethoxy-1,3,5-three , 2,4,6-trimethoxy-1,3,5-three 2,4-diamino-1,3,5-three 2-amino-1,3,5-three 2-amino-4-ethoxy-6-(methylamino)-1,3,5-three 2-methoxy-4-methyl-6-(methylamino)-1,3,5-three 1,2,4-triazole or substituted 1,2,4-triazole; imidazole or substituted imidazole such as 2-mercaptoimidazole and 2-mercaptobenzimidazole.
與高離液陰離子締合的陽離子係不含金屬離子較佳,例如,(NR1 R2 R3 R4 )+ ,其中R1 、R2 、R3 及R4 可彼此相同或不同,且各係獨立選自由氫及C1 -C6 烷基所組成之群。與高離液陰離子締合之陽離子為四甲基銨、四丁基銨及苄基三甲基銨離子較佳。The cation associated with the high chaotropic anion is preferably free of metal ions, for example, (NR 1 R 2 R 3 R 4 ) + , wherein R 1 , R 2 , R 3 and R 4 may be the same or different from each other, and independently selected from the group consisting faculties the group consisting of hydrogen and C 1 -C 6 alkyl. The cations associated with the high chaotropic anion are preferably tetramethylammonium, tetrabutylammonium and benzyltrimethylammonium ions.
鹼性鹽可侵蝕硬化光阻劑及/或BARC層。雖然不以理論為基礎,但據認為高離液溶質潤脹聚合層,而使鹼性鹽可侵蝕硬化光阻劑及/或BARC層的每個界面。因此,基板與硬化光阻劑及/或BARC層之間的界面受損,且硬化光阻劑及/或BARC層自基板脫離。此處所考慮的鹼性鹽包括不含金屬離子的氫氧化物,例如,(NR1 R2 R3 R4 )OH,其中R1 、R2 、R3 及R4 可彼此相同或不同,且各係獨立選自由氫及C1 -C6 烷基所組成之群。鹼性鹽為氫氧化四甲銨,且水基移除組成物之pH係至少約13較佳。The alkaline salt can attack the hardened photoresist and/or the BARC layer. Although not based on theory, it is believed that the high chaotropic solute swells the polymeric layer such that the basic salt erodes each interface of the hardened photoresist and/or BARC layer. Thus, the interface between the substrate and the hardened photoresist and/or BARC layer is compromised and the hardened photoresist and/or BARC layer is detached from the substrate. The basic salt considered herein includes a metal ion-free hydroxide, for example, (NR 1 R 2 R 3 R 4 )OH, wherein R 1 , R 2 , R 3 and R 4 may be the same or different from each other, and independently selected from the group consisting faculties the group consisting of hydrogen and C 1 -C 6 alkyl. The basic salt is tetramethylammonium hydroxide and the pH of the water-based removal composition is preferably at least about 13.
一般而言,可適當地改變高離液溶質、鹼性鹽及去離子水相對於彼此的特定比例及量,以提供水基組成物對待自基板清除之特定光阻劑及/或BARC層之期望的溶解作用。此等特定比例及量係可無需過多心力而於技藝技能內藉由簡單的實驗容易地決定。In general, the specific ratios and amounts of high chaotropic solutes, basic salts, and deionized water relative to each other can be suitably varied to provide a water-based composition for the particular photoresist and/or BARC layer to be removed from the substrate. Desirable dissolution. These specific ratios and quantities can be easily determined by simple experimentation within the skill skill without undue effort.
本發明之水基移除組成物的移除效率可藉由在待移除之光阻劑及/或BARC層與水基移除組成物的接觸中利用高溫條件而增進。The removal efficiency of the water-based removal composition of the present invention can be enhanced by utilizing high temperature conditions in the contact of the photoresist and/or BARC layer to be removed with the water-based removal composition.
本發明之水基移除組成物視需要可經調配成具有額外成分,以進一步增進組成物的移除能力,或以其他方式改良組成物的特性。因此,組成物可經調配成具有表面活性劑、安定劑、鉗合劑、腐蝕抑制劑、錯合劑等等。雖然本發明之水基移除組成物一般不含有機共溶劑,但可包含有機共溶劑,只要其不會腐蝕其他材料諸如金屬及低k介電質即可。此處所考慮之共溶劑包括烷醇(例如,直鏈或分支鏈C1 -C6 醇)、丁基卡必醇、甲基卡必醇、環丁碸-w、環丁碸A、及丙二醇。The water-based removal composition of the present invention can be formulated to have additional ingredients as needed to further enhance the removal ability of the composition, or otherwise improve the characteristics of the composition. Thus, the composition can be formulated to have surfactants, stabilizers, chelating agents, corrosion inhibitors, complexing agents, and the like. While the water-based removal compositions of the present invention generally do not contain an organic co-solvent, they may comprise an organic co-solvent as long as they do not corrode other materials such as metals and low-k dielectrics. Cosolvents contemplated herein include alkanols (eg, linear or branched C 1 -C 6 alcohols), butyl carbitol, methyl carbitol, cyclobutane-w, cyclobutane A, and propylene glycol. .
較佳的水基移除組成物包括列舉於下的配方(A)-(J):(配方A)2.5重量%氫氧化四甲銨20.0重量%脲77.5重量%去離子水(配方B)1.5重量%氫氧化四甲銨1.6重量% 2,4-二胺基-6-甲基-1,3,5-三20.0重量%脲76.9重量%去離子水(配方C)2.0重量%氫氧化四甲銨1.0重量% 2,4-二胺基-6-甲基-1,3,5-三1.0重量% 4-胺基苯甲酸96.0重量%去離子水(配方D)2.0重量%氫氧化四甲銨2.4重量%硝酸四甲銨95.6重量%去離子水(配方E)5.0重量%氫氧化四甲銨9.0重量%硝酸四甲銨10.0重量%丁基卡必醇10.0重量%環丁碸-w 66.0重量%去離子水(配方F)自約1.0重量%至約5.0重量%氫氧化四甲銨自約1.0重量%至約20.0重量%之2-、3-或4-硝基苯甲酸四甲銨鹽其餘為去離子水(配方G)自約1.0重量%至約5.0重量%氫氧化四甲銨自約1.0重量%至約20.0重量%之鄰-、間-或對-苯二胺其餘為去離子水(配方H)8.2重量%氫氧化四丁銨;20.0重量%環丁碸A 30.0重量%甲基卡必醇;17.0重量%丙二醇2.0重量% 2,4-二胺基-6-甲基-1,3,5-三22.8重量%去離子水;(配方I)6.0重量%氫氧化苄基三甲銨10.0重量%環丁碸A 10.0重量%甲基卡必醇;20.0重量%丙二醇2.0重量% 2,4-二胺基-6-甲基-1,3,5-三52重量%去離子水;及(配方J)2.9重量%氫氧化苄基三甲銨0.025重量%氫氧化鉀22.0重量%環丁碸A 27.0重量%甲基卡必醇;17.9重量%丙二醇1.5重量%脲0.08% 2-巰基苯并咪唑28.595重量%去離子水。Preferred water-based removal compositions include the following formulations (A)-(J): (Formulation A) 2.5% by weight of tetramethylammonium hydroxide 20.0% by weight of urea 77.5 % by weight of deionized water (Formulation B) 1.5 Weight% tetramethylammonium hydroxide 1.6% by weight 2,4-diamino-6-methyl-1,3,5-three 20.0% by weight of urea 76.9 % by weight of deionized water (Formulation C) 2.0% by weight of tetramethylammonium hydroxide 1.0% by weight 2,4-diamino-6-methyl-1,3,5-three 1.0% by weight 4-aminobenzoic acid 96.0% by weight deionized water (Formulation D) 2.0% by weight Tetramethylammonium hydroxide 2.4% by weight Tetramethylammonium nitrate 95.6 wt% Deionized water (Formulation E) 5.0% by weight of hydroxide 4 Methylammonium 9.0 wt% tetramethylammonium nitrate 10.0 wt% butyl carbitol 10.0 wt% cyclobutane-w 66.0 wt% deionized water (formulation F) from about 1.0 wt% to about 5.0 wt% tetramethylammonium hydroxide From about 1.0% by weight to about 20.0% by weight of the 4-, 3- or 4-nitrobenzoic acid tetramethylammonium salt, the remainder being deionized water (Formulation G) from about 1.0% by weight to about 5.0% by weight of tetramethylammonium hydroxide Ammonium from about 1.0% by weight to about 20.0% by weight of o-, m- or p-phenylenediamine, the remainder being deionized water (Formulation H) 8.2% by weight of tetrabutylammonium hydroxide; 20.0% by weight of cyclobutane A 30.0 by weight % methyl carbitol; 17.0% by weight propylene glycol 2.0% by weight 2,4-diamino-6-methyl-1,3,5-three 22.8 wt% deionized water; (Formulation I) 6.0 wt% benzyltrimethylammonium hydroxide 10.0 wt% cyclobutane A 10.0 wt% methyl carbitol; 20.0 wt% propylene glycol 2.0 wt% 2,4-diamino group -6-methyl-1,3,5-three 52% by weight of deionized water; and (Formulation J) 2.9% by weight of benzyltrimethylammonium hydroxide 0.025% by weight of potassium hydroxide 22.0% by weight of cyclobutane A 27.0% by weight of methyl carbitol; 17.9% by weight of propylene glycol 1.5% by weight Urea 0.08% 2-mercaptobenzimidazole 28.595% by weight deionized water.
在本發明之另一具體例中,水基移除組成物包含在水性介質中之至少一高離液溶質、至少一鹼性鹽及光阻劑。光阻劑經硬化且溶解於水基移除組成物中較佳。在本發明之又再一具體例中,水基移除組成物包含在水性介質中之至少一高離液溶質、至少一鹼性鹽及BARC材料。硬化光阻劑溶解於水基移除組成物中較佳。In another embodiment of the invention, the water-based removal composition comprises at least one high chaotropic solute, at least one basic salt, and a photoresist in the aqueous medium. The photoresist is preferably hardened and dissolved in the water-based removal composition. In still another embodiment of the invention, the water-based removal composition comprises at least one high chaotropic solute, at least one basic salt, and a BARC material in the aqueous medium. It is preferred that the hardening photoresist is dissolved in the water-based removal composition.
本發明之水基組成物係經由簡單地添加各別成分及混合至均勻狀態,而容易地調配得。此外,移除組成物可經容易地調配為單份配方或在使用時混合的多份配方。多份配方的個別部分可在工具處混合,或在工具上游的儲存槽中混合。在本發明之廣義實行中,各別成分的濃度可於移除組成物的特定倍數中寬廣地改變,即更稀或更濃,且當明瞭本發明之移除組成物可變化及替代地包含與文中之揭示內容一致之任何成分的組合,由其所組成,或基本上由其所組成。The water-based composition of the present invention is easily formulated by simply adding the respective components and mixing them into a uniform state. Further, the removal of the composition can be easily formulated into a single serving or a plurality of formulations that are mixed at the time of use. Individual portions of multiple formulations can be mixed at the tool or mixed in a storage tank upstream of the tool. In a broad practice of the invention, the concentration of the individual components may vary widely, i.e., more dilute or more concentrated, in a particular multiple of the removal composition, and may be varied and alternatively included when it is understood that the removal composition of the present invention is Combinations of any of the components consistent with the disclosure herein are composed of, or consist essentially of, the constituents.
因此,本發明之一具體例係關於不含水之文中說明之組成物的濃配方,其中水可在使用之前添加以形成本發明之移除組成物。Thus, one embodiment of the invention is directed to a concentrated formulation of the compositions described herein without water, wherein water can be added prior to use to form the removal compositions of the present invention.
因此,本發明之另一態樣係關於一種套組,其包括在一或多個容器中之兩種或兩種以上適於形成本發明之水基移除組成物的成分。套組包括在一或多個容器中之至少一高離液溶質、至少一鹼性鹽、及水較佳。根據另一具體例,套組包括用於在製造時與水結合的至少一高離液溶質、至少一鹼性鹽、及水。Accordingly, another aspect of the present invention is directed to a kit comprising two or more components suitable for forming the water-based removal composition of the present invention in one or more containers. Preferably, the kit comprises at least one high chaotropic solute, at least one basic salt, and water in one or more containers. According to another embodiment, the kit includes at least one high chaotropic solute, at least one basic salt, and water for binding to water at the time of manufacture.
在另一態樣中,本發明係關於使用包括配方(A)-(J)之文中說明的水基移除組成物自微電子裝置晶圓表面移除硬化光阻劑及/或BARC層之方法。In another aspect, the invention relates to the removal of a hardened photoresist and/or a BARC layer from a surface of a microelectronic device wafer using a water-based removal composition as described in the formulations (A)-(J). method.
在硬化光阻劑及/或BARC移除應用中,以任何適當方式將水基組成物塗布至待清潔之材料,例如,經由將水基組成物噴塗於待清潔材料之表面上,經由將材料或包括待清潔材料之物件浸漬(於大量的水基組成物中),經由使待清潔之材料或物件與經水基組成物飽和之另一材料(例如,墊)或纖維吸收性塗布器元件接觸,或藉由任何其他藉以使水基組成物與待清潔材料進行移除接觸的適當手段、方式或技術。In a hardened photoresist and/or BARC removal application, the water-based composition is applied to the material to be cleaned in any suitable manner, for example, by spraying a water-based composition onto the surface of the material to be cleaned, via the material Or an article comprising the material to be cleaned (in a large amount of water-based composition), via another material (eg, a mat) or a fiber-absorbent applicator element that saturates the material or article to be cleaned with the water-based composition Contact, or any other suitable means, means or technique by which the water-based composition can be removed from contact with the material to be cleaned.
可利用其他清潔方法於清潔諸如典型上用於微電子裝置電路製造之直徑200或300毫米的完整晶圓,例如,單一晶圓或分批浸泡,或單一晶圓或分批噴塗。Other cleaning methods can be utilized to clean a complete wafer such as 200 or 300 mm in diameter typically used in microelectronic device circuit fabrication, such as single wafer or batch immersion, or single wafer or batch spray.
當應用於微電子裝置製造操作時,本發明之水基組成物有用於自其上經沈積硬化光阻劑及/或BARC材料之基板及微電子裝置結構將此等材料移除。When applied to microelectronic device fabrication operations, the water-based compositions of the present invention have such materials removed from the substrate and microelectronic device structure from which the deposited hardenable photoresist and/or BARC material are deposited.
本發明之組成物基於其對此等硬化光阻劑及/或BARC材料相對於其他可能存在於微電子裝置基板上之材料(例如,ILD結構、金屬化、障壁層等等)的選擇性,而以高度有效的方式達成硬化光阻劑及/或BARC材料的移除。The compositions of the present invention are based on their selectivity for such hardened photoresists and/or BARC materials relative to other materials that may be present on the substrate of the microelectronic device (eg, ILD structure, metallization, barrier layers, etc.), The removal of the hardened photoresist and/or BARC material is achieved in a highly efficient manner.
在使用本發明之組成物於自其上具有光阻劑及/或BARC材料之微電子裝置基板將此等材料移除時,典型上使水基組成物與基板在自約40℃至約80℃範圍內之溫度下接觸自約1分鐘至約60分鐘之時間。此等接觸時間及溫度係為說明性,在本發明之廣義實行中,可使用任何其他可使用本發明之水基組成物而有效地將硬化光阻劑及/或BARC材料自基板完全移除的適當時間及溫度條件。When the composition of the present invention is used to remove such materials from a microelectronic device substrate having a photoresist and/or BARC material thereon, the water-based composition and substrate are typically at a temperature of from about 40 ° C to about 80. Contact at a temperature in the range of °C for a period of from about 1 minute to about 60 minutes. Such contact times and temperatures are illustrative, and in the broad practice of the present invention, any other water-based composition of the present invention can be used to effectively remove the hardened photoresist and/or BARC material from the substrate. Appropriate time and temperature conditions.
於達成期望的移除作用後,可容易地將水基組成物自其先前經施用之基板或物件移除,例如,藉由沖洗、洗滌、或其他移除步驟,此可能係在本發明組成物的指定最終應用中所需要且有效。用大量的去離子水沖洗基板或物件,且在後續處理之前用氮氣吹乾較佳。After the desired removal is achieved, the water-based composition can be readily removed from the substrate or article to which it was previously applied, for example, by rinsing, washing, or other removal steps, which may be in the composition of the present invention. The designation of the object is required and effective in the final application. The substrate or article is rinsed with a large amount of deionized water and preferably dried with nitrogen prior to subsequent processing.
在另一態樣中,本發明係關於一種製造微電子裝置之方法,該方法包括使微電子裝置與水基移除組成物接觸足夠的時間,以自其上具有光阻劑及/或BARC材料之微電子裝置至少部分移除該材料,其中該水基移除組成物包含在水性介質中之至少一高離液溶質及至少一鹼性鹽。該光阻劑經硬化較佳。In another aspect, the present invention is directed to a method of fabricating a microelectronic device, the method comprising contacting a microelectronic device with a water-based removal composition for a time sufficient to have a photoresist and/or BARC thereon The microelectronic device of the material at least partially removes the material, wherein the water-based removal composition comprises at least one high chaotropic solutes and at least one basic salt in the aqueous medium. The photoresist is preferably hardened.
本發明之又另一態樣係關於使用本發明之方法製得之改良的微電子裝置及併入該裝置之製品,該方法包括使用文中說明之方法及/或組成物自其上具有光阻劑及/或BARC層之微電子裝置至少部分移除該材料,及視需要將微電子裝置併入至製品中。該光阻劑經硬化較佳。Still another aspect of the invention is directed to an improved microelectronic device made using the method of the invention and an article incorporating the device, the method comprising using the method and/or composition described herein to have a photoresist thereon The microelectronic device of the agent and/or BARC layer at least partially removes the material and, if desired, incorporates the microelectronic device into the article. The photoresist is preferably hardened.
本發明之特徵及優點由論述於下的說明實施例作更完整展示。The features and advantages of the present invention are more fully apparent from the following description of the embodiments.
在由硬化光阻劑、BARC、低k介電質(明確言之為摻雜碳之氧化物)、及氮化矽之層所組成之圖案化半導體基板的樣品上進行清潔。預先進行電漿蝕刻,以將自約100奈米至大於10微米之不同尺寸之線條、空間、及孔洞的圖案自形成於光阻劑之頂部塗層中之圖案轉移至下層材料。圖案係由經蝕刻至基板中,終止於氮化矽蝕刻止停層處的空間所組成。硬化光阻劑及BARC呈現為在10及50奈米之間的塗層。Cleaning is performed on a sample of a patterned semiconductor substrate consisting of a layer of hardened photoresist, BARC, low-k dielectric (specifically, an oxide of doped carbon), and tantalum nitride. A plasma etch is performed in advance to transfer patterns of lines, spaces, and holes of different sizes from about 100 nm to more than 10 microns from the pattern formed in the top coat of the photoresist to the underlying material. The pattern consists of a space that is etched into the substrate and terminated at the tantalum nitride etch stop layer. The hardened photoresist and BARC appear as a coating between 10 and 50 nm.
經由將一塊基板在前述配方A清潔溶液之靜態槽中在固定溫度下浸泡固定時間,而進行清潔。於浸泡設定時間後,將樣品移出,用大量去離子水沖洗,並用氮氣吹乾。在55℃下30分鐘之清潔時間即足以移除100%的硬化光阻劑及BARC。利用下探式(top-down)光學顯微鏡觀察清潔作用,並利用掃描式電子顯微鏡(SEM)作確認。Cleaning is carried out by immersing a substrate in a static tank of the aforementioned Formulation A cleaning solution at a fixed temperature for a fixed period of time. After soaking for a set time, the sample was removed, rinsed with large amounts of deionized water, and blown dry with nitrogen. A cleaning time of 30 minutes at 55 ° C is sufficient to remove 100% of the hardened photoresist and BARC. The cleaning effect was observed using a top-down optical microscope and confirmed by a scanning electron microscope (SEM).
使用實施例1中所述的相同方法,在諸如實施例1中所述之圖案化半導體基板的樣品上進行使用配方B之清潔。如利用下探式光學顯微鏡所觀察及利用掃描式電子顯微鏡(SEM)所確認,在55℃下大於20分鐘但少於30分鐘之浸泡時間即足以自基板清除100%的硬化光阻劑及BARC材料。Cleaning using Formulation B was performed on a sample such as the patterned semiconductor substrate described in Example 1 using the same method as described in Example 1. As observed with a down-beam optical microscope and confirmed by scanning electron microscopy (SEM), a soaking time of more than 20 minutes but less than 30 minutes at 55 ° C is sufficient to remove 100% of the hardened photoresist and BARC from the substrate. material.
使用實施例1中所述的相同方法,在諸如實施例1中所述之圖案化半導體基板的樣品上進行使用配方C之清潔。如利用下探式光學顯微鏡所觀察及利用掃描式電子顯微鏡(SEM)所確認,在55℃下大於20分鐘但少於30分鐘之浸泡時間即足以自基板清除接近100%的硬化光阻劑及BARC材料。Cleaning using Formulation C was performed on a sample such as the patterned semiconductor substrate described in Example 1 using the same method as described in Example 1. As observed by a down-beam optical microscope and confirmed by scanning electron microscopy (SEM), a soaking time of more than 20 minutes but less than 30 minutes at 55 ° C is sufficient to remove nearly 100% of the hardened photoresist from the substrate and BARC material.
使用實施例1中所述的相同方法,在諸如實施例1中所述之圖案化半導體基板的樣品上進行使用配方D之清潔。如利用下探式光學顯微鏡所觀察及利用掃描式電子顯微鏡(SEM)所確認,在55℃下大於20分鐘但少於30分鐘之浸泡時間即足以自基板清除約90%的光阻劑及BARC材料。Cleaning using Formulation D was performed on a sample such as the patterned semiconductor substrate described in Example 1 using the same method as described in Example 1. As observed with a down-beam optical microscope and confirmed by scanning electron microscopy (SEM), a soaking time of more than 20 minutes but less than 30 minutes at 55 ° C is sufficient to remove about 90% of the photoresist and BARC from the substrate. material.
使用實施例1中所述的相同方法,在諸如實施例1中所述之圖案化半導體基板的樣品上進行使用配方E之清潔。如利用下探式光學顯微鏡所觀察及利用掃描式電子顯微鏡(SEM)所確認,在55℃下約20分鐘之浸泡時間即足以自基板清除100%的光阻劑及BARC材料。Cleaning using Formulation E was performed on a sample such as the patterned semiconductor substrate described in Example 1 using the same method as described in Example 1. As observed with a down-beam optical microscope and confirmed by scanning electron microscopy (SEM), a soaking time of about 20 minutes at 55 ° C is sufficient to remove 100% of the photoresist and BARC material from the substrate.
因此,雖然本發明已參照本發明之特定態樣、特徵及說明具體例說明於文中,但當明瞭本發明之效用並不因此受限,而係可延伸至涵蓋許多其他的態樣、特徵及具體例。因此,應將記述於後文之申請專利範圍相應地廣義解釋為包括在其精神及範疇內之所有此等態樣、特徵及具體例。Therefore, the present invention has been described with reference to the specific aspects, features, and description of the present invention, but it is understood that the utility of the present invention is not limited thereby, but extends to cover many other aspects and features. Specific examples. Therefore, the scope of the patent application, which is described in the following, is to be construed as broadly construed to include all such aspects, features and specific examples.
Claims (17)
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/031,118 US20060154186A1 (en) | 2005-01-07 | 2005-01-07 | Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings |
Publications (2)
Publication Number | Publication Date |
---|---|
TW200629012A TW200629012A (en) | 2006-08-16 |
TWI426361B true TWI426361B (en) | 2014-02-11 |
Family
ID=36647826
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW095100721A TWI426361B (en) | 2005-01-07 | 2006-01-09 | Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings |
Country Status (9)
Country | Link |
---|---|
US (2) | US20060154186A1 (en) |
EP (2) | EP1844367A4 (en) |
JP (1) | JP2008527447A (en) |
KR (1) | KR101365784B1 (en) |
CN (2) | CN104199261B (en) |
IL (1) | IL184483A0 (en) |
SG (1) | SG164385A1 (en) |
TW (1) | TWI426361B (en) |
WO (1) | WO2006074316A1 (en) |
Families Citing this family (41)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20060154186A1 (en) * | 2005-01-07 | 2006-07-13 | Advanced Technology Materials, Inc. | Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings |
JP2008546036A (en) * | 2005-06-07 | 2008-12-18 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | Metal and dielectric compatible sacrificial antireflective coating purification and removal composition |
CN101233601A (en) * | 2005-06-13 | 2008-07-30 | 高级技术材料公司 | Compositions and methods for selective removal of metal or metal alloy after metal silicide formation |
WO2007044446A1 (en) * | 2005-10-05 | 2007-04-19 | Advanced Technology Materials, Inc. | Oxidizing aqueous cleaner for the removal of post-etch residues |
JP2009516360A (en) * | 2005-10-13 | 2009-04-16 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | Metal-compatible photoresist and / or sacrificial antireflective coating removal composition |
WO2007111694A2 (en) * | 2005-11-09 | 2007-10-04 | Advanced Technology Materials, Inc. | Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon |
JP5237300B2 (en) * | 2006-12-21 | 2013-07-17 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | Liquid cleaning agent to remove residues after etching |
TWI516573B (en) * | 2007-02-06 | 2016-01-11 | 安堤格里斯公司 | Composition and process for the selective removal of tisin |
US20100112728A1 (en) * | 2007-03-31 | 2010-05-06 | Advanced Technology Materials, Inc. | Methods for stripping material for wafer reclamation |
JP4427562B2 (en) * | 2007-06-11 | 2010-03-10 | 株式会社東芝 | Pattern formation method |
US20100261632A1 (en) * | 2007-08-02 | 2010-10-14 | Advanced Technology Materials, Inc. | Non-fluoride containing composition for the removal of residue from a microelectronic device |
CN101785087A (en) * | 2007-08-22 | 2010-07-21 | 大金工业株式会社 | Solution for removal of residue after semiconductor dry processing, and residue removal method using the same |
KR20110018775A (en) * | 2009-08-18 | 2011-02-24 | 삼성전자주식회사 | Composition for stripping color filter and regeneration method of color filter using the same |
JP5321389B2 (en) * | 2009-09-28 | 2013-10-23 | 東ソー株式会社 | Resist stripper and stripping method using the same |
US8252673B2 (en) | 2009-12-21 | 2012-08-28 | International Business Machines Corporation | Spin-on formulation and method for stripping an ion implanted photoresist |
SG187551A1 (en) | 2010-07-16 | 2013-03-28 | Advanced Tech Materials | Aqueous cleaner for the removal of post-etch residues |
JP6101421B2 (en) | 2010-08-16 | 2017-03-22 | インテグリス・インコーポレーテッド | Etching solution for copper or copper alloy |
KR20130099948A (en) | 2010-08-20 | 2013-09-06 | 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 | Sustainable process for reclaiming precious metals and base metals from e-waste |
SG10201508015RA (en) | 2010-10-06 | 2015-10-29 | Entegris Inc | Composition and process for selectively etching metal nitrides |
JP5933950B2 (en) | 2011-09-30 | 2016-06-15 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | Etching solution for copper or copper alloy |
US9546321B2 (en) | 2011-12-28 | 2017-01-17 | Advanced Technology Materials, Inc. | Compositions and methods for selectively etching titanium nitride |
EP2814895A4 (en) | 2012-02-15 | 2015-10-07 | Entegris Inc | Post-cmp removal using compositions and method of use |
WO2013173738A1 (en) | 2012-05-18 | 2013-11-21 | Advanced Technology Materials, Inc. | Composition and process for stripping photoresist from a surface including titanium nitride |
US9765288B2 (en) | 2012-12-05 | 2017-09-19 | Entegris, Inc. | Compositions for cleaning III-V semiconductor materials and methods of using same |
WO2014138064A1 (en) | 2013-03-04 | 2014-09-12 | Advanced Technology Materials, Inc. | Compositions and methods for selectively etching titanium nitride |
SG11201509933QA (en) | 2013-06-06 | 2016-01-28 | Advanced Tech Materials | Compositions and methods for selectively etching titanium nitride |
CN105431506A (en) | 2013-07-31 | 2016-03-23 | 高级技术材料公司 | Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility |
SG11201601158VA (en) | 2013-08-30 | 2016-03-30 | Advanced Tech Materials | Compositions and methods for selectively etching titanium nitride |
TWI654340B (en) | 2013-12-16 | 2019-03-21 | 美商恩特葛瑞斯股份有限公司 | Ni:NiGe:Ge SELECTIVE ETCH FORMULATIONS AND METHOD OF USING SAME |
WO2015095726A1 (en) | 2013-12-20 | 2015-06-25 | Entegris, Inc. | Use of non-oxidizing strong acids for the removal of ion-implanted resist |
US10475658B2 (en) | 2013-12-31 | 2019-11-12 | Entegris, Inc. | Formulations to selectively etch silicon and germanium |
US20160340620A1 (en) | 2014-01-29 | 2016-11-24 | Advanced Technology Materials, Inc. | Post chemical mechanical polishing formulations and method of use |
WO2015119925A1 (en) | 2014-02-05 | 2015-08-13 | Advanced Technology Materials, Inc. | Non-amine post-cmp compositions and method of use |
TWI546850B (en) * | 2014-11-14 | 2016-08-21 | 群創光電股份有限公司 | Method for manufacturing display panel |
TWI690780B (en) * | 2014-12-30 | 2020-04-11 | 美商富士軟片電子材料美國股份有限公司 | Stripping compositions for removing photoresists from semiconductor substrates |
US10072237B2 (en) * | 2015-08-05 | 2018-09-11 | Versum Materials Us, Llc | Photoresist cleaning composition used in photolithography and a method for treating substrate therewith |
WO2017205134A1 (en) * | 2016-05-23 | 2017-11-30 | Fujifilm Electronic Materials U.S.A., Inc. | Stripping compositions for removing photoresists from semiconductor substrates |
JP6951229B2 (en) * | 2017-01-05 | 2021-10-20 | 株式会社Screenホールディングス | Substrate cleaning equipment and substrate cleaning method |
CN116646279A (en) | 2017-01-05 | 2023-08-25 | 株式会社斯库林集团 | Substrate cleaning device and substrate cleaning method |
CN114008537A (en) * | 2019-04-24 | 2022-02-01 | 富士胶片电子材料美国有限公司 | Stripping composition for removing photoresist from semiconductor substrate |
WO2023114638A1 (en) * | 2021-12-15 | 2023-06-22 | Versum Materials Us, Llc | Compositions for removing photoresist and etch residue from a substrate with copper corrosion inhibitor and uses thereof |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH07247498A (en) * | 1994-03-09 | 1995-09-26 | Mitsubishi Gas Chem Co Inc | Cleanser for semiconductor device and method for forming wiring pattern |
EP0678571A2 (en) * | 1994-04-20 | 1995-10-25 | MALLINCKRODT BAKER, Inc. | pH Adjusted nonionic surfactant containing alkaline cleaner composition for cleaning microelectronics substrates |
Family Cites Families (35)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4744834A (en) * | 1986-04-30 | 1988-05-17 | Noor Haq | Photoresist stripper comprising a pyrrolidinone, a diethylene glycol ether, a polyglycol and a quaternary ammonium hydroxide |
DE3821231A1 (en) * | 1987-06-25 | 1989-01-05 | Siemens Ag | Stripper solution for cured positive photoresists |
US5279771A (en) * | 1990-11-05 | 1994-01-18 | Ekc Technology, Inc. | Stripping compositions comprising hydroxylamine and alkanolamine |
US5468423A (en) * | 1992-02-07 | 1995-11-21 | The Clorox Company | Reduced residue hard surface cleaner |
US5390356A (en) * | 1992-05-05 | 1995-02-14 | The United States Of America As Represented By The Secretary Of The Navy | Rapid reprogramming terminal |
JP3315749B2 (en) * | 1993-02-24 | 2002-08-19 | 日立化成工業株式会社 | Stripping method and stripping solution for water-soluble resist |
US6326130B1 (en) * | 1993-10-07 | 2001-12-04 | Mallinckrodt Baker, Inc. | Photoresist strippers containing reducing agents to reduce metal corrosion |
US5419779A (en) * | 1993-12-02 | 1995-05-30 | Ashland Inc. | Stripping with aqueous composition containing hydroxylamine and an alkanolamine |
JP2950407B2 (en) * | 1996-01-29 | 1999-09-20 | 東京応化工業株式会社 | Method of manufacturing base material for manufacturing electronic components |
JPH1055993A (en) * | 1996-08-09 | 1998-02-24 | Hitachi Ltd | Semiconductor element manufacturing washing liquid and manufacture of semiconductor element using it |
JP3953600B2 (en) * | 1997-10-28 | 2007-08-08 | シャープ株式会社 | Resist film remover and method of manufacturing thin film circuit element using the same |
US7579308B2 (en) * | 1998-07-06 | 2009-08-25 | Ekc/Dupont Electronics Technologies | Compositions and processes for photoresist stripping and residue removal in wafer level packaging |
JP2001183850A (en) * | 1999-12-27 | 2001-07-06 | Sumitomo Chem Co Ltd | Remover composition |
US6120978A (en) * | 2000-01-06 | 2000-09-19 | Air Products And Chemicals, Inc. | Use of N,N-dialkyl ureas in photoresist developers |
JP3339575B2 (en) * | 2000-01-25 | 2002-10-28 | 日本電気株式会社 | Release agent composition and release method |
US6531436B1 (en) * | 2000-02-25 | 2003-03-11 | Shipley Company, L.L.C. | Polymer removal |
JP4821082B2 (en) * | 2000-03-21 | 2011-11-24 | 和光純薬工業株式会社 | Semiconductor substrate cleaning agent and cleaning method |
DE60108286T2 (en) * | 2000-03-27 | 2005-12-29 | Shipley Co., L.L.C., Marlborough | Removal agent for polymer |
JP2002072505A (en) * | 2000-08-29 | 2002-03-12 | Nagase Kasei Kogyo Kk | Photoresist-removing agent composition and method for use thereof |
US6375822B1 (en) * | 2000-10-03 | 2002-04-23 | Lev Taytsas | Method for enhancing the solderability of a surface |
US6599370B2 (en) * | 2000-10-16 | 2003-07-29 | Mallinckrodt Inc. | Stabilized alkaline compositions for cleaning microelectronic substrates |
TW554258B (en) * | 2000-11-30 | 2003-09-21 | Tosoh Corp | Resist stripper |
JP2003005383A (en) * | 2000-11-30 | 2003-01-08 | Tosoh Corp | Resist remover |
US6927266B2 (en) * | 2001-02-22 | 2005-08-09 | Nissan Chemical Industries, Ltd. | Bottom anti-reflective coat forming composition for lithography |
TWI275903B (en) * | 2001-03-13 | 2007-03-11 | Nagase Chemtex Corp | A composition for stripping photo resist |
JP2003213463A (en) * | 2002-01-17 | 2003-07-30 | Sumitomo Chem Co Ltd | Metal anticorrosive and cleaning solution |
JP3854523B2 (en) * | 2002-03-29 | 2006-12-06 | メルテックス株式会社 | Resist stripper |
EP1562225A4 (en) * | 2002-11-08 | 2007-04-18 | Wako Pure Chem Ind Ltd | Cleaning composition and method of cleaning therewith |
US8236485B2 (en) * | 2002-12-20 | 2012-08-07 | Advanced Technology Materials, Inc. | Photoresist removal |
SG129274A1 (en) * | 2003-02-19 | 2007-02-26 | Mitsubishi Gas Chemical Co | Cleaaning solution and cleaning process using the solution |
US7521406B2 (en) * | 2004-02-11 | 2009-04-21 | Mallinckrodt Baker, Inc | Microelectronic cleaning composition containing halogen oxygen acids, salts and derivatives thereof |
US8338087B2 (en) * | 2004-03-03 | 2012-12-25 | Advanced Technology Materials, Inc | Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate |
US20060094613A1 (en) * | 2004-10-29 | 2006-05-04 | Lee Wai M | Compositions and processes for photoresist stripping and residue removal in wafer level packaging |
US20060154186A1 (en) * | 2005-01-07 | 2006-07-13 | Advanced Technology Materials, Inc. | Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings |
JP2009516360A (en) * | 2005-10-13 | 2009-04-16 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | Metal-compatible photoresist and / or sacrificial antireflective coating removal composition |
-
2005
- 2005-01-07 US US11/031,118 patent/US20060154186A1/en not_active Abandoned
-
2006
- 2006-01-09 CN CN201410384014.8A patent/CN104199261B/en active Active
- 2006-01-09 CN CN200680007314.8A patent/CN101137939B/en active Active
- 2006-01-09 EP EP06717549A patent/EP1844367A4/en not_active Withdrawn
- 2006-01-09 TW TW095100721A patent/TWI426361B/en not_active IP Right Cessation
- 2006-01-09 KR KR1020077017990A patent/KR101365784B1/en active IP Right Grant
- 2006-01-09 US US11/813,497 patent/US7994108B2/en active Active
- 2006-01-09 EP EP12157762A patent/EP2482134A3/en not_active Withdrawn
- 2006-01-09 WO PCT/US2006/000366 patent/WO2006074316A1/en active Application Filing
- 2006-01-09 SG SG201005348-6A patent/SG164385A1/en unknown
- 2006-01-09 JP JP2007550476A patent/JP2008527447A/en active Pending
-
2007
- 2007-07-08 IL IL184483A patent/IL184483A0/en unknown
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH07247498A (en) * | 1994-03-09 | 1995-09-26 | Mitsubishi Gas Chem Co Inc | Cleanser for semiconductor device and method for forming wiring pattern |
EP0678571A2 (en) * | 1994-04-20 | 1995-10-25 | MALLINCKRODT BAKER, Inc. | pH Adjusted nonionic surfactant containing alkaline cleaner composition for cleaning microelectronics substrates |
Also Published As
Publication number | Publication date |
---|---|
WO2006074316A1 (en) | 2006-07-13 |
CN101137939B (en) | 2014-09-03 |
US20090215659A1 (en) | 2009-08-27 |
EP2482134A2 (en) | 2012-08-01 |
US20060154186A1 (en) | 2006-07-13 |
EP1844367A1 (en) | 2007-10-17 |
SG164385A1 (en) | 2010-09-29 |
TW200629012A (en) | 2006-08-16 |
KR20070099012A (en) | 2007-10-08 |
JP2008527447A (en) | 2008-07-24 |
CN101137939A (en) | 2008-03-05 |
EP2482134A3 (en) | 2012-11-07 |
EP1844367A4 (en) | 2011-08-31 |
IL184483A0 (en) | 2007-10-31 |
CN104199261B (en) | 2019-07-09 |
US7994108B2 (en) | 2011-08-09 |
KR101365784B1 (en) | 2014-02-20 |
CN104199261A (en) | 2014-12-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI426361B (en) | Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings | |
CN101233456B (en) | Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition | |
US6825156B2 (en) | Semiconductor process residue removal composition and process | |
JP6470239B2 (en) | Cleaning formulation | |
US7888301B2 (en) | Resist, barc and gap fill material stripping chemical and method | |
KR100700998B1 (en) | Composition and method comprising same for removing residue from a substrate | |
US20090120457A1 (en) | Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices | |
WO2007047365A2 (en) | Metals compatible photoresist and/or sacrificial antireflective coating removal composition | |
CN113214920A (en) | Cleaning preparation | |
KR100997180B1 (en) | Non-corrosive cleaning compositions for removing etch residues |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |