TWI381444B - Method for fabricating an opening - Google Patents

Method for fabricating an opening Download PDF

Info

Publication number
TWI381444B
TWI381444B TW97131407A TW97131407A TWI381444B TW I381444 B TWI381444 B TW I381444B TW 97131407 A TW97131407 A TW 97131407A TW 97131407 A TW97131407 A TW 97131407A TW I381444 B TWI381444 B TW I381444B
Authority
TW
Taiwan
Prior art keywords
hard mask
layer
forming
stacked film
opening
Prior art date
Application number
TW97131407A
Other languages
Chinese (zh)
Other versions
TW201009928A (en
Inventor
Feng Liu
Shi-Jie Bai
Hong Ma
Chun-Peng Ng
Ye Wang
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW97131407A priority Critical patent/TWI381444B/en
Publication of TW201009928A publication Critical patent/TW201009928A/en
Application granted granted Critical
Publication of TWI381444B publication Critical patent/TWI381444B/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

形成開口之方法Method of forming an opening

本發明是關於一種形成開口的方法,尤指一種形成鑲嵌結構之開口的方法。This invention relates to a method of forming an opening, and more particularly to a method of forming an opening in a mosaic structure.

隨著半導體工業的進展,為了符合該等高密度積體電路之開發與設計,各式元件之尺寸皆降至次微米以下。該等積體電路之性能表現,除了取決於其內部元件的可靠度外,亦受制於用以傳遞各元件間電子訊號之金屬內連線。因此,隨著目前持續縮小積體電路尺寸之趨勢,積體電路製程已朝向多重金屬內連線方向發展。而為了解決在多層(multi-layer)中製作金屬內連線之困難,鑲嵌製程(damascene process)係受到廣泛研究與發展;另外,由於銅(Cu)具有比鋁(Al)和絕大多數金屬更低的電阻係數和優異的電子遷移(electromigration)抗拒性,且低介電常數(low-k)材料可幫助降低金屬導線之間的電阻-電容延遲效應(resistance-capacitance,RC delay effect),因此銅導線與低介電常數(low-k)絕緣層已被大量的用於製作單鑲嵌結構(single damascene structure)與雙鑲嵌結構(dual damascene structure)。而且銅製程亦被認為是解決未來深次微米(deep sub-half micron)積體電路金屬連線問題的新技術。With the advancement of the semiconductor industry, in order to meet the development and design of these high-density integrated circuits, the size of various components has dropped below sub-micron. The performance of these integrated circuits, in addition to the reliability of their internal components, is also subject to the metal interconnects used to transmit the electronic signals between the components. Therefore, with the current trend of continuously reducing the size of the integrated circuit, the integrated circuit process has progressed toward the direction of multiple metal interconnects. In order to solve the difficulty of fabricating metal interconnects in a multi-layer, the damascene process has been extensively studied and developed; in addition, copper (Cu) has a higher specific gravity than aluminum (Al) and most metals. Lower resistivity and excellent electron migration resistance, and low dielectric constant (low-k) materials help reduce the resistance-capacitance (RC delay effect) between metal wires. Therefore, copper wires and low dielectric constant (low-k) insulating layers have been used in a large number for making single damascene structures and dual damascene structures. Moreover, the copper process is also considered to be a new technology to solve the problem of metal wiring in deep sub-half micron integrated circuits in the future.

值得注意的是,習知在利用硬遮罩來形成鑲嵌結構的開口後都是在硬遮罩還覆蓋在介電層上的情況下來直接沈積阻障層。因此所形成的阻障層除了會覆蓋在開口的底部及介電層的側壁表面外,還會同時覆蓋部分的硬遮罩表面。 然隨著線寬降低,硬遮罩的阻隔會大幅降低阻障層濺鍍時的入射角(incident angle),使阻障層無法在介電層的側壁表面形成連續的輪廓(continuous profile)。由於不連續的阻障層會使後續電鍍的銅金屬層產生缺口(void)並製作出不良的鑲嵌結構,因此如何改善習知的鑲嵌製程即為現今一重要課題。It should be noted that it is conventional to deposit a barrier layer directly after the hard mask is used to form the opening of the damascene structure, even if the hard mask is overlaid on the dielectric layer. Therefore, the formed barrier layer covers not only the bottom of the opening but also the sidewall surface of the dielectric layer, and also covers a portion of the hard mask surface. However, as the line width is reduced, the barrier of the hard mask greatly reduces the incident angle of the barrier layer during sputtering, so that the barrier layer cannot form a continuous profile on the sidewall surface of the dielectric layer. Since the discontinuous barrier layer causes voids in the subsequently electroplated copper metal layer and creates a poor mosaic structure, how to improve the conventional damascene process is an important issue today.

因此本發明主要是揭露一種形成鑲嵌結構開口的方法,以解決習知製程中容易使銅金屬層產生缺口的情形。Therefore, the present invention mainly discloses a method for forming a damascene structure opening to solve the problem that a copper metal layer is easily formed in a conventional process.

根據本發明之較佳實施例,本發明形成開口之方法主要包含有下列步驟。首先提供一半導體基底,且該半導體基底中包含至少一金屬內連線層。然後形成一堆疊薄膜於半導體基底上,且堆疊薄膜包含有至少一介電層以及一硬遮罩。接著利用硬遮罩形成一開口於堆疊薄膜中且不暴露出該金屬內連線層。隨後去除硬遮罩,並形成一阻障層於半導體基底上並覆蓋部分介電層及部分金屬內連線層表面。In accordance with a preferred embodiment of the present invention, the method of forming an opening of the present invention primarily comprises the following steps. A semiconductor substrate is first provided, and the semiconductor substrate includes at least one metal interconnect layer. A stacked film is then formed on the semiconductor substrate, and the stacked film includes at least one dielectric layer and a hard mask. A hard mask is then used to form an opening in the stacked film without exposing the metal interconnect layer. The hard mask is then removed and a barrier layer is formed over the semiconductor substrate and covers portions of the dielectric layer and portions of the metal interconnect layer surface.

本發明之另一實施例所揭露形成開口的方法包含有下列步驟。首先提供一半導體基底,且該半導體基底中包含至少一金屬內連線層。然後形成一堆疊薄膜於半導體基底上,且堆疊薄膜包含有至少一介電層以及一硬遮罩。接著利用硬遮罩形成一開口於介電層中且不暴露出金屬內連線層。隨後去除硬遮罩,並沈積一阻障層於半導體基底上並覆蓋部分介電層及部分金屬內連線層表面。然後填入一金屬層於開口中,並進行一化學機械研磨製程,去除部分金屬層及阻障層並使金屬層表面與介電層表面齊平。Another embodiment of the present invention discloses a method of forming an opening comprising the following steps. A semiconductor substrate is first provided, and the semiconductor substrate includes at least one metal interconnect layer. A stacked film is then formed on the semiconductor substrate, and the stacked film includes at least one dielectric layer and a hard mask. A hard mask is then used to form an opening in the dielectric layer without exposing the metal interconnect layer. The hard mask is then removed and a barrier layer is deposited over the semiconductor substrate and covers portions of the dielectric layer and portions of the metal interconnect layer surface. Then, a metal layer is filled in the opening, and a chemical mechanical polishing process is performed to remove part of the metal layer and the barrier layer and make the surface of the metal layer flush with the surface of the dielectric layer.

請參照第1圖至第5圖,第1圖至第5圖本發明第一實施例製作一單鑲嵌結構之示意圖。如第1圖所示,首先提供一半導體基底12,例如一矽基底或一絕緣層上覆矽(silicon-on-insulator,SOI)基底。半導體基底12中包含至少一金屬內連線層14,且金屬內連線層14則是選自由銅、鋁、鈦、氮化鈦、鉭、氮化鉭以及鎢等金屬所構成的群組。Referring to FIGS. 1 to 5, FIGS. 1 to 5 are schematic views showing a single damascene structure according to a first embodiment of the present invention. As shown in FIG. 1, a semiconductor substrate 12 is first provided, such as a germanium substrate or a silicon-on-insulator (SOI) substrate. The semiconductor substrate 12 includes at least one metal interconnect layer 14, and the metal interconnect layer 14 is selected from the group consisting of metals such as copper, aluminum, titanium, titanium nitride, tantalum, tantalum nitride, and tungsten.

然後形成一堆疊薄膜16於半導體基底12上。其中,堆疊薄膜16包含複數個介電層18、20、22以及一由金屬所構成的硬遮罩24。介電層18、20、22分別可為一低介電常數介電層、超低介電常數介電層或普通介電層,例如多孔性低介電常數介電材料、碳摻雜氧化物(carbon-doped oxide;CDO)、有機矽玻璃(OSGs)、含氟二氧化矽(FSGs)、超低介電常數(Ultra low-k;k<2.5)、氮氧化矽(SiON)、氮化矽或TEOS(四乙基氧矽烷)等材料層,且形成介電層18、20、22的方法包含有化學氣相沈積製程(CVD)、旋轉鍍膜(spin-coating)製程、電漿加強化學氣相沉積製程(plasma-enhanced chemical vapor deposition;PECVD)以及高密度電漿化學氣相沉積(high density plasma chemical vapor deposition;HDPCVD)等製程方法。A stacked film 16 is then formed on the semiconductor substrate 12. The stacked film 16 includes a plurality of dielectric layers 18, 20, 22 and a hard mask 24 made of metal. The dielectric layers 18, 20, 22 may each be a low-k dielectric layer, an ultra-low dielectric constant dielectric layer or a common dielectric layer, such as a porous low-k dielectric material, a carbon-doped oxide. (carbon-doped Oxide; CDO), organic bismuth glass (OSGs), fluorinated cerium oxide (FSGs), ultra low dielectric constant (Ultra low-k; k < 2.5), cerium oxynitride (SiON), tantalum nitride or TEOS ( A material layer such as tetraethyloxoxane, and a method of forming the dielectric layers 18, 20, 22 includes a chemical vapor deposition process (CVD), a spin-coating process, and a plasma enhanced chemical vapor deposition process. (plasma-enhanced chemical vapor deposition; PECVD) and high density plasma chemical vapor deposition (HDPCVD) process methods.

在本實施例中,介電層18是由碳氮化矽(SiCN)所組成的NBLOK或由氮化矽所構成、介電層20是由多孔性低介電常數材料(porous low-k dielectric)或由Dow chemical公司所提供的SiLK所構成、介電層22是由氮氧化矽所構成,而硬遮罩24則選自於由鈦、氮化鈦、鉭、氮化鉭、鋁或銅鋁合金所構成的群組。需注意的是,本實施例雖然採用金屬所構成的硬遮罩24,但不侷限於這種配置方式,本發明又可視製程需求來選擇其他非金屬材料來做為硬遮罩24,例如旋塗式玻璃(spin-on glass,SOG)、氧化物(oxides)非晶碳(amorphous carbon)、多晶矽(polysilicon)或非晶矽(amorphous silicon)等材料,此皆屬本發明所涵蓋的範圍。In the present embodiment, the dielectric layer 18 is made of NBLOK composed of tantalum carbonitride (SiCN) or composed of tantalum nitride, and the dielectric layer 20 is made of porous low-k dielectric (porous low-k dielectric) Or consisting of SiLK provided by Dow Chemical, the dielectric layer 22 is composed of yttrium oxynitride, and the hard mask 24 is selected from titanium, titanium nitride, tantalum, tantalum nitride, aluminum or copper. A group of aluminum alloys. It should be noted that although the hard mask 24 made of metal is used in this embodiment, it is not limited to this configuration. The present invention can select other non-metal materials as the hard mask 24 according to the process requirements, such as spinning. Materials such as spin-on glass (SOG), oxides, amorphous carbon, polysilicon, or amorphous silicon are within the scope of the present invention.

接著覆蓋一由氮氧化矽(silicon oxynitride,SiON)所構成的絕緣層26在硬遮罩24表面,並對絕緣層26與硬遮罩 24進行一圖案轉移製程,例如先形成一圖案化光阻層42在絕緣層26上,然後再進行一蝕刻製程,以於絕緣層26與硬遮罩24中形成一開口28。氮氧化矽層26在此製程中具有底抗反射層(Bottom ARC)的作用。Next, an insulating layer 26 made of silicon oxynitride (SiON) is applied over the surface of the hard mask 24, and the insulating layer 26 and the hard mask are covered. 24, a pattern transfer process is performed, for example, a patterned photoresist layer 42 is formed on the insulating layer 26, and then an etching process is performed to form an opening 28 in the insulating layer 26 and the hard mask 24. The yttrium oxynitride layer 26 has the function of a bottom anti-reflective layer (Bottom ARC) in this process.

如第2圖所示,接著利用灰化(ashing)、去殘渣(descum)製程去除圖案化光阻層42與絕緣層26,並繼續進行另一圖案轉移製程。例如利用圖案化硬遮罩24來對介電層20、22進行一蝕刻製程,將圖案化硬遮罩24中的開口圖案部份轉移至介電層20、22中,以於介電層20、22中形成相對應的部份開口30。值得住意的是,此步驟會形成相對應的部份開口30於堆疊薄膜16中,開口30可能會因為過度蝕刻而延伸進入介電層18,但並不會暴露出半導體基底12中的金屬內連線層14。又,根據此步驟所使用的蝕刻氣體,開口30的側壁可能會形成一層聚合物層,因此可選擇性地利用一氧電漿步驟來剝除此聚合物層。As shown in FIG. 2, the patterned photoresist layer 42 and the insulating layer 26 are then removed using an ashing and descum process, and another pattern transfer process is continued. For example, the dielectric layer 20, 22 is etched by the patterned hard mask 24, and the opening pattern portion of the patterned hard mask 24 is transferred to the dielectric layers 20, 22 for the dielectric layer 20. A corresponding partial opening 30 is formed in 22. It is worthwhile to note that this step will form a corresponding partial opening 30 in the stacked film 16, which may extend into the dielectric layer 18 due to over-etching, but will not expose the metal in the semiconductor substrate 12. Inner wiring layer 14. Further, depending on the etching gas used in this step, the sidewall of the opening 30 may form a polymer layer, so that the polymer layer may be selectively stripped by an oxygen plasma step.

如第3圖所示,先進行一選擇性蝕刻製程,例如利用氯氣(Cl2 )來進行一電漿蝕刻製程,以去除部分的圖案化硬遮罩24。在本實施例中,被蝕刻的圖案化硬遮罩24側壁會因蝕刻氣體的侵蝕而退縮並形成一略微斜角(tapered)的圖案。然後再以ChxFy系的蝕刻化學品而進行另一蝕刻製程來去除部分的介電層18,以暴露出金屬內連線層14,其中 x、y為整數。值得注意的是,在去除部分介電層18的過程中,介電層22的側壁也會被部分移除,而形成一如圖案化遮罩層24一般的斜角狀側壁。As shown in FIG. 3, a selective etching process is performed, for example, using a chlorine gas (Cl 2 ) to perform a plasma etching process to remove portions of the patterned hard mask 24. In this embodiment, the sidewalls of the etched patterned hard mask 24 are retracted by etching of the etching gas and form a slightly tapered pattern. A further etching process is then performed with the ChxFy-based etch chemistry to remove portions of the dielectric layer 18 to expose the metal interconnect layer 14, where x, y are integers. It should be noted that in the process of removing a portion of the dielectric layer 18, the sidewalls of the dielectric layer 22 are also partially removed to form a beveled sidewall such as the patterned mask layer 24.

如第4圖所示,依序以濺鍍的方式沈積一阻障層32以及一晶種層34在圖案化遮罩層24、介電層18、20、22及金屬內連線層14的裸露表面。阻障層32可由鈦、氮化鈦、鉭、氮化鉭等單層或複合材料層所構成,除了可避免後續所填入的銅金屬擴散至介電層18、20、22之外,又可提升後續覆蓋於單鑲嵌結構上的金屬層與單鑲嵌結構之間的附著力。晶種層34除了是提供電流一導電路徑之外,另一重要目的是為先行提供銅的成核層,以利後續之電鍍銅可在其上成核與成長。然後進行一電鍍製程,以於晶種層34表面形成一由銅所構成的金屬層36,並使金屬層36填滿開口30。As shown in FIG. 4, a barrier layer 32 and a seed layer 34 are deposited in a sputtering manner on the patterned mask layer 24, the dielectric layers 18, 20, 22, and the metal interconnect layer 14. Exposed surface. The barrier layer 32 may be composed of a single layer or a composite layer of titanium, titanium nitride, tantalum, tantalum nitride, etc., except that the subsequently filled copper metal is prevented from diffusing to the dielectric layers 18, 20, 22, and The adhesion between the metal layer covering the single damascene structure and the single damascene structure can be improved. In addition to providing a current-conducting path for the seed layer 34, another important purpose is to provide a copper nucleation layer in advance to facilitate subsequent nucleation and growth of the electroplated copper. An electroplating process is then performed to form a metal layer 36 of copper on the surface of the seed layer 34 and to fill the opening 30 with the metal layer 36.

如第5圖所示,進行一或多道化學機械研磨製程,去除部分金屬層36、晶種層34、阻障層32、圖案化遮罩層24以及介電層22,使殘留於開口30中的金屬層36大致上切齊於介電層20表面。至此即完成本發明第一實施例之單鑲嵌結構40。As shown in FIG. 5, one or more chemical mechanical polishing processes are performed to remove portions of the metal layer 36, the seed layer 34, the barrier layer 32, the patterned mask layer 24, and the dielectric layer 22 to remain in the opening 30. The metal layer 36 is substantially tangential to the surface of the dielectric layer 20. Thus, the single damascene structure 40 of the first embodiment of the present invention is completed.

請參照第6圖至第10圖,第6圖至第10圖為本發明第 二實施例製作一單鑲嵌結構之示意圖。如第6圖所示,首先提供一半導體基底62,例如一矽基底或一絕緣層上覆矽(silicon-on-insulator,SOI)基底。半導體基底62中包含至少一金屬內連線層64,且金屬內連線層64則是選自由銅、鈦、氮化鈦、鉭、氮化鉭以及鎢等金屬導體所構成的群組。Please refer to FIG. 6 to FIG. 10 , and FIG. 6 to FIG. 10 are the first embodiment of the present invention. The second embodiment makes a schematic diagram of a single damascene structure. As shown in FIG. 6, a semiconductor substrate 62 is first provided, such as a germanium substrate or a silicon-on-insulator (SOI) substrate. The semiconductor substrate 62 includes at least one metal interconnect layer 64, and the metal interconnect layer 64 is selected from the group consisting of metal conductors such as copper, titanium, titanium nitride, tantalum, tantalum nitride, and tungsten.

然後形成一堆疊薄膜66於半導體基底62上。其中,堆疊薄膜66包含複數個介電層68、70、72、一由金屬所構成的硬遮罩74以及一設置於介電層72與硬遮罩74之間的氮氧化矽(SiON)層(圖未示),且此氮氧化矽層可在後續圖案化硬遮罩74的時候作為一蝕刻停止層(etch stop layer)。介電層68、70、72分別可為一低介電常數介電層、超低介電常數介電層或普通介電層,例如多孔性低介電常數介電材料、碳摻雜氧化物(carbon-doped oxide;CDO)、有機矽玻璃(OSGs)、含氟二氧化矽(FSGs)、超低介電常數(Ultra low-k;k<2.5)、氮化矽或TEOS(四乙基氧矽烷)等材料層,且形成介電層68、70、72的方法包含有化學氣相沈積製程(CVD)、旋轉鍍膜(spin-coating)製程、電漿加強化學氣相沉積製程(plasma-enhanced chemical vapor deposition;PECVD)以及高密度電漿化學氣相沉積(high density plasma chemical vapor deposition;HDPCVD)等製程方法。A stacked film 66 is then formed over the semiconductor substrate 62. The stacked film 66 includes a plurality of dielectric layers 68, 70, 72, a hard mask 74 made of metal, and a bismuth oxynitride (SiON) layer disposed between the dielectric layer 72 and the hard mask 74. (not shown), and the yttria layer can serve as an etch stop layer when the hard mask 74 is subsequently patterned. The dielectric layers 68, 70, 72 may each be a low-k dielectric layer, an ultra-low dielectric constant dielectric layer or a common dielectric layer, such as a porous low-k dielectric material, a carbon-doped oxide. (carbon-doped oxide; CDO), organic bismuth glass (OSGs), fluorinated cerium oxide (FSGs), ultra low dielectric constant (Ultra low-k; k < 2.5), tantalum nitride or TEOS (tetraethyl) A material layer such as oxoxane, and a method of forming dielectric layers 68, 70, 72 includes a chemical vapor deposition process (CVD), a spin-coating process, and a plasma enhanced chemical vapor deposition process (plasma- Enhanced chemical vapor deposition (PECVD) and high density plasma chemical vapor deposition (HDPCVD) process methods.

在本實施例中,介電層68是由碳氮化矽(SiCN)所組成 的NBLOK或由氮化矽所構成、介電層70是由多孔性低介電常數材料(porous low-k dielectric)或由Dow chemical公司所提供的SiLK所構成、介電層72是由四乙基氧矽烷(tetraethylorthosilicate,TEOS)所構成,而硬遮罩74則選自於由鈦、氮化鈦、鉭、氮化鉭、鋁或銅鋁合金所構成的群組。需注意的是,本實施例雖然採用金屬所構成的硬遮罩74,但不侷限於這種配置方式,本發明又可視製程需求來選擇其他非金屬材料來做為硬遮罩74,例如旋塗式玻璃(spin-on glass,SOG)、氧化物(oxides)非晶碳(amorphous carbon)、多晶矽(polysilicon)或非晶矽(amorphous silicon)等材料,此皆屬本發明所涵蓋的範圍。In this embodiment, the dielectric layer 68 is composed of tantalum carbonitride (SiCN). The NBLOK is composed of tantalum nitride, the dielectric layer 70 is composed of a porous low-k dielectric or SiLK provided by Dow Chemical, and the dielectric layer 72 is made of tetra-b. The structure consists of tetraethylorthosilicate (TEOS), and the hard mask 74 is selected from the group consisting of titanium, titanium nitride, tantalum, tantalum nitride, aluminum or copper aluminum alloy. It should be noted that although the hard mask 74 made of metal is used in this embodiment, it is not limited to this configuration. The present invention can select other non-metal materials as the hard mask 74 according to the process requirements, such as spinning. Materials such as spin-on glass (SOG), oxides, amorphous carbon, polysilicon, or amorphous silicon are within the scope of the present invention.

接著再覆蓋一由氮氧化矽(silicon oxynitride,SiON)所構成的絕緣層76在硬遮罩74表面,並對絕緣層76與硬遮罩74進行一圖案轉移製程,例如先形成一圖案化光阻層92在絕緣層76上,然後再進行一蝕刻製程,以於絕緣層76與硬遮罩74中形成一開口78。應注意,氮氧化矽層76在此製程中具有底抗反射層(Bottom ARC)的作用。Then, an insulating layer 76 made of silicon oxynitride (SiON) is covered on the surface of the hard mask 74, and a pattern transfer process is performed on the insulating layer 76 and the hard mask 74, for example, a patterned light is formed first. The resist layer 92 is on the insulating layer 76, and then an etching process is performed to form an opening 78 in the insulating layer 76 and the hard mask 74. It should be noted that the yttria layer 76 has the effect of a bottom anti-reflective layer (Bottom ARC) in this process.

如第7圖所示,接著利用灰化(ashing)、去殘渣(descum)製程去除圖案化光阻層92與絕緣層76,並繼續進行另一圖案轉移製程。例如利用圖案化硬遮罩74來對介電層70、72進行一蝕刻製程,將圖案化硬遮罩74中的開口圖案部 份轉移至介電層70、72中,以於介電層70、72中形成相對應的部份開口80。值得住意的是,此步驟會形成相對應的部份開口80於堆疊薄膜66中,開口80可能會因為過度蝕刻而延伸進入介電層68,但並不會暴露出半導體基底62中的金屬內連線層64。As shown in FIG. 7, the patterned photoresist layer 92 and the insulating layer 76 are then removed using an ashing and descum process, and another pattern transfer process is continued. For example, an etching process is performed on the dielectric layers 70 and 72 by using the patterned hard mask 74, and the opening pattern portion in the hard mask 74 is patterned. The portions are transferred to the dielectric layers 70, 72 to form corresponding partial openings 80 in the dielectric layers 70, 72. It is worthwhile to note that this step will form a corresponding partial opening 80 in the stacked film 66. The opening 80 may extend into the dielectric layer 68 due to over-etching, but will not expose the metal in the semiconductor substrate 62. The interconnect layer 64.

如第8圖所示,先進行一蝕刻製程來去除圖案化硬遮罩74,然後再進行另一蝕刻製程來去除部分的介電層68以暴露出金屬內連線層64,或是直接在去除圖案化硬遮罩74的同時去除部分的介電層68並暴露出金屬內連線層64,此均屬本發明所涵蓋的範圍。另需注意的是,本實施例中利用圖案化硬遮罩74於介電層70、72中形成部份開口80以及之後去除圖案化硬遮罩74等步驟可以在同一真空系統中的不同反應室所完成。As shown in FIG. 8, an etching process is performed to remove the patterned hard mask 74, and then another etching process is performed to remove a portion of the dielectric layer 68 to expose the metal interconnect layer 64, or directly Removing the portion of the dielectric layer 68 while removing the patterned hard mask 74 and exposing the metal interconnect layer 64 are within the scope of the present invention. It should also be noted that the steps of forming a partial opening 80 in the dielectric layers 70, 72 and then removing the patterned hard mask 74 in this embodiment may be different in the same vacuum system. The room is completed.

接著如第9圖所示,依序以濺鍍的方式沈積一阻障層82以及一晶種層84在介電層68、70、72及金屬內連線層64的裸露表面。阻障層82可由鈦、氮化鈦、鉭、氮化鉭等單層或複合材料層所構成,除了可避免後續所填入的銅金屬擴散至介電層68、70、72之外,又可提升後續覆蓋於單鑲嵌結構上的金屬層與單鑲嵌結構之間的附著力。晶種層84除了是提供電流一導電路徑之外,另一重要目的是為先行提供銅的成核層,以利後續之電鍍銅可在其上成核與成 長。然後進行一電鍍製程,以於晶種層84表面形成一由銅所構成的金屬層86,並使金屬層86填滿開口80。Next, as shown in FIG. 9, a barrier layer 82 and a seed layer 84 are deposited on the exposed surfaces of the dielectric layers 68, 70, 72 and the metal interconnect layer 64 in a sputtering manner. The barrier layer 82 may be composed of a single layer or a composite layer of titanium, titanium nitride, tantalum, tantalum nitride, etc., except that the subsequently filled copper metal is prevented from diffusing to the dielectric layers 68, 70, 72, and The adhesion between the metal layer covering the single damascene structure and the single damascene structure can be improved. In addition to providing a current-conducting path, the seed layer 84 has another important purpose of providing a copper nucleation layer in advance so that the subsequent electroplating copper can be nucleated and formed thereon. long. An electroplating process is then performed to form a metal layer 86 of copper on the surface of the seed layer 84 and to fill the opening 80 with the metal layer 86.

如第10圖所示,進行一或多道化學機械研磨製程,去除部分金屬層86、晶種層84、阻障層82以及介電層72,使殘留於開口80中的金屬層86大致上切齊於介電層70表面。至此即完成本發明第二實施例之單鑲嵌結構90。As shown in FIG. 10, one or more chemical mechanical polishing processes are performed to remove portions of the metal layer 86, the seed layer 84, the barrier layer 82, and the dielectric layer 72 such that the metal layer 86 remaining in the opening 80 is substantially It is aligned with the surface of the dielectric layer 70. Thus, the single damascene structure 90 of the second embodiment of the present invention is completed.

請參照第11圖至第16圖,第11圖至第16圖本發明第三實施例製作一雙鑲嵌結構之示意圖。如第11圖所示,首先提供一半導體基底102,例如一矽基底或一絕緣層上覆矽(silicon-on-insulator,SOI)基底。半導體基底102中包含至少一金屬內連線層104,且金屬內連線層104是選自由銅、鈦、氮化鈦、鉭、氮化鉭以及鎢等金屬導體所構成的群組。Referring to FIG. 11 to FIG. 16 and FIG. 11 to FIG. 16 , a schematic diagram of a dual damascene structure according to a third embodiment of the present invention is shown. As shown in FIG. 11, a semiconductor substrate 102 is first provided, such as a germanium substrate or a silicon-on-insulator (SOI) substrate. The semiconductor substrate 102 includes at least one metal interconnect layer 104, and the metal interconnect layer 104 is selected from the group consisting of metal conductors such as copper, titanium, titanium nitride, tantalum, tantalum nitride, and tungsten.

然後形成一堆疊薄膜106於半導體基底102上。其中,堆疊薄膜106包含複數個介電層108、110、112以及一由金屬所構成的硬遮罩114,其中介電層108、110、112的材質類似於第一實施例之介電層68、70、72的材質,而硬遮罩114的材料則類似於第一實施例之硬遮罩74的材質。在本實施例中,介電層108是由碳氮化矽(SiCN)所組成的NBLOK、介電層110是由多孔性低介電常數材料(porous low-k dielectric)或由Dow chemical公司所提供的SiLK所構成、介電層112是由四乙基氧矽烷(tetraethylorthosilicate,TEOS)所構成,而硬遮罩114則包含有鈦、氮化鈦、鉭、氮化鉭、鋁或銅鋁合金所構成的群組。如同本發明第一實施例,本實施例雖然採用金屬所構成的硬遮罩114,但不侷限於這種配置方式,本發明又可視製程需求來選擇其他非金屬材料來做為硬遮罩114,例如旋塗式玻璃(spin-on glass,SOG)、氧化物(oxides)、多晶矽(polysilicon)或非晶碳(amorphous carbon)等材料,此皆屬本發明所涵蓋的範圍。A stacked film 106 is then formed over the semiconductor substrate 102. The stacked film 106 includes a plurality of dielectric layers 108, 110, 112 and a hard mask 114 made of metal. The dielectric layers 108, 110, 112 are similar in material to the dielectric layer 68 of the first embodiment. The material of 70, 72, and the material of the hard mask 114 is similar to the material of the hard mask 74 of the first embodiment. In the present embodiment, the dielectric layer 108 is NBLOK composed of tantalum carbonitride (SiCN), and the dielectric layer 110 is made of a porous low dielectric constant material (porous). Low-k dielectric) or SiLK provided by Dow Chemical Co., Ltd., dielectric layer 112 is composed of tetraethylorthosilicate (TEOS), and hard mask 114 contains titanium, titanium nitride, A group of tantalum, tantalum nitride, aluminum or copper-aluminum alloy. As in the first embodiment of the present invention, although the hard mask 114 made of metal is used in the embodiment, the present invention is not limited to this configuration. The present invention can select other non-metal materials as the hard mask 114 depending on the process requirements. Materials such as spin-on glass (SOG), oxides, polysilicon or amorphous carbon are within the scope of the present invention.

接著覆蓋一由氮氧化矽(silicon oxynitride,SiON)所構成的絕緣層116在硬遮罩114表面,並對絕緣層116與硬遮罩114進行一圖案轉移製程,例如先形成一圖案化光阻層142在絕緣層116上,然後再進行一蝕刻製程,以於絕緣層116與硬遮罩114中形成一定義溝渠的開口118。應注意,氮氧化矽層116在此製程中具有底抗反射層(Bottom ARC)的作用。Then, an insulating layer 116 made of silicon oxynitride (SiON) is covered on the surface of the hard mask 114, and a pattern transfer process is performed on the insulating layer 116 and the hard mask 114, for example, a patterned photoresist is formed first. The layer 142 is on the insulating layer 116, and then an etching process is performed to form an opening 118 defining the trench in the insulating layer 116 and the hard mask 114. It should be noted that the yttria layer 116 has the effect of a bottom anti-reflective layer (Bottom ARC) in this process.

如第12圖所示,在利用灰化(ashing)、去殘渣(descum)製程去除圖案化光阻層142之後,形成另一圖案化光阻層120在絕緣層116及介電層112表面。然後利用圖案化光阻層120進行一蝕刻製程,去除部分的介電層110、112,以於介電層110、112中形成一部份接觸洞(partial via)122。 如同先前之實施例,本蝕刻製程會於介電層110、112中形成相對於圖案化光阻層120的部份接觸洞122但不會暴露出半導體基底102中的金屬內連線層104。As shown in FIG. 12, after the patterned photoresist layer 142 is removed by an ashing and descum process, another patterned photoresist layer 120 is formed on the surface of the insulating layer 116 and the dielectric layer 112. An etching process is then performed using the patterned photoresist layer 120 to remove portions of the dielectric layers 110, 112 to form a partial via 122 in the dielectric layers 110, 112. As in the previous embodiment, the etching process forms a portion of the contact holes 122 in the dielectric layers 110, 112 relative to the patterned photoresist layer 120 but does not expose the metal interconnect layer 104 in the semiconductor substrate 102.

如第13圖所示,先利用灰化(ashing)、去殘渣(descum)製程去除圖案化光阻層120及絕緣層116,然後利用圖案化硬遮罩114進行另一蝕刻製程,以於介電層110、112中形成對應圖案化硬遮罩114開口的溝渠124。應注意的是,溝渠124可能會因為過度蝕刻而延伸進入介電層108,但並不會暴露出半導體基底102中的金屬內連線層104。As shown in FIG. 13, the patterned photoresist layer 120 and the insulating layer 116 are removed by an ashing and descum process, and then another etching process is performed by using the patterned hard mask 114. A trench 124 corresponding to the opening of the patterned hard mask 114 is formed in the electrical layers 110, 112. It should be noted that the trench 124 may extend into the dielectric layer 108 due to over-etching, but does not expose the metal interconnect layer 104 in the semiconductor substrate 102.

接著如第14圖所示,先進行一蝕刻製程來移除圖案化硬遮罩114,然後再進行另一蝕刻製程來去除溝渠124中殘餘的介電層108以暴露出金屬內連線層104,或是直接在去除圖案化硬遮罩114的同時去除溝渠124中殘餘的介電層108並暴露出金屬內連線層104,此皆屬本發明所涵蓋的範圍。另外需注意的是,本實施例從形成圖案化光阻層120到形成溝渠124等步驟均可以在同一真空系統中的不同反應室所完成。Next, as shown in FIG. 14, an etching process is performed to remove the patterned hard mask 114, and then another etching process is performed to remove the residual dielectric layer 108 in the trench 124 to expose the metal interconnect layer 104. Alternatively, it is within the scope of the present invention to remove the residual dielectric layer 108 in the trench 124 and expose the metal interconnect layer 104 directly while removing the patterned hard mask 114. In addition, it should be noted that the steps from forming the patterned photoresist layer 120 to forming the trench 124 in this embodiment can be performed in different reaction chambers in the same vacuum system.

然後如第15圖所示,依序形成一阻障層126以及一晶種層128在介電層108、110、112及金屬內連線層104表面。如同先前實施例所述,阻障層126是由鈦、氮化鈦、 鉭、氮化鉭等單一材料層所構成,除了可避免後續所填入的銅金屬擴散至介電層108、110、112之外,又可提升後續覆蓋於單鑲嵌結構上的金屬層與單鑲嵌結構之間的附著力。隨後進行一電鍍製程,以於晶種層128表面形成一由銅所構成的金屬層130,並使金屬層130填滿溝渠124以及接觸洞122。Then, as shown in FIG. 15, a barrier layer 126 and a seed layer 128 are sequentially formed on the surfaces of the dielectric layers 108, 110, 112 and the metal interconnect layer 104. As described in the previous embodiments, the barrier layer 126 is made of titanium, titanium nitride, A single material layer such as tantalum or tantalum nitride can be used to prevent the subsequent filling of the copper metal from diffusing to the dielectric layers 108, 110, 112, and to improve the subsequent metal layer and the single layer covering the single damascene structure. Adhesion between the mosaic structures. An electroplating process is then performed to form a metal layer 130 of copper on the surface of the seed layer 128, and the metal layer 130 fills the trench 124 and the contact hole 122.

如第16圖所示,進行一或多道化學機械研磨製程,去除介電層110表面的部分金屬層130、晶種層128、阻障層126以及介電層112,使殘留於溝渠124中的金屬層130大致上切齊於介電層110表面。至此即完成本發明第三實施例之雙鑲嵌結構140。另需注意的是,本實施例是以第二實施例中完全去除圖案化硬遮罩的方式來結合雙鑲嵌製程。但不侷限於這個作法,本發明又可融合第一實施例中僅部分去除圖案化硬遮罩的方式來完成雙鑲嵌製程,此均屬本發明所涵蓋的範圍。As shown in FIG. 16, one or more chemical mechanical polishing processes are performed to remove a portion of the metal layer 130, the seed layer 128, the barrier layer 126, and the dielectric layer 112 on the surface of the dielectric layer 110 so as to remain in the trench 124. The metal layer 130 is substantially tangential to the surface of the dielectric layer 110. Thus, the dual damascene structure 140 of the third embodiment of the present invention is completed. It should also be noted that this embodiment combines the dual damascene process in a manner that completely removes the patterned hard mask in the second embodiment. However, the present invention is not limited to this practice, and the present invention can be combined with the method of only partially removing the patterned hard mask in the first embodiment to complete the dual damascene process, which is within the scope of the present invention.

請參照第17圖至第19圖,第17圖至第19圖本發明第四實施例製作一雙鑲嵌結構之示意圖。如第17圖所示,本發明可先進行第12圖至第13圖的製程並以氮氧化矽來形成介電層112,然後再於圖案化硬遮罩114、介電層110及112中形成對應的接觸洞122與溝渠124。Referring to FIGS. 17 to 19, FIGS. 17 to 19 are schematic views showing a dual damascene structure according to a fourth embodiment of the present invention. As shown in FIG. 17, the present invention can first perform the processes of FIGS. 12 to 13 and form the dielectric layer 112 with hafnium oxynitride, and then pattern the hard mask 114, the dielectric layers 110 and 112. Corresponding contact holes 122 and trenches 124 are formed.

接著進行一選擇性蝕刻製程,例如利用氯氣(Cl2 )來進行一電漿蝕刻製程,以去除部分的圖案化硬遮罩114。在本實施例中,被蝕刻的圖案化硬遮罩114側壁會因蝕刻氣體的侵蝕而退縮並形成一略微斜角(tapered)的圖案。A selective etching process is then performed, such as a plasma etching process using chlorine (Cl 2 ) to remove portions of the patterned hard mask 114. In this embodiment, the sidewalls of the patterned patterned hard mask 114 are retracted by etching of the etching gas and form a slightly tapered pattern.

如第18圖所示,再以ChxFy系的蝕刻化學品進行另一蝕刻製程來去除部分的介電層108,以暴露出金屬內連線層104,其中x、y為整數。值得注意的是,在去除部分介電層108的過程中,介電層112的側壁也會被部分移除,而形成一如圖案化遮罩層114一般的斜角狀側壁。As shown in FIG. 18, another etching process is performed with a ChxFy-based etch chemistry to remove portions of the dielectric layer 108 to expose the metal interconnect layer 104, where x, y are integers. It should be noted that in the process of removing a portion of the dielectric layer 108, the sidewalls of the dielectric layer 112 are also partially removed to form a beveled sidewall such as the patterned mask layer 114.

如第19圖所示,依序形成一阻障層126以及一晶種層128在圖案化硬遮罩114、介電層108、110、112及金屬內連線層104表面。同先前所述之實施例,阻障層126可由鈦、氮化鈦、鉭、氮化鉭等單一材料層所構成。隨後進行一電鍍製程,以於晶種層128表面形成一由銅所構成的金屬層130,並使金屬層130填滿溝渠124以及接觸洞122。最後可進行一或多道化學機械研磨製程,去除介電層110表面的部分金屬層130、晶種層128、阻障層126以及介電層112,使殘留於溝渠124中的金屬層130大致上切齊於介電層110表面。As shown in FIG. 19, a barrier layer 126 and a seed layer 128 are sequentially formed on the surface of the patterned hard mask 114, the dielectric layers 108, 110, 112, and the metal interconnect layer 104. As with the previously described embodiments, the barrier layer 126 may be composed of a single material layer such as titanium, titanium nitride, tantalum, or tantalum nitride. An electroplating process is then performed to form a metal layer 130 of copper on the surface of the seed layer 128, and the metal layer 130 fills the trench 124 and the contact hole 122. Finally, one or more chemical mechanical polishing processes may be performed to remove portions of the metal layer 130, the seed layer 128, the barrier layer 126, and the dielectric layer 112 on the surface of the dielectric layer 110, so that the metal layer 130 remaining in the trench 124 is substantially The upper surface is tangent to the surface of the dielectric layer 110.

綜上所述,本發明主要是在介電層中尚未完全蝕刻出所 需之鑲嵌圖案以及沈積阻障層前先完全去除或部分去除用來形成鑲嵌結構開口的硬遮罩,然後再進行後續所需的濺鍍與電鍍製程。由於去除此硬遮罩的步驟可大幅提昇阻障層濺鍍時的入射角,因此在濺鍍阻障層的時候可在介電層側壁表面形成具有連續輪廓的阻障層,並使後續覆蓋在阻障層上的銅金屬層不會因阻障層的不連續而產生缺口。In summary, the present invention is mainly in the dielectric layer has not been completely etched The damascene pattern is required and the hard mask used to form the opening of the damascene structure is completely removed or partially removed before the deposition of the barrier layer, and then the subsequent sputtering and electroplating processes are performed. Since the step of removing the hard mask can greatly increase the incident angle when the barrier layer is sputtered, a barrier layer having a continuous contour can be formed on the sidewall surface of the dielectric layer when the barrier layer is sputtered, and the subsequent coverage is performed. The copper metal layer on the barrier layer does not become a gap due to the discontinuity of the barrier layer.

以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。The above are only the preferred embodiments of the present invention, and all changes and modifications made to the scope of the present invention should be within the scope of the present invention.

12‧‧‧半導體基底12‧‧‧Semiconductor substrate

14‧‧‧金屬內連線層14‧‧‧Metal interconnect layer

16‧‧‧堆疊薄膜16‧‧‧Stacked film

18‧‧‧介電層18‧‧‧ dielectric layer

20‧‧‧介電層20‧‧‧Dielectric layer

22‧‧‧介電層22‧‧‧Dielectric layer

24‧‧‧硬遮罩24‧‧‧hard mask

26‧‧‧絕緣層26‧‧‧Insulation

28‧‧‧開口28‧‧‧ openings

30‧‧‧開口30‧‧‧ openings

32‧‧‧阻障層32‧‧‧Barrier layer

34‧‧‧晶種層34‧‧‧ seed layer

36‧‧‧金屬層36‧‧‧metal layer

38‧‧‧介電層38‧‧‧Dielectric layer

40‧‧‧單鑲嵌結構40‧‧‧Single mosaic structure

42‧‧‧圖案化光阻層42‧‧‧ patterned photoresist layer

62‧‧‧半導體基底62‧‧‧Semiconductor substrate

64‧‧‧金屬內連線層64‧‧‧Metal interconnect layer

66‧‧‧堆疊薄膜66‧‧‧Stacked film

68‧‧‧介電層68‧‧‧Dielectric layer

70‧‧‧介電層70‧‧‧Dielectric layer

72‧‧‧介電層72‧‧‧ dielectric layer

74‧‧‧硬遮罩74‧‧‧hard mask

76‧‧‧絕緣層76‧‧‧Insulation

78‧‧‧開口78‧‧‧ openings

80‧‧‧開口80‧‧‧ openings

82‧‧‧阻障層82‧‧‧Barrier layer

84‧‧‧晶種層84‧‧‧ seed layer

86‧‧‧金屬層86‧‧‧metal layer

90‧‧‧單鑲嵌結構90‧‧‧Single mosaic structure

92‧‧‧圖案化光阻層92‧‧‧ patterned photoresist layer

102‧‧‧半導體基底102‧‧‧Semiconductor substrate

104‧‧‧金屬內連線層104‧‧‧Metal interconnect layer

106‧‧‧堆疊薄膜106‧‧‧Stacked film

108‧‧‧介電層108‧‧‧ dielectric layer

110‧‧‧介電層110‧‧‧ dielectric layer

112‧‧‧介電層112‧‧‧ dielectric layer

114‧‧‧硬遮罩114‧‧‧hard mask

116‧‧‧絕緣層116‧‧‧Insulation

118‧‧‧開口118‧‧‧ openings

120‧‧‧圖案化光阻層120‧‧‧ patterned photoresist layer

122‧‧‧接觸洞122‧‧‧Contact hole

124‧‧‧溝渠124‧‧‧ Ditch

126‧‧‧阻障層126‧‧‧Barrier layer

128‧‧‧晶種層128‧‧‧ seed layer

130‧‧‧金屬層130‧‧‧metal layer

140‧‧‧雙鑲嵌結構140‧‧‧Dual mosaic structure

142‧‧‧圖案化光阻層142‧‧‧ patterned photoresist layer

第1圖至第5圖本發明第一實施例製作一單鑲嵌結構之示意圖。1 to 5 are schematic views showing a single damascene structure produced by the first embodiment of the present invention.

第6圖至第10圖本發明第二實施例製作一單鑲嵌結構之示意圖。6 to 10 are schematic views showing a single damascene structure according to a second embodiment of the present invention.

第11圖至第16圖本發明第三實施例製作一雙鑲嵌結構之示意圖。11 to 16 are schematic views showing a double damascene structure according to a third embodiment of the present invention.

第17圖至第19圖本發明第四實施例製作一雙鑲嵌結構之示意圖。17 to 19 are schematic views showing a double damascene structure according to a fourth embodiment of the present invention.

62‧‧‧半導體基底62‧‧‧Semiconductor substrate

64‧‧‧金屬內連線層64‧‧‧Metal interconnect layer

68‧‧‧介電層68‧‧‧Dielectric layer

70‧‧‧介電層70‧‧‧Dielectric layer

82‧‧‧阻障層82‧‧‧Barrier layer

84‧‧‧晶種層84‧‧‧ seed layer

86‧‧‧金屬層86‧‧‧metal layer

90‧‧‧單鑲嵌結構90‧‧‧Single mosaic structure

Claims (24)

一種形成開口之方法,包含有下列步驟:提供一半導體基底,該半導體基底中包含至少一金屬內連線層;形成一堆疊薄膜於該半導體基底上,該堆疊薄膜包含有至少一介電層以及一硬遮罩;利用該硬遮罩於該堆疊薄膜中形成一開口且不暴露出該金屬內連線層;去除該硬遮罩;以及形成一阻障層於該半導體基底上並覆蓋部分該介電層及部分該金屬內連線層表面。 A method of forming an opening, comprising the steps of: providing a semiconductor substrate comprising at least one metal interconnect layer; forming a stacked film on the semiconductor substrate, the stacked film comprising at least one dielectric layer and a hard mask; forming an opening in the stacked film without exposing the metal interconnect layer; removing the hard mask; and forming a barrier layer on the semiconductor substrate and covering a portion of the a dielectric layer and a portion of the surface of the metal interconnect layer. 如申請專利範圍第1項所述之方法,其中形成該開口於該堆疊薄膜中包含:圖案化該硬遮罩;以及利用該圖案化之該硬遮罩當作蝕刻遮罩來蝕刻該堆疊薄膜形成該開口。 The method of claim 1, wherein forming the opening in the stacked film comprises: patterning the hard mask; and etching the stacked film by using the patterned hard mask as an etch mask The opening is formed. 如申請專利範圍第1項所述之方法,其中形成該開口於該堆疊薄膜中包含:圖案化該硬遮罩;形成一圖案化光阻層於該半導體基底上並覆蓋該圖案化之該硬遮罩; 利用該圖案化光阻層當作蝕刻遮罩以於該堆疊薄膜中形成一接觸洞(via);去除該圖案化光阻層;以及利用該圖案化之該硬遮罩當作蝕刻遮罩來蝕刻該堆疊薄膜形成該開口。 The method of claim 1, wherein forming the opening in the stacked film comprises: patterning the hard mask; forming a patterned photoresist layer on the semiconductor substrate and covering the patterned hard Mask Using the patterned photoresist layer as an etch mask to form a via in the stacked film; removing the patterned photoresist layer; and using the patterned hard mask as an etch mask The stacked film is etched to form the opening. 如申請專利範圍第1項所述之方法,其中形成該堆疊薄膜後另包含:形成一絕緣層於該硬遮罩上;以及利用一圖案化光阻層來圖案化該絕緣層與該硬遮罩。 The method of claim 1, wherein the forming the stacked film further comprises: forming an insulating layer on the hard mask; and patterning the insulating layer and the hard mask with a patterned photoresist layer cover. 如申請專利範圍第1項所述之方法,其中該介電層係選自由四乙基氧矽烷(tetraethylorthosilicate,TEOS)、氮化矽、多孔性低介電常數材料(porous low-k dielectric)、氮氧化矽(SiON)、碳氮化矽(SiCN)所組成之NBLOK或由Dow chemical公司所提供之SiLK所構成的群組。 The method of claim 1, wherein the dielectric layer is selected from the group consisting of tetraethylorthosilicate (TEOS), tantalum nitride, porous low-k dielectric, A group consisting of NBLOK composed of cerium oxynitride (SiON), lanthanum carbonitride (SiCN) or SiLK supplied by Dow Chemical Company. 如申請專利範圍第1項所述之方法,其中該硬遮罩係為一金屬硬遮罩。 The method of claim 1, wherein the hard mask is a metal hard mask. 如申請專利範圍第6項所述之方法,其中該金屬硬遮罩係選自由非晶矽、多晶矽、鈦、氮化鈦、鉭、氮化鉭、鋁或銅鋁合金所構成的群組。 The method of claim 6, wherein the metal hard mask is selected from the group consisting of amorphous germanium, polycrystalline germanium, titanium, titanium nitride, tantalum, tantalum nitride, aluminum or copper aluminum alloy. 如申請專利範圍第1項所述之方法,其中該金屬內連線層係選自由銅、鈦、氮化鈦、鉭、氮化鉭以及鎢所構成的群組。 The method of claim 1, wherein the metal interconnect layer is selected from the group consisting of copper, titanium, titanium nitride, tantalum, tantalum nitride, and tungsten. 如申請專利範圍第1項所述之方法,另包含於去除該硬遮罩時暴露出該金屬內連線層。 The method of claim 1, further comprising exposing the metal interconnect layer when the hard mask is removed. 如申請專利範圍第1項所述之方法,其中形成該開口於該堆疊薄膜中以及去除該硬遮罩之步驟係於同一真空系統中達成。 The method of claim 1, wherein the step of forming the opening in the stacked film and removing the hard mask is achieved in the same vacuum system. 如申請專利範圍第1項所述之方法,更包含形成一蝕刻停止層於該介電層與該硬遮罩之間。 The method of claim 1, further comprising forming an etch stop layer between the dielectric layer and the hard mask. 一種形成開口之方法,包含有下列步驟:提供一半導體基底,該半導體基底中包含至少一金屬內連線層;形成一堆疊薄膜於該半導體基底上,該堆疊薄膜包含有至少一介電層以及一硬遮罩;利用該硬遮罩於該堆疊薄膜中形成一開口且不暴露出該金屬內連線層;部分去除該硬遮罩;以及 形成一阻障層於該半導體基底上並覆蓋部分該硬遮罩、該介電層及部分該金屬內連線層表面。 A method of forming an opening, comprising the steps of: providing a semiconductor substrate comprising at least one metal interconnect layer; forming a stacked film on the semiconductor substrate, the stacked film comprising at least one dielectric layer and a hard mask; forming an opening in the stacked film by the hard mask without exposing the metal interconnect layer; partially removing the hard mask; Forming a barrier layer on the semiconductor substrate and covering a portion of the hard mask, the dielectric layer and a portion of the surface of the metal interconnect layer. 如申請專利範圍第12項所述之方法,部分去除該硬遮罩的步驟包含利用一電漿蝕刻製程來部分去除該硬遮罩,使該硬遮罩之側壁退縮而形成一斜角圖案。 In the method of claim 12, the step of partially removing the hard mask comprises partially removing the hard mask by a plasma etching process to retract the sidewall of the hard mask to form an oblique pattern. 如申請專利範圍第13項所述之方法,另包含利用氯氣來進行該電漿蝕刻製程。 The method of claim 13, further comprising using chlorine gas to perform the plasma etching process. 如申請專利範圍第12項所述之方法,其中形成該開口於該堆疊薄膜中包含:圖案化該硬遮罩;以及利用該圖案化之該硬遮罩當作蝕刻遮罩來形成該開口。 The method of claim 12, wherein forming the opening in the stacked film comprises: patterning the hard mask; and forming the opening by using the patterned hard mask as an etch mask. 如申請專利範圍第12項所述之方法,其中形成該開口於該堆疊薄膜中包含:圖案化該硬遮罩;形成一圖案化光阻層於該半導體基底上並覆蓋該圖案化之該硬遮罩;利用該圖案化光阻層於該堆疊薄膜中形成一接觸洞(via);去除該圖案化光阻層;以及 利用該圖案化之該硬遮罩當作蝕刻遮罩來形成該開口。 The method of claim 12, wherein forming the opening in the stacked film comprises: patterning the hard mask; forming a patterned photoresist layer on the semiconductor substrate and covering the patterned hard a mask; forming a contact in the stacked film by using the patterned photoresist layer; removing the patterned photoresist layer; The opening is formed using the patterned hard mask as an etch mask. 如申請專利範圍第12項所述之方法,其中形成該堆疊薄膜後另包含:形成一絕緣層於該硬遮罩上;以及利用一圖案化光阻層來圖案化該絕緣層與該硬遮罩。 The method of claim 12, wherein the forming the stacked film further comprises: forming an insulating layer on the hard mask; and patterning the insulating layer and the hard mask with a patterned photoresist layer cover. 如申請專利範圍第12項所述之方法,其中該介電層序選自由四乙基氧矽烷(tetraethylorthosilicate,TEOS)、氮化矽、多孔性低介電常數材料(porous low-k dielectric)、氮氧化矽(SiON)、碳氮化矽(SiCN)所組成之NBLOK或由Dow chemical公司所提供之SiLK所構成的群組。 The method of claim 12, wherein the dielectric sequence is selected from the group consisting of tetraethylorthosilicate (TEOS), tantalum nitride, porous low-k dielectric, A group consisting of NBLOK composed of cerium oxynitride (SiON), lanthanum carbonitride (SiCN) or SiLK supplied by Dow Chemical Company. 如申請專利範圍第12項所述之方法,其中該硬遮罩係為一金屬硬遮罩。 The method of claim 12, wherein the hard mask is a metal hard mask. 如申請專利範圍第19項所述之方法,其中該金屬硬遮罩係選自由非晶矽、多晶矽、鈦、氮化鈦、鉭、氮化鉭、鋁或銅鋁合金所構成的群組。 The method of claim 19, wherein the metal hard mask is selected from the group consisting of amorphous germanium, polycrystalline germanium, titanium, titanium nitride, tantalum, tantalum nitride, aluminum or copper aluminum alloy. 如申請專利範圍第12項所述之方法,其中該金屬內連線層係選自由銅、鈦、氮化鈦、鉭、氮化鉭以及鎢所構成的群組。 The method of claim 12, wherein the metal interconnect layer is selected from the group consisting of copper, titanium, titanium nitride, tantalum, tantalum nitride, and tungsten. 如申請專利範圍第12項所述之方法,另包含於部分去除該硬遮罩後暴露出該金屬內連線層。 The method of claim 12, further comprising exposing the metal interconnect layer after partially removing the hard mask. 如申請專利範圍第12項所述之方法,其中形成該開口於該堆疊薄膜中以及部分去除該硬遮罩之步驟係於同一真空系統中達成。 The method of claim 12, wherein the step of forming the opening in the stacked film and partially removing the hard mask is achieved in the same vacuum system. 如申請專利範圍第12項所述之方法,更包含形成一蝕刻停止層於該介電層與該硬遮罩之間。 The method of claim 12, further comprising forming an etch stop layer between the dielectric layer and the hard mask.
TW97131407A 2008-08-18 2008-08-18 Method for fabricating an opening TWI381444B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW97131407A TWI381444B (en) 2008-08-18 2008-08-18 Method for fabricating an opening

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW97131407A TWI381444B (en) 2008-08-18 2008-08-18 Method for fabricating an opening

Publications (2)

Publication Number Publication Date
TW201009928A TW201009928A (en) 2010-03-01
TWI381444B true TWI381444B (en) 2013-01-01

Family

ID=44828017

Family Applications (1)

Application Number Title Priority Date Filing Date
TW97131407A TWI381444B (en) 2008-08-18 2008-08-18 Method for fabricating an opening

Country Status (1)

Country Link
TW (1) TWI381444B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9659821B1 (en) * 2016-05-23 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming interconnect structures by self-aligned approach

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6900134B1 (en) * 2004-03-18 2005-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming openings in a substrate using bottom antireflective coatings
TWI265597B (en) * 2005-10-07 2006-11-01 United Microelectronics Corp Method of fabricating openings and contact holes
TWI293184B (en) * 2005-10-21 2008-02-01 Promos Technologies Inc Etching method and method of fabricating opening

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6900134B1 (en) * 2004-03-18 2005-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming openings in a substrate using bottom antireflective coatings
TWI265597B (en) * 2005-10-07 2006-11-01 United Microelectronics Corp Method of fabricating openings and contact holes
TWI293184B (en) * 2005-10-21 2008-02-01 Promos Technologies Inc Etching method and method of fabricating opening

Also Published As

Publication number Publication date
TW201009928A (en) 2010-03-01

Similar Documents

Publication Publication Date Title
US8110342B2 (en) Method for forming an opening
US6744090B2 (en) Damascene capacitor formed in metal interconnection layer
JP5255292B2 (en) Interconnect structure having two-layer metal cap and method of manufacturing the same
TWI402936B (en) Novel structure and method for metal integration
US20020064941A1 (en) Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
US20060019485A1 (en) Multi-layer wiring structure, semiconductor apparatus having multi-layer wiring structure, and methods of manufacturing them
US7312532B2 (en) Dual damascene interconnect structure with improved electro migration lifetimes
US20080096384A1 (en) Method of forming damascene filament wires
US6589881B2 (en) Method of forming dual damascene structure
US7436009B2 (en) Via structures and trench structures and dual damascene structures
US20070200237A1 (en) Semiconductor device and method of manufacturing the same
US6406992B1 (en) Fabrication method for a dual damascene structure
US6503835B1 (en) Method of making an organic copper diffusion barrier layer
US10923423B2 (en) Interconnect structure for semiconductor devices
US20030170978A1 (en) Method of fabricating a dual damascene structure on a semiconductor substrate
TWI381444B (en) Method for fabricating an opening
KR20090024854A (en) Metal line and method for fabricating metal line of semiconductor device
US6403471B1 (en) Method of forming a dual damascene structure including smoothing the top part of a via
JP3586190B2 (en) Semiconductor device and manufacturing method thereof
WO2022037243A1 (en) Semiconductor structure and method for forming same
US20230049704A1 (en) Metal interconnection structure and manufacturing method thereof
KR100483838B1 (en) Dual damascene process of metal wire
KR100322887B1 (en) Method for forming multilayered metal-line of semiconductor device
KR100691940B1 (en) A wire in semiconductor device and method for fabricating the same
KR100562314B1 (en) A semiconductor device, and a manufacturing method thereof