TWI376758B - Chip package and method for fabricating the same - Google Patents

Chip package and method for fabricating the same Download PDF

Info

Publication number
TWI376758B
TWI376758B TW096107214A TW96107214A TWI376758B TW I376758 B TWI376758 B TW I376758B TW 096107214 A TW096107214 A TW 096107214A TW 96107214 A TW96107214 A TW 96107214A TW I376758 B TWI376758 B TW I376758B
Authority
TW
Taiwan
Prior art keywords
metal
layer
pad
pads
gold
Prior art date
Application number
TW096107214A
Other languages
Chinese (zh)
Other versions
TW200741922A (en
Inventor
Chien Kang Chou
Chiu Ming Chou
Li Ren Lin
Hsin Jung Lo
Original Assignee
Megica Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Megica Corp filed Critical Megica Corp
Publication of TW200741922A publication Critical patent/TW200741922A/en
Application granted granted Critical
Publication of TWI376758B publication Critical patent/TWI376758B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electric Connection Of Electric Components To Printed Circuits (AREA)

Description

1376758 九、發明說明: 【發明所屬之技術領域】 本發明係有關-種晶片封裝結構及其製作方法,特別是有關—種在同 一半導體基底上方具有不同類型金屬接墊的晶片封裝結構及其製 作方法。 【先前技術】 在高度情報化社會的今日,多媒體應用的市場不斷地急速擴張著,積 體電路封裝技術亦需配合電子裝置的數位化'網路化、區域連接化以及使 用人性化的趨勢發展。為了達成上述的要求,必須強化電子元件的高速處 理化、多機能化、積集化、小犁輕量化以及低價化等多方面的需求,於是 積體電路封裝技術也跟著朝向微型化、高密度化發展,因此球格陣列式構 裝(Ball Grid Array ’ BGA)、晶片尺寸構裝(Chip_Scale Package,csp)、覆晶 構裝(Flip Chip ’ F/C)與多晶片模組^Multi-Chip Module,MCM)等高密度積 體電路封裝技術也應運而生。對於高密度積體電路封裝而言,縮短連結線 路的長度將有助訊號傳遞速度的提昇,因此凸塊的應用已逐漸成為高密度 封裝的主流。‘ 此外’在這些封裝結構中,銲料凸塊(solderbump)係用來作為電性連接 一半導體晶至另一半導體晶片的傳導體(medium),並也利用打線接合 (wire-bonding)製程形成複數打線導線連接此半導體晶片至一印刷電路板 • (printed circuit board) 〇 習知技術中’大部分晶圓上的接墊(bonding pad)皆採用鋁墊(A1 pad), 5 ^76758 因此若要顧-Μ上製作出銲料凸塊並__些打線用的_時常倉 使得打線⑽㉝拉損’其原因在;^銲料&塊與銘塾之間必須形成具有 黏著(adhesion)作用與阻障(barrier)作用的凸塊底層金屬⑴“过1376758 IX. Description of the Invention: [Technical Field] The present invention relates to a chip package structure and a method of fabricating the same, and more particularly to a chip package structure having different types of metal pads over the same semiconductor substrate and fabrication thereof method. [Prior Art] In today's highly information-based society, the market for multimedia applications is rapidly expanding. The integrated circuit packaging technology also needs to cooperate with the digitalization of electronic devices, the development of network, regional connectivity and the use of humanization. . In order to achieve the above requirements, it is necessary to strengthen the high-speed processing, multi-functioning, accumulating, lightweight and low-cost requirements of electronic components, so that the integrated circuit packaging technology is also becoming miniaturized and high. Density development, so Ball Grid Array 'BGA, Chip_Scale Package, csp, Flip Chip 'F/C and multi-chip module ^Multi- High-density integrated circuit packaging technology such as Chip Module, MCM) has also emerged. For high-density integrated circuit packages, shortening the length of the connection line will increase the speed of signal transmission, so the application of bumps has gradually become the mainstream of high-density packaging. In addition, in these package structures, a solder bump is used as a conductor for electrically connecting a semiconductor crystal to another semiconductor wafer, and also forms a plurality of wires by a wire-bonding process. Wire-bonding wires connect the semiconductor wafer to a printed circuit board. (Printed circuit board) In the conventional technology, the bonding pads on most wafers use aluminum pads (A1 pad), 5 ^76758. Gu-Μ made solder bumps and __ some of the wires used for the wire to make the wire (10) 33 pull the damage 'the reason is; ^ solder & block and Ming must form an adhesion and barrier (barrier) function of the bump underlying metal (1) "over

Metallurgy ’ UBM),而凸塊底層金屬在侧.中所使用的侧液通常含 有氫氟酸卿及其他缓衝溶液伽如〇1邱011),氫氣酸將會造成銘塾的表面 損傷,進而使得打線製程的焊接信賴性(reliabilily)不佳。 【發明内容】 本發明之-目的,係在提供一種晶片封裝結構,其具有兩種不同類 型的金屬接墊(metal pad)位在同一半導體基底的上方。 本發明之-目的,係在提供―種晶片封裝結構,其具有連接含錫金屬 層之一接墊以及連接打線導線的另一接墊。 本發明之L係在提供—種晶片封裝結構,其具有連接含錫金屬 層之一接墊以及連接貼帶的另一接塾。 本發明之H係在提供—種⑼封裝結構,其具有連接含錫金屬 層之-接麵及異方性導電雜合—外部f路的另_接塾。 本發月之目的係、在提供一種晶片封裝結構其具有利用異方性導 電膠接合一外部電路的一接墊,以及連接貼帶的另—接墊。 本發明之-目的,錄提供—種晶片封裝結構,其具有連接打線導線 的一接墊,以及連接貼帶的另一接塾。 本發明之-目的,係在提供—種晶片封裝結構,其具有連接打線導線 的-接塾,以及具有_異方性導電雜合—外部電路㈣一接塾。 6 1376758 本發明之-目的,係在提供—種⑼封裝結構,其具有不同厚度的至 少二金屬接墊位在同一半導體基底的上方。 • 本發明之一目的,係、在提供—種晶片封裝結構,其具有相同厚度之複 • 數金屬接墊位在同一半導體基底的上方。 為了上述之目的’本發明提出—種晶片封裝結構,包括:—半導體基 底;-線路結構’位在該半導體基底上方,並包括一第一接塾與一第二接 墊’-保護層,位在該線路結構上方,且位在該賴層内之—第一開口與 # —第二開口分別暴露出該第一接塾與該第二接墊;-金屬接塾(metal pad),位在該第-接墊上;—貼帶(tape) ’接合該金屬接塾;以及一含錫 金屬層,位在該第二接墊上方。 為了上述之目的,本發明提出-種晶片封裝結構’包括:-半導體基 底;-線路結構,位在該半導體基底上方,並包括一第一接塾與一第二接 塾,保邊層’位在該線路結構上方,且位在該保護層内之一第一開口與 一第二開口分別暴露出該第—接塾與該第二難;—金屬接塾,位在該第 φ 接墊上’並利用異方性導電膠(anisotropic conductive paste)連接- 外部電路;以及―含錫金屬層,位在該仏接墊上方。 - 為了上述之目的’本發明提出-種晶片封裝結構,包括:-半導體基 底線路結構’位在該半導體基底上方,並包括-第-接塾與一第二接 ’保護層’位在該線路結構上方,且位在該保護層内之一第一開口與 第—開口分別暴露出該第-接塾與該第二接墊;-第-金屬接墊,位在 '〜帛接墊上,一第二金屬接塾,位在該第二接塾上;-貼帶,接合該第 1376758 一金屬接墊;以及一打線導線,接合該第二金屬接墊。 為了上述之目的’本發明提出一種晶片封裝結構,包括:一半導體基 並包括一第一接墊與一第二接 底;一線路結構,位在該半導體基底上方 墊;-保護層,位在該線路結構上方,且位在該賴助之—第—開口與 -第二開口分別暴露出該第—接塾與該第二接塾;—第—金屬接塾,位在 該第-接墊上’並彻異綠導轉接合—外部電路;—帛二金屬接塾, 位在該第二接塾上;歧—打線導線,接合該第二金屬接塾。Metallurgy ' UBM), and the side liquid used in the side of the bump metal usually contains hydrofluoric acid and other buffer solution gamma 〇 1 Qiu 011), hydrogen acid will cause surface damage of the name, and then The welding reliability (reliabilily) of the wire bonding process is not good. SUMMARY OF THE INVENTION It is an object of the present invention to provide a wafer package structure having two different types of metal pads positioned over the same semiconductor substrate. SUMMARY OF THE INVENTION The object of the present invention is to provide a wafer package structure having a pad for connecting one of the tin-containing metal layers and another wire for connecting the wire bonding wires. The L of the present invention is provided with a chip package structure having another pad for connecting one of the tin-containing metal layers and the connection tape. The H of the present invention is provided with a (9) package structure having a junction connecting a tin-containing metal layer and an anisotropic conductive hybrid-external f-path. The purpose of this month is to provide a chip package structure having a pad for bonding an external circuit with an anisotropic conductive paste, and a further pad for attaching the tape. SUMMARY OF THE INVENTION The object of the present invention is to provide a chip package structure having a pad for connecting a wire bonding wire and another connector for connecting the tape. SUMMARY OF THE INVENTION The object of the present invention is to provide a chip package structure having a connection to a wire conductor and an anisotropic conductive hybrid-external circuit (four). 6 1376758 The object of the invention is to provide a (9) package structure having at least two metal pads of different thickness above the same semiconductor substrate. It is an object of the present invention to provide a wafer package structure having a plurality of metal pads of the same thickness above the same semiconductor substrate. For the above purposes, the present invention provides a chip package structure including: a semiconductor substrate; a line structure disposed above the semiconductor substrate and including a first interface and a second pad'-protective layer, Above the line structure, and in the layer - the first opening and the # - second opening respectively expose the first interface and the second pad; - a metal pad, located at The first pad; the tape 'bonds the metal interface; and the tin-containing metal layer is positioned above the second pad. For the above purposes, the present invention provides a wafer package structure comprising: a semiconductor substrate; a wiring structure positioned over the semiconductor substrate and including a first interface and a second interface, the edge layer Above the line structure, a first opening and a second opening in the protective layer respectively expose the first connection and the second difficulty; the metal connection is located on the first φ pad And using an anisotropic conductive paste to connect - an external circuit; and a "tin-containing metal layer" above the splicing pad. - For the above purposes, the present invention proposes a wafer package structure comprising: a semiconductor substrate wiring structure positioned above the semiconductor substrate and comprising a -first junction and a second junction 'protective layer' on the line Above the structure, and the first opening and the first opening in the protective layer respectively expose the first connection and the second connection; the -th metal pad is located on the '~帛 pad, one a second metal joint on the second joint; a tape, joining the 1376758 metal pad; and a wire bonding wire joining the second metal pad. For the above purposes, the present invention provides a chip package structure comprising: a semiconductor substrate and including a first pad and a second pad; a line structure on the pad above the semiconductor substrate; a protective layer, located in Above the line structure, and at the first opening and the second opening respectively exposing the first connection and the second connection; the first metal connection is located on the first pad 'And the green transition joint - external circuit; - two metal joints, located on the second joint; the differential - wire conductor, the second metal joint.

為了上述之目的,本發明提出—種晶片封裝結構,包括:—半導體基 底;一線路、结構,位在該半導體基底上方,並包括一第一接塾與一第二接 墊,一保護層,位在該線路結構上方,且位在該保護層内之一第一開口與 -第二開口分別暴露出該第—接墊與該第二接塾丨—第―金屬接塾,位在 該第一接墊上,並利用異方性導電膠接合一外部電路;一第二金屬接墊, 位在該第二接墊上;以及—貼帶,接合該第二金屬接塾。 為了上述之目的,本發明提出一種晶片封裝結構,包括:_半導體基 底;-線路結構,位在該半導體基底上方,並包括一第一接塾與_第二接 塾;一保護層,位在魏路結構上方,且位在娜護層内之—第—開口與 -第-開π分別暴露出該第__接墊與該第二接塾;—金屬接墊,透過該第 -開口連接至該第—接墊;—貼帶,接合該金屬接墊;以及—含锡金屬層, 透過該第二開口連接至該第二接墊。 為了上述之目的,本發明提出一種晶片封裝結構,包括:—半導體美 底;一線路結構,位在該半導體基底上方,並包括一第一接墊與一第 墊,一保護層,位在該線路結構上方,且位在該保護層内之一第一開口與 —第二開口分別暴露出該第一接墊與該第二接墊;一金屬接墊,透過該第 開口連接至該第一接塾,並利用異方性導電膠接合一外部電路;以及— 含錫金屬層,透過該第二開口連接至該第二接墊。 為了上述之目的,本發明提出一種晶片封裝結構,包括:一半導體基 &quot; 線路結構,位在該半導體基底上方,並包括一第一接墊與一第二接 墊,保護層,位在該線路結構上方,且位在該保護層内之一第一開口與 I 第—開口分別暴露出該第-接塾與該第二接墊第—金屬接塾,透過 7第開口連接至該第一接塾;一第二金屬接墊,透過該第二開口連接至 .該第二接塾 &gt; 贴帶,接合該第—金屬㈣;以及—打線導線,連接該第 二金屬接塾。 為了上述之目的,本發明提出一種晶片封裝結構,包括:一半導體基 -線路結構’位在該半導體基底上方,並包括—第—接墊與—第二接 保護層,位在該線路結構上方,且位在該保護層内之一第一開口與 .P第二開口分別暴露出該第一接墊與該第二接塾;一第一金屬接塾,透過 ',b第開口連接至該第一接塾’並利用異方性導電膠接合一外部電路;一 - 金屬接塾’透過該第二開°連接至該第二齡;以及-打線導線,連 接該第二金屬接墊。 、 ^ 為了上述之目的’本發明提出一種晶片封裝結構,包括·一半導體基 -線路結構’位在該半導體基底上方,並包括n墊與一第二接 保遵層’位在該線路結構上方,且拉在該保護層内之一第一開口與 9 1376758 一第一開口分別暴露出該第_接墊與該第二接墊;一第一金屬接墊,透過 該第一開口連接至該第一接墊,並利用異方性導電膠接合一外部電路,一 第二金屬接塾’透過該第二開口連接至該第二接墊;以及一貼帶接合該 第二金屬捿墊。 為了上述之目的,本發明提出一種晶片封裝結構,包括:一半導體基 底,一線路結構’位在該半導體基底上方,並包括一第一接墊與一第二接 墊,一保護層,位在該線路結構上方,且位在該保護層内之一第一開口與 一第一開口分別暴露出該第一接墊與該第二接墊;一金屬接墊,透過該第 -開口連接至該第-接塾,且該金屬接墊包括—金層;—貼帶,接合該金 層;以及一打線導線,連接該第二接墊。 為了上述之目的,本發明提出一種晶片封裝結構,包括:一半導體基 底;一線路結構’位在該半導體基底上方,並包括一第一接墊與一第二接 墊,保護層,位在該線路結構上方,且位在該保護層内之一第一開口與 -第二開口分別暴露出該第—接塾與該第二接塾;—金屬触,透過該第 籲-開口連接至該第-接塾,且該金屬接塾包括一金層,並透過異方性導電 膠直接連接-外部電路至該金層;以及一打線導線,連接該第二接塾。 為了上述之目的,本發明提出一種晶片封裝結構,包括:一半導體基 底;-線路結構’位在該半導體基底上方,並包括一第一接塾與一第二接 塾;-保護層’位在該線路結構上方,且位在該保護層内之—第一開口與 -第二開口分別暴露出該第—接塾與該第二接墊;—金屬接墊,透過該第 -開口連接至該第-接墊’且該金屬接墊包括-金層;_金屬凸塊(肥加 1376758 b,),位在該金層上;以及一打線導線,連接該第二接墊。 底τ藉㈣时補配合觸_鱗加綱,#更容械解本發明 之目的、技術内容、特點及其所達成之功效。 【實施方式】 本發明係有關於-種同時具有兩種不_型之金屬接墊(metal pad)在 同-半導體基底上方的半導體BsHni(wafer)或半導體⑼(chip),這些金屬 接塾可用於打線接合(wire-bonding)、金屬凸塊(metal bump)接合、鲜料 凸塊(solder bump)接合、貼帶自動接合(tape aut〇mated b〇nding,ΤΑβ)、 薄膜複晶接合或玻璃複晶接合等製程中。其中,「上方」一詞係表示位在某 物上面並與之接觸,或是表示位在某物上面但未與之接觸。 本發明所揭路的每一種結構及方法皆是建構在一半導體晶圓的保護層 (passivation layer)上,並可在建構完成後切割半導體晶圓,以形成複數 半導體晶片。其中,「上」-字絲示位在某物上面並與之接觸。保護層的 下方包括有一半導體基底(semiconductor substrate),以及一線路結構與 複數介電層位在保護層與半導體基底之間,並透過位在保護層内的開口暴 露出位在半導體基底上方之線路結構的接墊。因此,首先將敘述有關半導 體基底、線路結構、介電層、接墊以及保護層的内容,接著再進行本發明 各種實施例的說明。 請參閲第1圖所示,半導體基底2可以是石夕基底、;ε申化鎵基底(GaAs) 或矽化鍺(SiGe)基底,且多個半導體元件4位在半導體基底2内或上方。 其中,.這些半導體元件4包括被動元件(例如電阻、電容、電感)或主動元&gt; 11 1376758 件等,而主動元件比如是金氧半導體(MOS)元件,此金氧半導體元件例如是 P通道金氧半導體元件(p-channel MOS devices)、η通道金氧半導體元件 (n-channel MOS devices)、雙載子互補式金氧半導體元件(BiCM〇s devices)、雙載子連接電晶體(Bipolar Junction Transistor,BJT)或互 補金屬氧化半導體(CMOS)。 一線路結構6位在半導體基底2上方,且此線路結構6是由複數金屬 線路層8(其厚度比如是小於3微米)與複數金屬插塞化打“ plug)1〇所構 φ 成,其中這些金屬線路層8與這些金屬插塞10的材質比如是銅,或是這些 金屬線路層8的材質為鋁,而這些金屬插塞10的材質為鎢。此外,形成金 屬線路層8的方式包括有鎮後製程(damascene process)、電鐘. (electroplating)製程或濺鑛(sputtering)製程,例如以鑲嵌製程、電鐘 製程或減鑛製程形成銅作為金屬線路層8,或是以濺鍵製程形成鋁作為金屬 線路層8。 複數介電層(dielectric layer)12(其厚度比如是小於3微米)位在半 φ 導體基底2上方,且這些金屬線路層8是位在該些介電層12之間,並透過 位在該些介電層U内的金屬插塞10連接相鄰兩層之金屬線路層8。此外, 介電層12—般疋利用化學氣相沉積(chemical Vapor Deposition, CVD)的 ·*» 歹式所形成,而此介電層12比如是氧石夕化合物(例如Si〇2)、四乙氧基石夕烧 (TE0S)之氧化物、含%、碳、氧與氫之化合物(例如siwCxOyHz)、氣梦化合 物(例如 Si3N4)、氣矽玻璃(Fluorinated Silicate Glass,FSG)、黑鑽石 薄膜(Black Diamond)、絲印層(siLK)、多孔性氧化梦(porous si 1 icon oxide) 12 1376758 或氮氧矽化合物,或是以旋塗方式形成之玻璃(SOG)、聚芳基酯 (polyarylene ether)、聚苯嗓、唾(p〇iybenzoxaz〇ie,pB〇),或者是其他介 電常數值(k)介於1. 5至3之間的材質。 保&quot;蒦層14位在線路結構6與介電層12的上方,此保護層Μ可以保 護半導體元件4與線路結構6免於受到濕氣與外來離子污染物㈤_ηί〇η contaminatioii)的破壞’也就是說紐層14可續止移麟子(比如是納 離子)Kmoisture)、過渡金屬(比如是金、銀、銅)及其他雜質(impurity) • 穿透’而損壞保護層14下方的半導體元件4(例如電晶體、多晶石夕電阻元件 或多晶矽-多晶矽電容元件)或線路結構6 » . 保護層14通常是由氧矽化合物(例如Si02)、磷矽玻璃 (P_—teGlass,PSG)、氮石夕化合物(例如&amp;綱)或氮氧石夕化合物 等所組成,其巾上賴氧魏合物包财魏錄錢無缝化物,另保 護層14的厚度-般係大於〇.35微米(_),而在包括氮石夕化合物層的情況 下,此氮石夕化合物層之厚度通常大於〇· 3微米。保護層14目前的製作方式 約有十種不同方法,敛述如下。 第-種製作碰層14财法是先則化學氣她積形成厚度介於0.2 •微来至1.2微米之間的-氧化石夕層,接著再利用化學氣相沉積形成厚度介 於0. 2微米至1 · 2微米之間的一氮化石夕層在氧化石夕層上。 第二種製作保護層的方法是先利用化學氨相沉積形成厚度介於〇 2 微来至L2微米之間的-氧化石夕層,繼續利用電毁加強型化學氣相沉積 (Plasma Enhanced Chemical Vapor D印ositi〇n,pEcvD)形成厚度介於 〇 13 1376758 微米至〇_ 15微米之_-氮氧化獨在氧切層上,接著再_化學氣相 沉獅成厚度介敎2微米至i. 2微红_ —氮切層在錄切芦上。 第三種製作保護層Η的方法是先利用化學氣相沉積形成厚度介於〇 〇5 微米至(U5微米之間的-氮氧化石夕層,繼續利用化學氣相沉獅成厚度介 於〇· 2微紅丨.2 «⑽的—祕韻錢氧化销上,歸再利用化 學氣相沉積形成厚度介於0. 2微米至L 2微米之間的一氮化石夕層在氧姆 層上。 • 第四種製作保護層14的方法是先利用化學氣相沉積形成厚度介於0.2 微米至0.5微米之間的-第-氧化石夕層,繼續利用旋塗法(spin c〇a恤) .形成厚度介於W微米至1微狀_-第二氧切層在第—氧化石夕層 上,接著利用化學氣相沉積形成厚度介於0.2微来至〇.5微米之間的一第 三氧化石夕層在第二氧化石夕層上,最後再利用化學氣相沉積形成厚度介於〇 2 微米至1. 2微米之間的一氮化石夕層在第三氧化石夕層上。 第五種製作纖層14的方法是先糊高蚊電魏學餘沉積邱杜 • Density Plasma Chemical VaP〇r Deposition, HDP-CVD)形成厚度介於 〇. 5 微米至2 «之_-氧化销’接著再糊化學餘沉積形成厚度介於 0, 2微米至1. 2微米之間的一氮化梦層在氧化妙層上。 第六種製作保護層14的方法是先形成厚度介於0.2微米至3微米之間 的一未摻雜矽玻璃層(undoped silicate glass,USG),繼續形成比如是四 乙氧基矽烷、硼磷矽玻璃(borophosphosilicate glass,BPSG)或磷矽玻璃 -..(phosphosilicate glass,PSG)等之厚度介於〇· 5微米至3微米之間的一 1376758 絕緣層在未摻神玻璃層上,接著再利用化學氣相沉積形成厚度介於〇 2 微米至1. 2微米之間的r-氮化梦層在絕緣層上。 第七_作倾層14财法是_性地先_鱗餘沉獅成厚度 介於0· 05微米至G· 15微米之_—第—氫氧切層繼咖化學氣相 沉積形成厚度介於0. 2微米至2微_㈣—氧化魏在第-氮氧化石夕 層上,接著可以選擇性地利用化學氣相沉積形成厚度介於〇 〇5微米至〇·巧 微米之間的-第二氮氧化石夕層在氧切層上,再來利用化學氣相沉積形成 厚度介於0. 2微米至!· 2微米之間的一氮化梦層在第二氫氧化铺上或在 氧化石夕層上接著可以選擇性地利用化學氣相沉積形成厚度介於〇 〇5微米 至〇. 15微米之間的-第三氮氧化石夕層在氮化發層上,最後再利用化學氣相 沉積形成厚度介於〇. 2微米至h 2微米之間的一氧化石夕層在第三氮氧切 層上或在氮化矽層上。 第八種製作保護層14的方法是先利用化學氣相沉積形成厚度介於〇 2 微米至1. 2微米之間的—第—氧化補,__錄法形成厚度介於u 微米至1微米之_—第二氧化石M在第—氧切層上,接著彻化學氣 相沉積形成厚度介於〇. 2微米至丨2微米之間的—第三氧切層在第二氧 化石夕層上,再來利用化學氣相_形成厚度介於〇 . 2微米至I 2微米之間 的-氮化销在第三氧化㈣上,最後再_化學餘沉積形成厚度介於 〇. 2微米至1. 2微米之間的一第四氧化石夕層在氮化矽層上❶ 人第九種製作保顧14的方法是先麵高密度賴化學氣域積形成厚 度介於〇. 5微来至2微求之間的一第一氧化石夕層,繼續利用化學氣相沉積 15 1376758 形成厚度介於〇· 2微米至2 2微米之間的—氮化補在第—氧切層上, 接著再_喊、度電漿化學氣她積形成厚度介於G 5微米至2微米之間 的一第二氧化矽層在氮化矽層上。 第十種製作倾層14的方法是先利用化學氣相沉積形成厚度介於〇· 2 微米至1,2微米之間的一第-氮化石夕層,‘繼續利用化學氣相沉積形成厚度 Ά 0.2微来至L 2微求之間的一氧化石夕層在第一氮化石夕層上接著再利 用化學氣相沉積形成厚度介於〇. 2微米至h 2微米之間的一第二氮化石夕層 在氧化矽層上。 9 再來’如第1 _示,位在保護層14内的開口 pad)16其中’接塾16係用於訊號的輸入/輸出,或者是用於連接一電源 或接地等而形成接墊16的方式包括有電鍍(electr〇plating)製程或減 鏟(sputtering)製程’例如以濺鏡製程形成鋁或銘合金作為接墊,或是 以電錢製程形成銅作為接塾16,而當接塾16是以電鑛製程形成的銅塾時, 在銅墊的底部與側壁外具有一阻障層(barrier layer),此阻障層之材質比 • 如是鈕(Ta)或氮化钽(TaN)。 開口 14a的最大橫向尺寸係介於5微米至40微米之間,或是介於4〇 微米至300微米之間。此外,開口 i4a的形狀可以是圓形、正方形或五邊 以上之多邊形,且上述開口 14a的最大橫向尺寸是指圓形開口的直握尺寸、 正方形開口的邊長尺寸或五邊以上之多邊形開口的最長對角線尺寸。又’ 開口 14a的形狀也可以是長方形’且此長方形開口的長度尺寸是介於8〇微 米至.200微米之間’而寬度尺寸則是介於40微米至11〇微米之間。另,開 16 1376758 口 14a所暴露出之接塾16的下方可以有半導體元件4或者是沒有任何半導 體元件4。 在保護層14內之開π 14a所暴露出的接墊16上方可選擇性形成一金 屬頂層(metal cap ’圖中未示),使接墊16免於受到氧化而細員壞。此 金屬頂層比如是-歸、-金層、—鈦層、—鈦鎢合金層―纽層一氮 化纽層或-錄層。例如,當接墊16為銅接墊(Cu _時需要使用一金屬 頂層(例如銘層)來保護開口 14a所暴露出之銅接墊,使此銅接墊免於受到 • 氧化而侵鞋損壞,又當金屬頂層為一紹層時,在鋼接墊與銘層之間形成有 一阻障層(barrier layer) ’此阻障層包括鈦、鈦鎢合金、氮化鈦、钽、氮 • 化叙、鉻(Cr)或鎳。以下僅以沒有金屬頂層的情況進行說明,但熟習該技 術者當可藉由下贿施例的_,❹人销爾財式據以實施。 至此完成半導體基底2、線路結構6、介電層12、保護層14及接墊16 等相關解說,底下將依序分別說明本發明之各個實施例。 φ 第一實施例: . 第2A圖至第2H圖繪示本實施例在一晶圓或晶片上形成一含錫金屬層 與—金屬接墊(metal pad)的製程剖面示意圖。 請參閱第2A圖所示,接墊16包括第一接墊16a與第二接墊16b,另形 成厚度介於〇. 〇1微米至3微米之間(較佳厚度係介於0. 01微米至1微米之 間)的一點著/阻障層!ayer)i8在保護層14上及開口 14a所暴露出之第一接墊16a與第二接墊16b上。此黏著/阻障層18之材質 17 1376758 係選自鈦、鎢、鈷、鎳、氮化鈦、鈦鎢合金、鎳釩合金、鈕、氮化鈕、鉻、 銅、鉻銅合金、金、錤、鉑、把、釕、铑以及銀其中之一或所組成之群組 的至少其中之一者,而形成方式比如是利用藏鐘或蒸鏟方式。 ' 接著,形成厚度介於〇. 0〇5微米至2微米之間(較佳厚度係介於〇丄微 米至0.7微米之間)的一種子層(seedlayer)20在黏著/阻障層18上而形 成種子層20的方式比如是錢鍍、蒸鍍、物理氣相沉積、電錢或者是無電電 鍍(electroless plating)的方式。此種子層20有利於後續金屬線路的作 藝置,因此種子層20的材質會隨後續金屬線路的材質而有所變化。例如,备 種子層20上電鍍形成銅材質之金屬層時,種子層20之材質係以銅為作. 當種子層20上電艘形成金材質之金屬層時,種子層2〇之材質係以金為佳. 當種子層20上電鍍形成鈀材質之金屬層時,種子層2〇之材質係以絶為佳· 當種子層20上電鍍形成鉑材質之金屬層時’種子層2〇之材質係以鉑為佳. 當種子層20上電鍍形成鍺材質之金屬層時,種子層2〇之材質係以铑為佳. 當種子層20上電鍍形成釕材質之金屬層時,種子層2〇之材質以釕為佳. • 當種子層20上電鍍形成銶材質之金屬層時,種子層20之材質係以銖為佳. 當種子層20上電娜成鎳材質之金屬層時’種子層2〇之材質係以錄為佳。 請參閱第2B圖所示,形成一光阻層22在種子層2〇上並透過曝光 (exposure)與顯影(development)製程圖案化光阻層22,以形成光阻層開口 22a在光阻層22内並暴露出位在第一接墊16a上方的種子層2〇,而在形成 光阻層開口 22a的過程中比如是以一倍⑽之曝光機(咖即㈣或掃描機 (scanners)進行再來’職厚度介於丨微米至_微米之間(例如1 1376758 微米至50微米之間)的-金屬層24在光阻層開口既所暴露出的種子層2〇 上,此金縣24的較佳厚度係介於2微米至3〇微米之間而形成金屬層 24的方式比如是電鍍或者是無電電鍍。另,金屬層%可以是金銅、銀、 纪、銘”、銖或錄之單層金屬層結構,或是由上述金屬材質所組成 的複。層例如金屬層24可以是以電鑛方式所形成之厚度介於8微米至 35微米之間的金層或疋以電鑛方式所形成之厚度介於8微米至π微米之 間的-銅層。又,職鎖層24的方式比如是藉由電财度介於8微米至 • 35微米之間的一銅層在例如是銅的種子層2〇上,接著電鍍厚度介於(U微 米至10微米之間(較佳厚度係介於〇_!微米至5微米之間)的一錄層在此銅 層上’最後電鍍厚度介於〇. 〇1微米至10微米之間(較佳厚度係介於〇工微 来至2微米之間)的一金層在此錄層上。 請參閲第2C圖所示,在形成金屬層24之後,接著去除光阻層四。繼 續,去除未在金屬層24下方的種子層2〇與黏著/阻障層18。其中,去除黏 著/阻障層18的方式可分為乾侧(dry etching)及濕银刻(咐的也呢), • 而乾餘刻比如是使用高壓氬氣進行鱗侧,另在濕侧方面,若黏著/阻 障層18為鈦或鈦鎢合金時,可使用雙氧水進行去除。此外,若種子層2〇 為金時,可利用含有碟之钱刻液(例如填化鉀等钱刻液)進行去除。 因此,一金屬接墊26形成在保護層Η之一開口 14a所暴露出的一第 接墊16a上,此金屬接塾26係由一黏薯/阻障層18、位在黏著/阻障層 18上的一種子層20與位在種子層20上的-金屬層24所構成,而此金屬接 塾26可透過打線製程接合一打線導線⑽如金線或銅線)、利用貼帶自動接 19 1376758 合技術接合一貼帶、接合一外部電路的一金屬凸塊(如金凸塊)、接合一外 部電路的一含錫金屬層或是透過異方性導電膠接合一外部電路,其中外部 電路可以是半導體晶片、含有玻璃纖維的印刷電路板(printed circuit board ’ PCB)、含有厚度介於30微米至200微米間之一聚合物層(比如是聚 酿亞胺)的軟板、含有陶瓷材料之基板,玻璃基板或事先形成之被動元件 (discrete passive device) 〇 以金屬層24是一金層為例,當金屬接墊26用於接合一打線導線(例如 • 金線)時’此金層的較佳厚度係介於2微米至10微米之間,而當金屬接墊 26用於接合一貼帶時,則此金層的較佳厚度是介於1〇微米至3〇微米之間。 • 在形成用於接合打線導線、接合貼帶、接合一外部電路的金屬凸塊、 • 接合一外部電路的含錫金屬層或是透過異方性導電膠接合外部電路之金屬 接墊26後,接著形成一含錫金屬層36在第二接墊16b上方。請參閱第2D 圖所示’形成居度於〇. 01微米至3微米之間(較佳厚度係介於〇 〇1微米 至1微米之間)的一黏著/阻障層28在保護層14上、在開口 i^a所暴露出 _ 之第二接塾16b上以及在金屬接塾26上。此黏著/阻障層28之材質係遘自 -欽、鶴、#、錄、氮化鈦、鈦鶴合金、鎳飢合金、纽、氮化组、鉻、銅、 鉻銅合金、金、鎮、翻、纪、釕'錢以及銀其中之一或所組成之群組的至 少其中之一者’而形成方式比如是利用濺鍍或蒸錄方式。 再來,形成厚度介於0. 005微米至2微米之間(較佳厚度係介於〇1微 米至0. 7微米之間)的-種子層30在黏著/阻障層狀上,而形成種子層3〇 的方灿如是雜、«、物魏相沉積、電鍍或者是無電驗的方式。 20 =2_於後續金屬線路的設置,因此_ 3Q的材質會隨後續 =線路簡化。_,t_ 3Q上__質之金屬 :@種子層3G之村質係以銅為佳;當種子層30上電娜成金材質之金 ^時’種子層30之材質細金為佳。 、-*域光阻層32在種子層3〇上,並透過曝光與顯影製程圖案 化光阻層32,以形成光阻層開口跏在光阻層32内並暴露出位在第二接塾 16b上方的種子層3〇,而在形成光阻層開口伽的過程中比如是以一倍之 曝光機或掃域撕曝光。再來,請參_ 2E騎示,形成-擴散阻障層 (.f fusion barrier layer)34在光阻層開口 32a所暴露出之種子層3〇上, 而形成擴散阻障層34的方式tb如是藉由電鐘厚度介於Q 5微米至1〇微米 之間的-銅層麵如是銅的種子層3Q上,接著驗厚度介於Q i微书至5 微米之間的-錄層在靖上。@此’擴散轉層34可以是由—銅層與位在 銅層上之一鎳層所構成。 接下來,形成厚度介於1微米至500微米之間的一含錫金屬層36在光 阻層開口 32a内之擴散阻障層34上’此含錫金屬層36的較佳厚度係介於3 微米至250微米之間’而形成含錫金屬層36的方式比如是電鐘、無電電艘 或者是網板印刷。另,此含錫金屬層36比如是錫鉛合金(^11-1邱4311〇5〇、 錫銀合金(tin-silver alloy)、錫銀銅合金(tin-silver-copper alloy)或 無鉛合金(lead-free alloy)。以錫鉛合金為例,其錫/錯比可視需求而有 所調整,較常見的锡鉛比為90/10、95/5、97/3、99/1、37/63等比例。 由以上可知,擴散阻障層34係位在含錫金屬層36下方,此擴散阻障 21 1376758 層34比如是包括厚度介於o.i微朱至5微米之間的一錄層在含錫金屬層祁 下’以及厚度介於0.5微米至1〇微米之間的一銅層在鎳層下,且錄層與銅 層係位在第二接墊16b上方。 另外’本實施例亦可在擴散阻障層34上再形成一銲料沾附膜層(s〇lder wettable layer,圖中未示)’以增進後續含錫金屬層36與擴散阻障層34 之間的接合性,此銲料沾附膜層之材質比如是金、銅、錫、錫鉛合金、錫 銀合金、錫銀銅合金或無鉛合金等。 • 請參閱第2F圖所示,在形成含錫金屬層36之後,接著去除光阻層32。 繼續,去除未在含錫金屬層36下方的種子層3〇與黏著/阻障層28。其中, 去除黏著/阻障I 28的方式可分為乾钱刻及濕侧,而乾侧比如是使用 问壓氩氣進行鱗侧,另在濕侧方面’若黏著/轉層28為鈦或鈦鶴 合金時’可使用雙氧水進行去除。 請參閱第2G圖所示,選擇性進行一迴銲(refi〇w)製程,使含錫金屬層 36到達熔點而内聚成球形。惟,本實施例亦可先進行迴銲製程,使含錫金 鲁屬層%到達溶點而内聚成球形’接著再去除未在含錫金屬層36下方的種 子層30與黏著/阻障層28。或者,本實施例亦可先不進行回銲製程,直到 . 含踢金屬層36連接外部電路時,才進行回銲製程,其中此外部電路比如是 半導體晶片、含有玻璃纖維之印刷電路板、含有厚度介於3〇微米至2〇〇微 米間之一聚合物層的軟板、含有陶瓷材料之基板或是事先形成之被動元件 等。 因此,本發明可在保護層14之部份開口 14a所暴露出的接墊16上形 22 Ϊ376758 成用於接合打線導線(如金線或銅線)' 用於接合貼帶、用於接合—外部電 路的金私塊、聽接合-外㈣㈣含錫麵層或是透過異紐導電曝 接合外部電路之金屬接墊26,而在未形成金屬接塾26的接塾16上方形成 含踢金屬層36。另,金屬接塾26的頂部可包括一沾附騰層(峨㈣ layer ’財未示).’胁接合打料線,械摘膜觀如為金層。又, 在形成含錫金屬層36之前,亦可形成—金層在擴散阻障層.34上,接著再 形成含錫金;|層36在此金層上。此外,本發财的含錫金屬層亦可以辉料 g 凸塊(solder bump)取代。 請參閱第2H圖所示,於完成上述製程後,接著可切割半導體基底2, 以形成複數半導體晶片38’其中每-半導體晶片38都包括有一半導體基底 2、一線路結構6、複數介電層12、一保護層14、至少一金屬接墊邡與至 少-含錫金&gt;|層36等。另,複數半導體元件4(例如電晶體或金屬氧化物半 導體等)位在此半導體基底2内或上方,且這些半導體元件4的其中之一選 擇性位在金屬接塾26或含錫金屬層36的下方,又這些半導體元件4的其 % 中之二分別電性連接金屬接墊26及含錫金屬層36。此外,在每一半導體晶 片38中,保護層14的頂部可為一氧矽化合物層或是一氮矽化合物層。 每一半導體晶片38均可透過含錫金屬層36連接一外部電路,此外部 -· •一 電路可以是卡導體晶片、印刷電路板(printed circuit board,PCB)、軟 • + . 板 3有陶究材料之基板或事先形成之被動元件(discrete passive device) ’其中印刷電路板含有玻璃纖維,而軟板包括厚度介於3〇微米至 200微米之間的一聚合物層,此聚合物層比如是聚醯亞胺。 23 1376758 另,透過打線製程(wireb〇nding process),一半導體晶片38之一金 屬接塾26可接合一打線導線(例如金線或銅線),進而連接一外部電路,此 外部電路可以是半導體“、印職路板、練、含㈣储料之基板或 導線架,其中印刷電路板含有玻璃纖維,而軟板包括厚度介於3〇微米至2〇〇 微米之間的一聚合物層’此聚合物層比如是聚醯亞胺。 再者’利用貼帶自動接合技術’ 一半導體晶片38之一金屬接墊26可 接合一贴帶(tape),進而連接一外部電路,此外部電路可以是半導體晶片、 # 印刷電路板、軟板或含有陶瓷材料之基板,其中印刷電路板含有玻璃纖維, 而軟板包括居度介於30微米至200微米之間的一聚合物層,此聚合物層比 知是聚醯亞胺。另,此貼帶具有至少一金屬線路與至少一聚合物層,且金 .屬線路連接金屬接墊26,例如經由錫金屬或錫銀合金接合金屬接墊26。 又,透過熱壓合製程,可使一半導體晶片38之一金屬接墊26壓入到 異方性導電膠(anis〇tropic conductive film,ACF 或 anis〇tr〇pic conductive paste,ACP)中,讓位在異方性導電膠内的金屬粒子聚集在金 ® 屬接塾26與一外部電路(比如是玻璃基板)之含有銦錫氧化物的一接墊之 . 間’藉以電性連接金屬接墊26.與外部電路之含有銦錫氧化物的接墊。另, 此外部電路亦可以是半導體晶片、印刷電路板、含有厚度介於30微米至200 微米間之一聚合物層的軟板或含有陶瓷材料之基板等。 又,一半導體晶片38之一金屬接墊26可接合一金屬凸塊(例如金凸 塊),進而連接一外部電路,此外部電路可以是半導體晶片、印刷電路板、 玻璃基板、軟板或含有陶瓷材料之基板,其中印刷電路板含有玻璃纖維, 24 1376758 而軟板包括厚度介於3〇微来至200微米之間的-聚合物層,此聚合物層比 如是聚醯亞胺。 本實施例亦可在切割半導體基底2之前,透過含錫金屬層36先連接一 外部電路’此外部電路可以是半導體晶片、_電路板、軟板、含有陶竟 材料之基板或事先形成之被動元件,其中印刷電路板含有玻璃纖維,而軟 板包括厚度介於30微来至2〇〇微米之間的一聚合物層,此聚合物層比如是 聚趨亞胺。接著,切割半導體基底2,以形成複數半導體晶片。最後,本實 • 施例可在每一半導體晶片的金屬接墊26上接合打線導線(利用打線製程)、 接合貼帶(利用貼帶自動接合技術)、接合-外部電路的金屬凸塊(例如金凸 .塊)、接合-外部電路的含錫金屬層或是透過異錄導轉接合外部電路。 請參閱第21圖所示,本實施例亦可先在保護層14上形成-聚合物層 39,且位在聚合物層39内之聚合物層開口咖與聚合物層開卩咖分別暴 露出第-接塾16a與第二接塾16b,接著依照第2A圖至第%圖所述之製程 步驟形成金屬接塾26在聚合物層開口 3此所暴露出之第一接塾版上, •以及形成含錫金屬層36在聚合物層開口舰所暴露出之第二接塾16b上 :方,相關内容請參閲上述說明,在此不再詳加敘述。其中,聚合物層邪係 .選自聚酿亞胺、苯基環丁婦、聚氨脂、環氧樹脂、聚對二甲苯類高分子、 谭罩材料、彈性材料或多孔性介電材料其中之一,而形成聚合物層39的方 式除了旋塗方式之外’亦可利用_合乾膜方式或網版印刷方式,另聚合 物層39的厚度係介於!微米至3〇微米之間。有關第21圖所示之半導體晶 片38’的相關應用亦請參閲上述内容,於此亦不再敛述。 25 q/()758 第二實施例: 本發月亦叮將第實施例應用在重配置線路(re_distributi〇n layer,肌)或連接線路interconnecting trace)上,.底下以半導體基底 上方同時形成有重配置線路與連接線路作為一實施例來進行說明惟本發 月亦可藉由下列所述之方^,於料體基底上方僅形成有重配置線路或連 .接線路,進而於重配置線路或連接線路上形成金屬接塾與含錫金屬層。 咕先參卿3G騎π,作為她置魏的金屬線路4()與作為連接線 %路的金屬線路42分別形成在一保護層44的上方,並分別與位在保護層44 内之開口恤所暴露出的接塾仙連接,而有關保護層44.與接墊46之材質 、與結構等敘述,請分別參考上述保護層14與接塾16的相關說明,在此不 再洋加教&gt;述。 此外,位在保護層44内之開口 44a的最大橫向尺寸可以是與位在保護 層14内之開口 14a的最大橫向尺寸相同,或是小於位在保護層^内之開 、,最大仏向尺寸’例如開口 44a的最大橫向尺寸可以是介於0. 05微 %米至25微米之間,較佳尺寸則是介於1微米至権之間,而開口 44a 、形狀了以疋圓形、正方形、長方形或五邊以上之多邊形且上述開口 ^ 的最大橫向尺寸是的直徑尺寸、正方· 口的邊長尺寸長: 形開口的最長邊長尺寸或五邊以上之蝴開口的最纖線尺和另 :口 44a所物爾46的谓嶋半導崎4,或者是不配】 有任何的半導體元件4。 、A目所不’聽為製作重配置魏與連麟關剖面示意 26 户376758 圖’如圖所示’一聚合物層48形成在保護層44上,且位在聚合物層48内 之水合物層開口 48a、48b暴露出開口 44a所暴露出的接塾46,其中此聚合 物層48係選自聚趨亞胺、苯基環丁烯、聚氨脂、環氧樹脂、聚對二甲苯類 高分子、焊罩材料、彈性材料或多孔性介電材料其中之一,而形成聚合物 層48的方式除了旋塗方式之外,亦可利用熱壓合乾膜方式或網版印刷方 式’另聚合物層48的厚度係介於1微米至30微米之間。 接著’形成金屬線路40、42在聚合物層48上方,並連接聚合物層開 φ 口 48a、48b所暴露出之接墊46。而形成金屬線路4〇、42的方法敘述如下: [步驟一] . .形成一形成厚度介於〇_ 01微米至3微米之間(較佳厚度係介於0 01微 米至1微米之間)的一黏著/阻障層52在聚合物層48上、在聚合物層開口 48a、48b所暴露出之接墊46上。此黏著/阻障層52之材質係選自鈦、鎢、 钻、錄、氮化鈦、鈦鎢合金、錄飢合金、组、氮化组、鉻、銅、鉻銅合金、 金、鎮、鉑、把、釕、錄以及銀其中之一或所組成之群組的至少其中之— φ 者,而形成方式比如是利用滅鍵或蒸鍍方式。 [步驟二] 形成厚度介於0.005微米至2微米之間(較佳厚度係介於〇.!微米至〇7 微米之間)的一種子層54在黏著/阻障層52上,而形成種子層30的方式比 如是缚:鑛、蒸鑛、物理氣相沉積、電鍍或者是無電電鍍的方式。此種子層 54有利於後續金屬線路的設置,因此種子層54的材質會隨後續金屬線路的 材質而有所變化。例如,當種子層54上電鍍形成銅材質之金屬層時,種子 27 I376758 層54之材質係以銅為佳;當種子層54上電鍍形成金材質之金屬層時種 子層54之材質係以金為佳。 [步驟三] 形成一光阻層56在種子層.54上,接著透過曝光與顯影製衰圖案化光 阻層56,以形成光阻層開口 56a在光阻層56内並暴露出種子層%,而在 形成光阻層開口 56a的過程中比如是以一倍之曝光機或掃描機進行曝光。 [步驟四] • 形成厚度介於1微米至50微米之間的一金屬層.58在光阻層開口 56a 所暴露出的種子層54上,此金屬層58的較佳厚度係介於1微米至35微米 . 之間,而形成金屬層58的方式比如是電鍍或者是無電電鍍。另,金屬層58 . 可以是金、銅、銀、鈀、鉑、铑、釕、銖或鎳之單層金屬層結構,或是由 上述金屬材質所組成的複合層。 例如,金屬層58可以是以電鍍方式所形成之厚度介於j微米至35微 米之間(較佳厚度是介於2微米至12微米之間)的一金層,或是以電錢方式 • 所形成之厚度介於1微米至35微米之間(較佳厚度是介於2微米至20微米 之間)的一銅層。又’形成金屬層58的方式比如是藉由電鏡厚度介於2微 米至20微米之間的一銅層在例如是銅的種子層54上,接著電鍵厚度介於 〇. 1微米至10微米之間(較佳厚度係介於〇. 5微米至5微米之間)的一鎳層 在銅層上,最後電鐘厚度介於〇· 〇1微米至5微米之間(較佳厚度係介於〇. 〇1 微米至1微米之間)的一金層在鎳層上。 [步驟五] 28 Ϊ376758 在形成金屬層58之後,接著去除光阻層56。繼續,去除未在金屬層 58下方的種子層54與黏著/阻障層52,如第3B圖所示。其中,去除黏著/ 阻障層52的方式可分為乾蝕刻及濕蝕刻,乾蝕刻比如是使用高壓氬氣進行 濺擊钱刻’而在濕钱刻方面,若黏著/阻障層52為鈦或鈦鎢合金時,可使 用雙氧水進抽除。糾,錄子層54為金時,可糊含有狀侧液(例 如碘化鉀等蝕刻液)進行去除。 請參閱S 3C圖所示,於形成金屬線路4〇、42之後,接著形成一聚合 • 物層60在金屬線路40、42上與聚合物層48上,且位在聚合物層60内之 聚合物層開口 60a暴露出金屬線路40、42,其中此聚合物層6〇係選自聚醯 •亞胺本基環丁稀'聚氨脂、環氧樹脂、聚對二甲苯類高分子、焊罩材料、 彈性材料或多孔性介紐料其中之…而形絲合物層6G的方式除了旋塗 方式之外’亦可利用熱麗合乾膜方式或網版印刷方式,另聚合物層6〇的厚 度係介於1微米至30微米之間。 最後’仿照弟一實施例形成含錫金屬層36與金屬接墊26的方法,本 鲁實施例可在聚合物層開口 60a所暴露出之金屬線路4〇、犯上,形成含錫金 -屬層36以及形成用於接合打線導線、接合貼帶、接合-外部電路的一金屬 •凸塊(如金凸塊)、接合—外部電路的—含錫金屬層或是透過異方性導電膠 接合-外部電路之金屬触26,而有關此部分的綱,請參考第一實施例 的相關敘述,在此不再詳加敘述。 請參閱第3D圖所*,在完成上述製程後,接著可切割半導體基底2, 以形成複數半體晶片62,其中每一半導體晶片62都包括有一半導體基底 29 1376758 、—線路結構6、複數介電層12、一保護層η、至少—重配置線路(如金 線路40)至少一哮接線路(如金屬線路42)、至少一金屬接墊π與至少 一含錫金屬層36等。另,複數半導體元件4(例如電晶體或金屬氧化物半導 體等)位在此半導體基底2内或上方,且這些半導體元件4的其中之一選擇 性位在金屬接墊26或含錫金屬層36的下方,又這些半導體元件4的其中 刀另J電性連接金屬接塾26及含錫金屬層36。此外,在每一半導體晶片 62中,保護層14的頂部可為一氧矽化合物層或是一氮矽化合物層。 φ 每一半導體晶片62均可透過含錫金屬層36連接一外郜.電路,此外部 電路可以是半導體晶片、印刷電路板、軟板、含有陶瓷材料之基板或事先 • 形成之被動元件.,其中印刷電路板含有玻璃纖維,而軟板包括厚度介於3〇 微米至200微米之間的一聚合物層,此聚合物層比如是聚醯亞胺。 另,透過打線製程,一半導體晶片62之一金屬接墊26可接合一打線 導線(例如金線或銅線),進而連接一外部電路,此外部電路可以是半導體 晶片、印刷電路板、軟板、含有陶瓷材料之基板或導線架,其中印刷電路 φ 板含有玻璃纖維’而軟板包括厚度介於30微米至200微米之間的一聚合物 層,此聚合物層比如是聚醯亞胺。 再者,利用貼帶自動接合技術,一半導體晶片62之金屬接墊26可接 合一貼帶’進而連接一外部電路,此外部電路可以是半導體晶片、印刷電 路板、軟板或含有陶瓷材料之基板,其中印刷電路板含有玻璃纖維,而軟 板包括厚度介於30微米至200微米之間的一聚合物層,此聚合物層比知是 聚醯亞胺。另,此貼帶具有至少一金屬線路與至少一聚合物層,且金屬線 30 1376758 路連接金屬接墊26,例如經由錫金屬或錫銀合金接合金屬接墊26。 又,透過熱壓合製程,可使一半導體晶片.62之一金屬接墊26壓入到 異方性導電膠中’讓位在異方性導電踢内的金屬粒子聚集在金屬接塾26與 -外部電路(比如是玻璃基板)之含抽錫氧化物的—接墊之間,藉以電性 連無金屬接塾26與外部電路之含有_錫氧化物的接塾。另,此外部電路比 如是半導體晶片、印刷電路板、含有厚度介於3〇微米至2〇〇微米間之“聚 合物層的軟板或含有陶瓷材料之基板等。 • 又’ 一半導體晶片62之一金屬接墊26可接合-金屬凸塊(例如金凸 塊)’進而連接-外部f路,此外部電路可以是半導體“、印刷電路板、 .玻璃基板、軟域含有陶錄料之基板,其巾印職路板含有玻璃纖維, 而軟板包括厚度介於30微米1 200微米之間的一聚合物層,此聚合物層比 如是聚酿亞胺》 本實施例亦可在_半導底2之前,透過含錫金屬層36先連接一 外部電路,此外部電路可以是半導體晶片、印刷電路板、軟板、含有陶兗 鲁枋料之基板或事先形成之被動元件,其中印刷電路板含有玻璃纖維,而軟 板包括厚度介於30微米至2〇〇微米之間的-聚合物層,此聚合物層比如是 聚醯亞胺。接著,進辨導體基底2切割,以形成複數半導體晶片。之後, 體w之金屬接墊26上接合打線導線(細打線製 程)、接合貼帶(利用貼帶自動接合技術)、接合一外部電路的金屬凸塊(例 如金凸塊)、接合-外部電路的含錫金觸或是透過異錄導電縣合一外 部電路。 31 ^376758 藉由重配置線路(如第3C圖中的金屬線路4〇),本實施例可將原先由開 口 44a所暴露出之接墊46的位置重新佈局至特定位置(比如佈局至聚合物 層開口咖縣露出之金屬線路4〇的位置),而由俯視透視圖觀之,此特 定位置可料财健46的位置。此外,透過連縣路^^ 3㈣中的金 屬線路42),本實施例可使開口 44a所暴露出之至少二接塾仙連接在一起。 另,請參閲第3E圖所示,本實施例亦可直接在保護層14上形成金屬 線路40、42 ’進而依照第3C圖至第3D圖所述之製程步驟,在聚合物層開 口 6〇a所暴露出之金屬線路4〇、42上形成含錫金屬層%與金屬接塾%, 此部分内容請參考上述相關說明’在此不再詳加敘述。此外,有關第犯圖 所示之半導體W 62, _職財請翔上勒容,於此亦不再敘述。 因此,本發明可在-晶圓或晶片之重配置線路或連接線路上,形成用 於接合打線導線(如金線或銅線)、用於接合貼帶、用於接合一外部電路的 金屬凸塊(例如金⑽)、用於接合一外部電路的含錫金屬層或是透過異方 性導電膠接合外部電路之金屬接墊,以及在未形成金屬接墊的接塾上方形 成含錫金屬層。 除此之外’金屬線路40、42亦可以是包含電源匯流排(ρ· _、 訊號匯流排(signal bus)或接地匯流排(gr〇und bus)的一線路,此線路可 經由保護層44之開σ 44a連接至保護層44下之電源線路、訊麟路或接 地線路。 請參閱第4圖所示,其係為多晶片封裝結構之剖面示意圖,如圖所示, 半導體晶片64可以是以第-實施例方式形成之半導體晶片38或半導體晶 32 1376758 或者是以弟二實施例方式形成之半導體晶片62或半導體晶片 所、半導體阳片64具有兩種不同類型的金屬接墊68及。金屬接 塾68係匕括含錫金屬層36及位在含錫金屬層那下方的複數金屬層(例 如黏著/阻障層28、種子層3()與擴散阻障層別等),而有關含錫金屬層% 、二金屬層的觸,請錢上述相咖容。另,金屬接墊则於接合貼 金屬接塾70可為第—實施例或第二實施例中的金屬接墊,相關說 明亦請參閱上述内容。 如圖所示’轉體晶片64透過金屬接塾68連接半導體晶片66。此外, 爻口物72填充於兩半導體晶片64及66之間,並覆蓋金屬接塾明,其中 此聚。物72係選自聚酿亞胺、苯基環丁烯、聚氨脂、環氧樹脂、聚對二甲 苯類高分子、焊罩材料、雜材料或多紐介電材料其中之一。 另,透過熱歷合製程,具有至少一金屬線路74與聚合物層76的軟性 貼帶(flexibie tape)78透過金躲路74連接至鋪接㈣,崎性貼帶 78之金屬線路74例如是經由一金屬層刊(例如錫金屬或錫銀合金飧合金 屬接塾7〇,其中聚合物層76係選自聚酿亞胺、苯基環丁烯、聚氨脂、環氧 樹脂、聚對二甲苯類高分子、焊罩材料、彈性材料或多孔性介電材料其中 之一。 又’―聚合物80覆蓋金屬接墊70與部份软性貼帶78,其中此聚合物 80係選自聚醯亞胺、苯基環丁稀、聚氨脂、環氧樹脂、聚對二甲苯類=分 子、¥罩材料、彈性材料或多孔性介電材料其中之_。 因此,半導體晶片64透過金屬接墊68連接一外部電路(例如半導體晶 33 1376758 片66、含有玻璃纖維之印刷電路板、含有厚度介於3〇微米至2〇〇微米間之 —聚合物層的軟板或是含有陶甍対料之基板),以及利用貼帶自動接合技 術,金屬接墊70經由軟性貼帶78連接一外部電路(例如半導體晶片、含有 破璃纖維之印刷電路板,含有厚度介於3Q微米至咖微米間之—聚合物層 的軟板或是含有陶瓷材料之基板)。 第三實施例:For the purpose of the present invention, the present invention provides a chip package structure comprising: a semiconductor substrate; a line and a structure over the semiconductor substrate, and comprising a first interface and a second pad, a protective layer, Positioned above the line structure, and the first opening and the second opening in the protective layer respectively expose the first pad and the second interface - the metal interface, in the first a pad and an external conductive circuit is bonded by an anisotropic conductive paste; a second metal pad is positioned on the second pad; and a tape is attached to the second metal pad. For the above purpose, the present invention provides a chip package structure comprising: a semiconductor substrate; a line structure disposed above the semiconductor substrate and including a first interface and a second interface; a protective layer located at Above the Weilu structure, the first opening and the first opening π in the protective layer respectively expose the first __ pad and the second rim; the metal pad is connected through the first opening To the first pad; the tape is bonded to the metal pad; and the tin-containing metal layer is connected to the second pad through the second opening. For the purpose of the present invention, the present invention provides a chip package structure comprising: a semiconductor substrate; a line structure disposed above the semiconductor substrate and including a first pad and a pad, and a protective layer disposed thereon a first opening and a second opening respectively in the protective layer, the first pad and the second pad are respectively exposed; a metal pad is connected to the first through the first opening Connecting, and bonding an external circuit with an anisotropic conductive paste; and - a tin-containing metal layer connected to the second pad through the second opening. For the above purpose, the present invention provides a chip package structure comprising: a semiconductor-based circuit structure disposed above the semiconductor substrate and including a first pad and a second pad, and a protective layer disposed thereon Above the circuit structure, a first opening and a first opening in the protective layer respectively expose the first connection and the second connection, and the first connection is connected to the first through the seventh opening a second metal pad connected to the second opening through the second opening. The second interface &gt; tapes the first metal (four); and the wire bonding wire to connect the second metal interface. For the above purposes, the present invention provides a wafer package structure comprising: a semiconductor-via structure disposed above the semiconductor substrate and including a first pad and a second bonding layer over the wiring structure And located in the first opening of the protective layer with The second opening of the P exposes the first pad and the second port respectively; a first metal port is connected to the first port through the opening of the b, and the outer joint is bonded by an anisotropic conductive adhesive a circuit; a - metal interface 'connected to the second age through the second opening °; and - a wire bonding wire connecting the second metal pad. For the above purposes, the present invention provides a chip package structure including a semiconductor-on-line structure positioned over the semiconductor substrate and including an n-pad and a second shielded layer above the line structure. And pulling a first opening in the protective layer and a first opening of 9 1376758 to expose the first pad and the second pad respectively; a first metal pad is connected to the first opening through the first opening a first pad, and an external circuit is bonded by an anisotropic conductive paste, a second metal port is connected to the second pad through the second opening; and a tape is bonded to the second metal pad. For the above purpose, the present invention provides a chip package structure comprising: a semiconductor substrate, a line structure is positioned above the semiconductor substrate, and includes a first pad and a second pad, a protective layer, The first opening and the first opening respectively in the first opening and the first opening of the protective layer respectively expose the first pad and the second pad; a metal pad is connected to the first opening through the first opening a first contact, and the metal pad comprises a gold layer; a tape, bonding the gold layer; and a wire bonding wire connecting the second pad. For the above purpose, the present invention provides a chip package structure comprising: a semiconductor substrate; a line structure is located above the semiconductor substrate and includes a first pad and a second pad, and a protective layer is disposed thereon Above the line structure, and the first opening and the second opening in the protective layer respectively expose the first connection and the second connection; the metal contact is connected to the first through the first opening-opening Connecting, and the metal interface comprises a gold layer and directly connected to the gold layer through an anisotropic conductive paste; and a wire bonding wire connecting the second interface. For the above purpose, the present invention provides a chip package structure comprising: a semiconductor substrate; a line structure is located above the semiconductor substrate and includes a first interface and a second interface; the protective layer is located at Above the circuit structure, and located in the protective layer, the first opening and the second opening respectively expose the first connection and the second connection; the metal pad is connected to the metal through the first opening a first pad' and the metal pad comprises a - gold layer; a metal bump (fertilizer plus 1376758 b,) located on the gold layer; and a wire bonding wire connecting the second pad. The bottom τ borrows (four) time complements the touch _ scale plus the outline, # more to mechanically solve the purpose, technical content, characteristics and the achieved effects of the present invention. [Embodiment] The present invention relates to a semiconductor BsHni (wafer) or a semiconductor (9) (chip) having two kinds of non-type metal pads on the same-semiconductor substrate, and these metal contacts are available. For wire-bonding, metal bump bonding, solder bump bonding, tape aut〇mated b〇nding (ΤΑβ), film polycrystalline bonding or glass In the process of compound bonding and the like. The word "above" means that it is on or in contact with something, or that it is on something but not in contact with it. Each of the structures and methods disclosed in the present invention is constructed on a passivation layer of a semiconductor wafer, and the semiconductor wafer can be diced after construction to form a plurality of semiconductor wafers. Among them, the "up"-line is placed on and in contact with something. The underside of the protective layer includes a semiconductor substrate, and a wiring structure and a plurality of dielectric layers between the protective layer and the semiconductor substrate, and the openings above the semiconductor substrate are exposed through openings located in the protective layer. Structured pads. Therefore, the contents of the semiconductor substrate, the wiring structure, the dielectric layer, the pads, and the protective layer will be described first, followed by the description of various embodiments of the present invention. Referring to FIG. 1 , the semiconductor substrate 2 may be a stellite substrate, an ε-suppressed gallium substrate (GaAs) or a germanium telluride (SiGe) substrate, and a plurality of semiconductor elements 4 are located in or above the semiconductor substrate 2 . among them,. These semiconductor elements 4 include passive elements (such as resistors, capacitors, inductors) or active elements &gt; 11 1376758 pieces, etc., and active elements such as metal oxide semiconductor (MOS) elements, such as P-channel MOS semiconductors. P-channel MOS devices, n-channel MOS devices, biCM devices, Bipolar Junction Transistors, BJT) or complementary metal oxide semiconductor (CMOS). A line structure 6 is located above the semiconductor substrate 2, and the line structure 6 is formed by a plurality of metal circuit layers 8 (having a thickness of, for example, less than 3 μm) and a plurality of metal plugs of "plug" 1 φ, wherein The metal wiring layer 8 and the metal plug 10 are made of copper, or the metal wiring layer 8 is made of aluminum, and the metal plug 10 is made of tungsten. Further, the manner of forming the metal wiring layer 8 includes There is a post-town process (damascene process), electric clock.  An electroplating process or a sputtering process, for example, forming a copper wiring layer 8 by a damascene process, an electric clock process, or a demining process, or forming a metal wiring layer 8 by a sputtering process. A plurality of dielectric layers 12 (having a thickness of, for example, less than 3 micrometers) are positioned over the semi-φ conductor substrate 2, and the metal wiring layers 8 are positioned between the dielectric layers 12 and are transmissive The metal plugs 10 in the dielectric layers U connect the metal wiring layers 8 of the two adjacent layers. In addition, the dielectric layer 12 is generally formed by a chemical vapor deposition (CVD) method, and the dielectric layer 12 is, for example, an oxygen oxide compound (for example, Si〇2), four. Oxide oxide (TEOS) oxide, compound containing %, carbon, oxygen and hydrogen (eg siwCxOyHz), cyclone compound (eg Si3N4), Fluorinated Silicate Glass (FSG), black diamond film ( Black Diamond), silk screen layer (siLK), porous oxidized dream (porous si 1 icon oxide) 12 1376758 or oxynitride compound, or glass formed by spin coating (SOG), polyarylene ether , polyphenyl hydrazine, saliva (p〇iybenzoxaz〇ie, pB〇), or other dielectric constant value (k) is between 1.  Material between 5 and 3. The & layer 14 is above the line structure 6 and the dielectric layer 12, and the protective layer 保护 can protect the semiconductor element 4 and the line structure 6 from moisture and foreign ion contaminants (five _ηί〇η contaminatioii). That is to say, the new layer 14 can continue to move the lining (such as nano-ion) Kmoisture), transition metals (such as gold, silver, copper) and other impurities (impurity) and penetrate the semiconductor under the protective layer 14. Element 4 (for example a transistor, a polycrystalline resistive element or a polysilicon-polysilicon capacitor) or a line structure 6 » .  The protective layer 14 is usually composed of an oxonium compound (for example, SiO 2 ), a phosphorous bismuth glass (P_-teGlass, PSG), a nitrocarburic compound (for example, &amp;amp; or a oxynitride compound, etc. Wei compound Bao Cai Wei recorded the seamless, the thickness of the protective layer 14 - the general system is greater than 〇. 35 micrometers (-), and in the case of a layer comprising a nitrogen compound, the thickness of the nitrogen compound layer is usually greater than 〇·3 μm. The current manufacturing method of the protective layer 14 is about ten different methods, which are as follows. The first type of production layer 14 is the first chemical gas to form a thickness of 0. 2 • Micro to 1. Between the 2 micrometers and the oxidized stone layer, followed by chemical vapor deposition to form a thickness of 0.  A layer of nitriding between 2 micrometers and 1 micrometer is on the layer of oxidized stone. The second method of making the protective layer is to use chemical ammonia phase deposition to form a layer of oxidized stone between 〇2 micrometers and L2 micrometers, and continue to utilize electro-destructive enhanced chemical vapor deposition (Plasma Enhanced Chemical Vapor). D-printed ositi〇n, pEcvD) formed a thickness of between 113 1376758 micrometers to 〇_15 micrometers on the nitrous oxide alone on the oxygen-cut layer, and then _ chemical vapor lancet into a thickness of 2 microns to i.  2 reddish _ - nitrogen cut layer on the record cut reed. The third method for making a protective layer is to first form a layer of arsenic oxide with a thickness between 〇〇5 μm and (U5 μm) by chemical vapor deposition, and continue to use the chemical vapor phase to thicken the lion. · 2 micro red 丨. 2 «(10) - Secret rhyme money oxidation on the conversion, re-use of chemical vapor deposition to form a thickness of 0.  A layer of nitriding layer between 2 microns and L 2 microns is on the oxym layer. • The fourth method of making the protective layer 14 is to first form a thickness of 0 by chemical vapor deposition. 2 microns to 0. The -1 - oxidized stone layer between 5 microns continues to use spin coating (spin c〇a shirt). Forming a thickness between W micrometers and 1 micro--the second oxygen-cut layer on the first layer of oxidized stone, followed by chemical vapor deposition to form a thickness of 0. 2 micro to come. A third layer of oxidized stone between 5 micrometers is layered on the second layer of oxidized stone, and finally formed by chemical vapor deposition to a thickness of between 〇 2 μm and 1.  A layer of nitriding between 2 microns is on the third layer of oxidized stone. The fifth method for fabricating the fiber layer 14 is to form a thickness of 〇 高 Den Den 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、  5 micron to 2 « _ - oxidized pin ' and then paste chemical deposition to form a thickness of 0, 2 microns to 1.  A nitriding dream layer between 2 microns is on the oxidized layer. The sixth method of fabricating the protective layer 14 is to first form a thickness of 0. An undoped silicate glass (USG) between 2 microns and 3 microns continues to form, for example, tetraethoxy decane, borophosphosilicate glass (BPSG) or phosphor bismuth glass. . (phosphosilicate glass, PSG) and the like having a thickness of between 1 μm and 3 μm of a 1376758 insulating layer on the unblended glass layer, followed by chemical vapor deposition to a thickness of between 〇 2 μm and 1.  The r-nitriding layer between 2 microns is on the insulating layer. The seventh _ 倾 层 14 14 14 14 14 _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ At 0.  2 micrometers to 2 micro-(four)-oxidized Wei on the arsenic oxynitride layer, and then selectively using chemical vapor deposition to form a second nitrogen having a thickness between 〇〇5 μm and 〇······· The oxidized stone layer is on the oxygen-cut layer, and then formed by chemical vapor deposition to a thickness of 0.  2 microns to! • A layer of nitriding between 2 microns on the second oxidized layer or on the oxidized layer can then be selectively deposited by chemical vapor deposition to a thickness of between 〇 5 μm and 〇.  The 15 um - third nitrous oxide layer is on the nitriding layer and finally deposited by chemical vapor deposition to a thickness of between 〇.  The layer of monoxide between 2 microns and h 2 microns is on the third oxynitride layer or on the tantalum nitride layer. The eighth method for forming the protective layer 14 is to first form a thickness of 〇 2 μm to 1. by chemical vapor deposition.  Between 2 micrometers - the first oxidative complement, __ recording method to form a thickness of u micron to 1 micron _ - second oxide stone M on the first oxygen cut layer, followed by chemical vapor deposition to form a thickness between Hey.  The third oxygen cut layer between 2 micrometers and 2 micrometers is on the second layer of oxide oxide, and then the chemical vapor phase is used to form a thickness of between 〇.  The nitriding pin between 2 micrometers and 12 micrometers is on the third oxidation (four), and finally _ chemical residual deposition to form a thickness between 〇.  2 microns to 1.  A fourth oxidized stone layer between 2 microns is on the tantalum nitride layer. The ninth method of making the protector 14 is that the first high-density chemical gas domain product forms a thickness between 〇.  A first layer of oxidized stone between 5 micro and 2 micro-surgery continues to use chemical vapor deposition 15 1376758 to form a thickness between 〇 2 μm and 2 2 μm - nitriding in the first oxygen cut On the layer, then _ shouting, plasma chemistry, she formed a second yttrium oxide layer with a thickness between G 5 microns and 2 microns on the tantalum nitride layer. The tenth method for making the pour layer 14 is to first form a first-nitridite layer having a thickness between 〇 2 μm and 1, 2 μm by chemical vapor deposition, and continue to form a thickness by chemical vapor deposition. 0. The SiO2 layer between the 2 micro and the L 2 micro-surgery is then deposited on the first nitriding layer by chemical vapor deposition to a thickness of between 〇.  A second layer of tantalum nitride between 2 microns and h 2 microns is on the tantalum oxide layer. 9 Then, as shown in the first embodiment, the opening pad in the protective layer 14 is 16 in which the interface 16 is used for input/output of signals, or is used to connect a power source or ground to form a pad 16 . The method includes an electroplating (electr〇plating) process or a sputtering process, for example, forming a metal or a metal alloy as a pad by a mirror process, or forming a copper as a pinch 16 by a money-making process. 16 is a copper crucible formed by an electric ore process, and has a barrier layer at the bottom and the side of the copper pad. The material ratio of the barrier layer is such as a button (Ta) or tantalum nitride (TaN). . The maximum lateral dimension of the opening 14a is between 5 microns and 40 microns, or between 4 microns and 300 microns. In addition, the shape of the opening i4a may be a circle, a square or a polygon of five or more sides, and the maximum lateral dimension of the opening 14a refers to a straight grip size of the circular opening, a side length dimension of the square opening or a polygonal opening of five or more sides. The longest diagonal size. Further, the shape of the opening 14a may be a rectangle, and the length of the rectangular opening is between 8 〇 micrometers. Between 200 microns and the width dimension is between 40 microns and 11 microns. Alternatively, the semiconductor element 4 may be present under the interface 16 exposed by the opening 14 1376758 or without any semiconductor element 4. A metal top layer (not shown) may be selectively formed over the pads 16 exposed by the openings π 14a in the protective layer 14 to protect the pads 16 from oxidation and damage. The top layer of the metal is, for example, a -, a gold layer, a titanium layer, a titanium tungsten alloy layer, a neodymium nitride layer or a recording layer. For example, when the pad 16 is a copper pad (Cu _, a metal top layer (such as a layer) is needed to protect the copper pad exposed by the opening 14a, so that the copper pad is protected from oxidation and damage. When the top layer of the metal is a layer, a barrier layer is formed between the steel pad and the inscription layer. The barrier layer includes titanium, titanium tungsten alloy, titanium nitride, niobium, and nitrogen. Representation, chromium (Cr) or nickel. The following is only described in the absence of a metal top layer, but those skilled in the art can implement this by bribing the example of the bribe. 2. Related explanations of the circuit structure 6, the dielectric layer 12, the protective layer 14, and the pads 16, etc., respectively, the respective embodiments of the present invention will be sequentially described below. φ First embodiment:  2A to 2H are schematic cross-sectional views showing the process of forming a tin-containing metal layer and a metal pad on a wafer or wafer in the present embodiment. Referring to FIG. 2A, the pad 16 includes a first pad 16a and a second pad 16b, and is formed to have a thickness of 〇.  〇 1 micron to 3 microns (preferably thickness is 0.  A point/barrier layer from 01 μm to 1 μm! ayer) i8 on the protective layer 14 and the first pad 16a and the second pad 16b exposed by the opening 14a. The material of the adhesion/barrier layer 18 17 1376758 is selected from the group consisting of titanium, tungsten, cobalt, nickel, titanium nitride, titanium tungsten alloy, nickel vanadium alloy, button, nitride button, chromium, copper, chrome copper alloy, gold, At least one of a group consisting of ruthenium, platinum, rhodium, ruthenium, osmium, and silver, or formed by, for example, using a Tibetan clock or a steamed shovel. ' Next, the thickness is between 〇.  0 〇 5 μm to 2 μm (the preferred thickness is between 〇丄 micrometers to 0. A seed layer 20 of between 7 microns is formed on the adhesion/barrier layer 18 to form the seed layer 20, such as money plating, evaporation, physical vapor deposition, electricity money, or electroless plating. )The way. This seed layer 20 facilitates the subsequent placement of the metal lines, so the material of the seed layer 20 will vary with the material of the subsequent metal lines. For example, when the seed layer 20 is plated to form a metal layer of copper, the material of the seed layer 20 is made of copper.  When the electric layer of the seed layer 20 forms a metal layer of gold material, the material of the seed layer 2 is preferably gold.  When the seed layer 20 is plated to form a metal layer of palladium material, the material of the seed layer 2 is preferably as good. When the seed layer 20 is plated to form a metal layer of platinum, the material of the seed layer 2 is made of platinum. good.  When the seed layer 20 is plated to form a metal layer of tantalum material, the material of the seed layer 2 is preferably 铑.  When the seed layer 20 is plated to form a metal layer of tantalum material, the material of the seed layer 2 is preferably 钌.  • When the seed layer 20 is plated to form a metal layer of tantalum material, the material of the seed layer 20 is preferably tantalum.  When the seed layer 20 is electrically formed into a metal layer of nickel material, the material of the seed layer 2 is preferably recorded. Referring to FIG. 2B, a photoresist layer 22 is formed on the seed layer 2 and patterned by the exposure and development process to form the photoresist layer 22 to form the photoresist layer opening 22a in the photoresist layer. 22 and exposing the seed layer 2〇 above the first pad 16a, and in the process of forming the photoresist layer opening 22a, for example, by double (10) exposure machine (coffee or (four) or scanners) Then, the metal layer 24 having a thickness between 丨 micrometers and _micrometers (for example, between 1 1376758 micrometers and 50 micrometers) is on the seed layer 2〇 exposed by the photoresist layer opening, the Jinxian 24 The preferred thickness is between 2 micrometers and 3 micrometers to form the metal layer 24, such as electroplating or electroless plating. Alternatively, the metal layer may be gold, copper, silver, Ji, Ming, 铢 or recorded. a single-layer metal layer structure, or a composite layer made of the above-mentioned metal material. The layer, for example, the metal layer 24 may be a gold layer or a crucible having a thickness of between 8 micrometers and 35 micrometers formed by electro-mineralization. a copper layer formed between 8 micrometers and π micrometers thick. For example, a copper layer having a power between 8 micrometers and 35 micrometers is placed on a seed layer 2 of, for example, copper, and then the plating thickness is between (U micrometers to 10 micrometers (preferred thickness). A recording layer between 〇_! microns and 5 microns) is on the copper layer. The final plating thickness is between 〇.  A gold layer of between 1 micrometer and 10 micrometers (preferably having a thickness between micron and 2 micrometers) is on the recording layer. Referring to FIG. 2C, after the metal layer 24 is formed, the photoresist layer 4 is subsequently removed. Continuing, the seed layer 2〇 and the adhesion/barrier layer 18 which are not under the metal layer 24 are removed. Among them, the way to remove the adhesion/barrier layer 18 can be divided into dry etching and wet silver etching (also for sputum), and dry residuals such as high pressure argon gas for scaly side and wet side In the aspect, if the adhesion/barrier layer 18 is titanium or a titanium-tungsten alloy, it can be removed using hydrogen peroxide. Further, if the seed layer 2 is gold, it can be removed by using a liquid engraving liquid containing a dish (for example, a liquid engraving liquid such as potassium). Therefore, a metal pad 26 is formed on a first pad 16a exposed by the opening 14a of the protective layer. The metal interface 26 is formed by a sweet potato/barrier layer 18, which is located in the adhesion/barrier layer. A sub-layer 20 on the 18 is formed with a metal layer 24 on the seed layer 20, and the metal interface 26 can be bonded to a wire conductor (10) such as a gold wire or a copper wire through a wire bonding process, and automatically attached by a tape. 19 1376758 Technically joining a metal strip (such as a gold bump) bonded to an external circuit, a tin-containing metal layer bonded to an external circuit, or an external circuit through an anisotropic conductive paste, wherein the external The circuit may be a semiconductor wafer, a printed circuit board 'PCB', a soft board containing a polymer layer (such as a polyimide) having a thickness between 30 micrometers and 200 micrometers, containing ceramics. The substrate of the material, the glass substrate or the previously formed passive passive device, for example, the metal layer 24 is a gold layer, and when the metal pad 26 is used to bond a wire conductor (for example, a gold wire) The preferred thickness of the layer Between 2 to 10 microns, and when the metal pads 26 for engaging a belt, the preferred thickness of this layer is interposed between the gold 1〇 microns 3〇 microns. • After forming a metal bump for bonding a wire conductor, bonding a tape, bonding an external circuit, • bonding a tin-containing metal layer of an external circuit, or bonding an external circuit through an anisotropic conductive paste, A tin-containing metal layer 36 is then formed over the second pads 16b. Please refer to the figure 2D for the formation of the residence.  An adhesion/barrier layer 28 between 01 micrometers and 3 micrometers (preferably having a thickness between 1 micrometer and 1 micrometer) is exposed on the protective layer 14 at the opening i^a. The connector 16b is on the metal interface 26. The material of the adhesive/barrier layer 28 is 遘自钦,鹤,#, 录, titanium nitride, titanium alloy, nickel hunger alloy, neon, nitrided group, chromium, copper, chrome-copper alloy, gold, town , at least one of or one of the groups of silver and silver, and the form of silver formed by, for example, sputtering or steaming. Then, the thickness is formed to be 0.  Between 005 microns and 2 microns (preferably thickness is between 微1 micron and 0.  The seed layer 30 between the 7 micrometers is on the adhesive/barrier layer, and the square layer forming the seed layer is argon, «, Wei phase deposition, electroplating or no electricity test. 20 = 2_ for the subsequent metal line settings, so the material of _ 3Q will be simplified with the subsequent = line. _, t_ 3Q on the __ quality metal: @ seed layer 3G village system is better with copper; when the seed layer 30 is charged with gold into gold material ^ when the seed layer 30 material fine gold is better. The -* field photoresist layer 32 is on the seed layer 3, and the photoresist layer 32 is patterned through an exposure and development process to form a photoresist layer opening in the photoresist layer 32 and exposed to the second interface. The seed layer above 16b is 3 〇, and during the process of forming the opening of the photoresist layer, for example, it is exposed by double exposure or sweeping. Come again, please participate in the _ 2E riding, forming a diffusion barrier layer (. The f fusion barrier layer 34 is formed on the seed layer 3〇 exposed by the photoresist layer opening 32a, and the manner tb of forming the diffusion barrier layer 34 is such that the thickness of the electric clock is between Q 5 μm and 1 μm. - The copper layer is on the seed layer 3Q of copper, and then the - recording layer having a thickness between Qi microbook and 5 micrometer is in the Jingshang. The @ diffusion layer 34 may be composed of a copper layer and a nickel layer positioned on the copper layer. Next, a tin-containing metal layer 36 having a thickness between 1 micrometer and 500 micrometers is formed on the diffusion barrier layer 34 in the photoresist layer opening 32a. The preferred thickness of the tin-containing metal layer 36 is between 3. The method of forming the tin-containing metal layer 36 between micrometers and 250 micrometers is, for example, an electric clock, an electric powerless boat, or a screen printing. In addition, the tin-containing metal layer 36 is, for example, a tin-lead alloy (^11-1, a 4311〇5〇, a tin-silver alloy, a tin-silver-copper alloy, or a lead-free alloy ( Lead-free alloy. Taking tin-lead alloy as an example, the tin/error ratio can be adjusted according to the demand. The common tin-lead ratio is 90/10, 95/5, 97/3, 99/1, 37/ 63. From the above, the diffusion barrier layer 34 is located below the tin-containing metal layer 36, and the diffusion barrier 21 1376758 layer 34 includes, for example, a thickness of o. A recording layer between 5 micrometers and 5 micrometers is under the tin-containing metal layer and has a thickness of 0. A copper layer between 5 microns and 1 inch is under the nickel layer, and the recording layer and the copper layer are tied above the second pads 16b. In addition, in this embodiment, a solder adhesion layer (not shown) may be formed on the diffusion barrier layer 34 to enhance the subsequent tin-containing metal layer 36 and the diffusion barrier layer 34. The bonding property of the solder-impregnated film layer is, for example, gold, copper, tin, tin-lead alloy, tin-silver alloy, tin-silver-copper alloy or lead-free alloy. • Referring to FIG. 2F, after forming the tin-containing metal layer 36, the photoresist layer 32 is subsequently removed. Continuing, the seed layer 3 and the adhesion/barrier layer 28 that are not under the tin-containing metal layer 36 are removed. Among them, the way to remove the adhesion/blocking I 28 can be divided into dry money and wet side, while the dry side is, for example, argon gas using argon gas, and in the wet side, if the adhesion/transfer layer 28 is titanium or When titanium alloy is used, it can be removed with hydrogen peroxide. Referring to Fig. 2G, a re-welding process is selectively performed to cause the tin-containing metal layer 36 to reach a melting point and cohesively form a spherical shape. However, in this embodiment, the reflow process may be performed first, so that the tin-containing ruthenium layer reaches the melting point and is internally condensed into a spherical shape. Then, the seed layer 30 and the adhesion/barrier layer not under the tin-containing metal layer 36 are removed. 28. Alternatively, the embodiment may not perform the reflow process until then.  The reflow process is performed when the kick-free metal layer 36 is connected to an external circuit, such as a semiconductor wafer, a printed circuit board containing glass fibers, and a polymer having a thickness of between 3 μm and 2 μm. A soft board of a layer, a substrate containing a ceramic material, or a passive component formed in advance. Therefore, the present invention can form 22 Ϊ 376758 on the pads 16 exposed by the partial openings 14a of the protective layer 14 for bonding wire wires (such as gold wires or copper wires) for bonding tapes for bonding. The gold block of the external circuit, the splicing joint-outer (four) (four) tin-containing surface layer or the metal pad 26 of the external circuit through the opposite-junction conductive exposure, and the kick-free metal layer is formed over the joint 16 on which the metal joint 26 is not formed 36. In addition, the top of the metal joint 26 may include a smear layer (峨(四) layer </ ” not shown). The threatening joint is a gold layer. Moreover, before the formation of the tin-containing metal layer 36, a gold layer may also be formed in the diffusion barrier layer. On the 34, a tin-containing gold is then formed; the layer 36 is on the gold layer. In addition, the tin-containing metal layer of the present wealth can also be replaced by a glow bump. Referring to FIG. 2H, after the above process is completed, the semiconductor substrate 2 can be subsequently cut to form a plurality of semiconductor wafers 38'. Each of the semiconductor wafers 38 includes a semiconductor substrate 2, a wiring structure 6, and a plurality of dielectric layers. 12. A protective layer 14, at least one metal pad and at least a tin-containing gold layer 36, and the like. In addition, a plurality of semiconductor elements 4 (eg, transistors or metal oxide semiconductors, etc.) are located in or above the semiconductor substrate 2, and one of the semiconductor elements 4 is selectively positioned on the metal interface 26 or the tin-containing metal layer 36. Below, two of the semiconductor elements 4 are electrically connected to the metal pads 26 and the tin-containing metal layer 36, respectively. Further, in each of the semiconductor wafers 38, the top of the protective layer 14 may be an oxysulfide compound layer or a ruthenium nitride compound layer. Each of the semiconductor wafers 38 can be connected to an external circuit through the tin-containing metal layer 36. The external circuit can be a card conductor chip, a printed circuit board (PCB), or a soft metal.  The board 3 has a substrate of a ceramic material or a discrete passive device in which the printed circuit board contains glass fibers, and the soft board includes a polymer layer having a thickness of between 3 μm and 200 μm. The polymer layer is, for example, a polyimide. 23 1376758 In addition, through a wireb〇nding process, a metal interface 26 of a semiconductor wafer 38 can be bonded to a wire conductor (such as a gold wire or a copper wire) to connect an external circuit, which can be a semiconductor. ", printed circuit board, training, containing (four) storage substrate or lead frame, where the printed circuit board contains glass fiber, and the soft board includes a polymer layer between 3 microns and 2 microns in thickness' The polymer layer is, for example, a polyimide. Further, by using an automatic tape bonding technique, a metal pad 26 of a semiconductor wafer 38 can be bonded to a tape to connect an external circuit. Is a semiconductor wafer, a #printed circuit board, a flexible board or a substrate containing a ceramic material, wherein the printed circuit board contains glass fibers, and the soft board comprises a polymer layer having a residence degree of between 30 micrometers and 200 micrometers. The layer ratio is known as polyimine. In addition, the tape has at least one metal line and at least one polymer layer, and gold. The wire is connected to the metal pads 26, for example by bonding the metal pads 26 via tin metal or tin-silver alloy. Moreover, a metal pad 26 of a semiconductor wafer 38 can be pressed into an anis tropic conductive film (ACF or anis 〇 tr〇 pic conductive paste, ACP) through a thermal compression bonding process. The metal particles in the anisotropic conductive paste are gathered on the pads of the gold-based interface 26 and an external circuit (such as a glass substrate) containing indium tin oxide.  Between the use of electrical connection metal pads 26. A pad containing indium tin oxide with an external circuit. Alternatively, the external circuit may be a semiconductor wafer, a printed circuit board, a flexible board containing a polymer layer having a thickness of between 30 micrometers and 200 micrometers, or a substrate containing a ceramic material. Moreover, one of the metal pads 38 of the semiconductor wafer 38 can be bonded to a metal bump (such as a gold bump) to connect to an external circuit. The external circuit can be a semiconductor wafer, a printed circuit board, a glass substrate, a flexible board, or A substrate of ceramic material, wherein the printed circuit board contains glass fibers, 24 1376758 and the soft board comprises a polymer layer having a thickness of between 3 micrometers and 200 micrometers, such as a polyimide. In this embodiment, before the semiconductor substrate 2 is cut, an external circuit is first connected through the tin-containing metal layer 36. The external circuit may be a semiconductor wafer, a circuit board, a soft board, a substrate containing a ceramic material, or a passive formation in advance. An element in which the printed circuit board contains glass fibers, and the flexible board comprises a polymer layer having a thickness of between 30 micrometers and 2 micrometers, such as a polyimine. Next, the semiconductor substrate 2 is diced to form a plurality of semiconductor wafers. Finally, the present embodiment can be used to bond wire bonds (using a wire bonding process), bond tapes (using tape bonding automated bonding techniques), and metal bumps of the bonding-external circuit on the metal pads 26 of each semiconductor wafer (eg, Gold convex . Block), the tin-containing metal layer of the bonding-external circuit or the external circuit through the external recording. Referring to FIG. 21, in this embodiment, a polymer layer 39 may be formed on the protective layer 14, and the polymer layer opening and the polymer layer opening in the polymer layer 39 are respectively exposed. a first interface 16a and a second interface 16b, and then forming a metal interface 26 on the first interface exposed by the polymer layer opening 3 according to the process steps described in FIG. 2A to FIG. And forming the tin-containing metal layer 36 on the second interface 16b exposed by the polymer layer open ship: for the related content, please refer to the above description, which will not be described in detail herein. Among them, the polymer layer is evil. Selecting one of polyaniline, phenylcyclobutanide, polyurethane, epoxy resin, parylene polymer, tan mask material, elastic material or porous dielectric material to form polymer layer In addition to the spin coating method, the method of 39 can also use the dry film method or the screen printing method, and the thickness of the polymer layer 39 is between! Between microns and 3 microns. The related application of the semiconductor wafer 38' shown in Fig. 21 is also referred to the above, and will not be repeated here. 25 q / () 758 Second Embodiment: This month also applies the first embodiment to the reconfiguration line (re_distributi〇n layer, muscle) or connecting line (interconnecting trace). The reconfiguration line and the connection line are formed on the semiconductor substrate at the same time as an embodiment. However, only the reconfiguration line or connection is formed on the substrate base by the following method. The circuit is connected to form a metal interface and a tin-containing metal layer on the reconfiguration line or the connection line.咕Shen Xianqing 3G rides π, as her metal line 4 () and the metal line 42 as the connection line % road are formed above a protective layer 44, respectively The exposed joints are connected, and the protective layer 44. Regarding the material and structure of the pad 46, please refer to the descriptions of the protective layer 14 and the interface 16, respectively, and no further discussion will be made here. In addition, the maximum lateral dimension of the opening 44a in the protective layer 44 may be the same as the maximum lateral dimension of the opening 14a located in the protective layer 14, or less than the opening in the protective layer, the maximum lateral dimension. For example, the maximum lateral dimension of the opening 44a may be between 0.  Between 05 micrometers and 25 micrometers, the preferred size is between 1 micrometer and 権, and the opening 44a is shaped like a circle, a square, a rectangle or a polygon of five or more sides and the opening ^ is the largest The lateral dimension is the diameter dimension, the square length of the square port is long: the longest side length of the shape opening or the most fiberline of the butterfly opening above five sides and the other: the mouth of the mouth 44a is 46 4, or not suitable] There are any semiconductor components 4. , A does not listen to the production of reconfiguration Wei and Lianlinguan profile 26 households 376758 Figure 'as shown in the figure 'a polymer layer 48 formed on the protective layer 44, and located in the polymer layer 48 of hydration The layer openings 48a, 48b expose the interface 46 exposed by the opening 44a, wherein the polymer layer 48 is selected from the group consisting of polyimine, phenylcyclobutene, polyurethane, epoxy, parylene One of the polymer-like polymer, the welding cap material, the elastic material or the porous dielectric material, and the method of forming the polymer layer 48 can be performed by a hot-pressing dry film method or a screen printing method in addition to the spin coating method. The thickness of the additional polymer layer 48 is between 1 micrometer and 30 micrometers. Next, the metal lines 40, 42 are formed over the polymer layer 48 and joined to the pads 46 exposed by the polymer layers φ ports 48a, 48b. The method of forming the metal lines 4, 42 is described as follows: [Step 1].  . Forming an adhesion/barrier layer 52 having a thickness between 〇_01 μm and 3 μm (preferably between 0 μm and 1 μm) on the polymer layer 48 in the polymer layer The pads 46 are exposed by the openings 48a, 48b. The material of the adhesion/barrier layer 52 is selected from the group consisting of titanium, tungsten, drill, recording, titanium nitride, titanium tungsten alloy, hunger alloy, group, nitride group, chromium, copper, chrome-copper alloy, gold, town, At least one of platinum, ruthenium, rhodium, ruthenium, and silver, or φ, formed by, for example, using a bond or vapor deposition. [Step 2] The thickness is formed to be 0. Between 005 microns and 2 microns (the preferred thickness is between 〇. A sub-layer 54 of between micrometers and 〇7 micrometers is on the adhesion/barrier layer 52, and the seed layer 30 is formed by means of binding: ore, steaming, physical vapor deposition, electroplating or electroless plating. the way. This seed layer 54 facilitates the placement of subsequent metal lines, so the material of the seed layer 54 will vary with the material of the subsequent metal lines. For example, when the seed layer 54 is plated to form a metal layer of copper, the material of the seed layer 27 I376758 is preferably copper; when the seed layer 54 is plated to form a metal layer of gold, the material of the seed layer 54 is gold. It is better. [Step 3] Form a photoresist layer 56 in the seed layer. At the 54th, the photoresist layer 56 is patterned by exposure and development to form the photoresist layer opening 56a in the photoresist layer 56 and expose the seed layer %, and in the process of forming the photoresist layer opening 56a, for example. Exposure is performed with a double exposure machine or scanner. [Step 4] • Form a metal layer between 1 μm and 50 μm thick. The preferred thickness of the metal layer 58 is between 1 micron and 35 microns on the seed layer 54 exposed by the photoresist layer opening 56a.  The manner in which the metal layer 58 is formed is, for example, electroplating or electroless plating. In addition, the metal layer 58.  It may be a single-layer metal layer structure of gold, copper, silver, palladium, platinum, rhodium, ruthenium, iridium or nickel, or a composite layer composed of the above-mentioned metal materials. For example, the metal layer 58 may be formed by electroplating to a thickness of between j microns and 35 microns (preferably between 2 microns and 12 microns), or by electricity money. A copper layer is formed having a thickness between 1 micrometer and 35 micrometers (preferably between 2 micrometers and 20 micrometers thick). Further, the metal layer 58 is formed by, for example, a copper layer having an electron microscope thickness of between 2 μm and 20 μm on a seed layer 54 of, for example, copper, and then the thickness of the bond is between 〇.  Between 1 micron and 10 micron (preferably thickness is between 〇.  A nickel layer between 5 microns and 5 microns. On the copper layer, the final clock thickness is between 1 micrometer and 5 micrometers (preferably thickness is between 〇.  A gold layer of between 1 micron and 1 micron is on the nickel layer. [Step 5] 28 Ϊ 376758 After the metal layer 58 is formed, the photoresist layer 56 is subsequently removed. Continuing, the seed layer 54 and the adhesion/barrier layer 52, which are not under the metal layer 58, are removed, as shown in Fig. 3B. The method of removing the adhesion/barrier layer 52 can be divided into dry etching and wet etching, and dry etching is performed by using high-pressure argon gas, for example, in the case of wet etching, if the adhesion/barrier layer 52 is titanium. Or titanium-tungsten alloy, can be extracted with hydrogen peroxide. When the recording sub-layer 54 is gold, the paste-containing side liquid (for example, an etching liquid such as potassium iodide) may be removed. Referring to the S 3C diagram, after the metal lines 4, 42 are formed, a polymer layer 60 is formed on the metal lines 40, 42 and the polymer layer 48, and the polymer layer 60 is polymerized. The layer opening 60a exposes the metal lines 40, 42, wherein the polymer layer 6 is selected from the group consisting of polyfluorene-imine-based cyclobutene polyurethane, epoxy resin, poly-p-xylene polymer, and soldering. The cover material, the elastic material or the porous media can be used. The form of the silk layer 6G can be used in addition to the spin coating method, or the hot polymer film or screen printing method can be used. The thickness of the crucible is between 1 micrometer and 30 micrometers. Finally, a method of forming a tin-containing metal layer 36 and a metal pad 26 in accordance with an embodiment of the present invention, the present embodiment can form a tin-containing gold-based layer 36 in the metal line 4 exposed by the polymer layer opening 60a. And forming a metal bump (such as a gold bump) for bonding wire bonding wires, bonding tapes, bonding-external circuits, a tin-containing metal layer for bonding-external circuits, or bonding through an anisotropic conductive paste - external The metal touch 26 of the circuit, and for the outline of this part, please refer to the related description of the first embodiment, which will not be described in detail herein. Referring to FIG. 3D, after the above process is completed, the semiconductor substrate 2 can be subsequently cut to form a plurality of semiconductor wafers 62, wherein each of the semiconductor wafers 62 includes a semiconductor substrate 29 1376758, a line structure 6, and a plurality of dielectric layers. The electrical layer 12, a protective layer η, at least a reconfigurable line (such as the gold line 40), at least one sling line (such as the metal line 42), at least one metal pad π and at least one tin-containing metal layer 36, and the like. In addition, a plurality of semiconductor elements 4 (eg, transistors or metal oxide semiconductors, etc.) are located in or above the semiconductor substrate 2, and one of the semiconductor elements 4 is selectively positioned on the metal pad 26 or the tin-containing metal layer 36. Below the other, the semiconductor element 4 is electrically connected to the metal interface 26 and the tin-containing metal layer 36. Further, in each of the semiconductor wafers 62, the top of the protective layer 14 may be an oxysulfide compound layer or a ruthenium nitride compound layer. φ Each semiconductor wafer 62 can be connected to an outer crucible through a tin-containing metal layer 36. The circuit, which may be a semiconductor wafer, a printed circuit board, a flexible board, a substrate containing a ceramic material, or a passive component formed in advance. Where the printed circuit board contains glass fibers, and the soft board comprises a polymer layer having a thickness between 3 Å and 200 microns, such as a polyimide. In addition, through the wire bonding process, one of the metal pads 62 of the semiconductor wafer 62 can be bonded to a wire (for example, a gold wire or a copper wire) to be connected to an external circuit. The external circuit can be a semiconductor chip, a printed circuit board, or a flexible board. A substrate or lead frame containing a ceramic material, wherein the printed circuit φ plate contains glass fibers' and the soft plate comprises a polymer layer having a thickness of between 30 micrometers and 200 micrometers, such as a polyimide. Moreover, by means of tape bonding technology, the metal pads 26 of a semiconductor wafer 62 can be bonded to a tape and then connected to an external circuit, which can be a semiconductor wafer, a printed circuit board, a flexible board or a ceramic material. The substrate, wherein the printed circuit board contains glass fibers, and the soft board comprises a polymer layer having a thickness of between 30 micrometers and 200 micrometers. The polymer layer is preferably a polyimide. In addition, the tape has at least one metal line and at least one polymer layer, and the metal wire 30 1376758 is connected to the metal pad 26, for example, by bonding the metal pad 26 via tin metal or tin-silver alloy. Moreover, through a thermocompression bonding process, a semiconductor wafer can be obtained. 62 one of the metal pads 26 is pressed into the anisotropic conductive paste 'to allow the metal particles in the anisotropic conductive kick to gather on the metal interface 26 and the external circuit (such as a glass substrate) containing tin oxide Between the pads of the object, the connection between the metal-free interface 26 and the external circuit containing the tin oxide is electrically connected. In addition, the external circuit is, for example, a semiconductor wafer, a printed circuit board, a "soft board of a polymer layer having a thickness of between 3 μm and 2 μm, or a substrate containing a ceramic material, etc.. One of the metal pads 26 can be bonded to a metal bump (such as a gold bump) and thus to an external f path. The external circuit can be a semiconductor ", a printed circuit board, . The glass substrate and the soft domain comprise a substrate of a ceramic recording material, the printing printed circuit board contains glass fiber, and the soft board comprises a polymer layer having a thickness of between 30 micrometers and 1 200 micrometers, and the polymer layer is, for example, a brewing layer. The present embodiment can also be connected to an external circuit through the tin-containing metal layer 36 before the semiconductor bottom layer 2. The external circuit can be a semiconductor wafer, a printed circuit board, a flexible board, or the like. A substrate or a passive component formed in advance, wherein the printed circuit board contains glass fibers, and the flexible board comprises a polymer layer having a thickness of between 30 micrometers and 2 micrometers, such as a polyimide. Next, the conductive conductor substrate 2 is cut to form a plurality of semiconductor wafers. Thereafter, the metal pad 26 of the body w is bonded to the wire bonding wire (fine wire bonding process), the bonding tape (using the tape bonding automatic bonding technique), the metal bumps (for example, gold bumps) for bonding an external circuit, and the bonding-external circuit. The tin-containing gold touches or the external circuit through the heterogeneous conductive county. 31 ^ 376758 By reconfiguring the line (such as the metal line 4 in Figure 3C), this embodiment can relocate the position of the pad 46 previously exposed by the opening 44a to a specific location (such as layout to polymer) The layer opens the location of the metal line that is exposed in the county, and the position of the financial position 46 can be viewed from a top perspective view. Further, in the present embodiment, at least two of the contacts exposed by the opening 44a are connected through the metal line 42) in the Lianxian Road ^^3(4). In addition, as shown in FIG. 3E, this embodiment can also form the metal lines 40, 42 ′ directly on the protective layer 14 and further in the polymer layer opening 6 according to the process steps described in FIGS. 3C to 3D. The metal-containing metal layer % and metal interface % are formed on the metal lines 4 and 42 exposed by 〇a. For the details of this part, please refer to the above related descriptions, which will not be described in detail here. In addition, the semiconductor W 62, _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ Therefore, the present invention can form a metal bump for bonding a wire bonding wire (such as a gold wire or a copper wire), for bonding a tape, for bonding an external circuit, on a re-wiring line or a connection line of a wafer or a wafer. a block (such as gold (10)), a tin-containing metal layer for bonding an external circuit, or a metal pad for bonding an external circuit through an anisotropic conductive paste, and a tin-containing metal layer formed over the interface where the metal pad is not formed . In addition, the 'metal lines 40, 42 may also be a line including a power bus (ρ· _, a signal bus or a ground bus), which may be via the protective layer 44 The opening σ 44a is connected to the power line, the channel or the ground line under the protective layer 44. Referring to FIG. 4, it is a schematic cross-sectional view of the multi-chip package structure. As shown, the semiconductor wafer 64 may be The semiconductor wafer 38 or the semiconductor crystal 32 1376758 formed in the first embodiment or the semiconductor wafer 62 or the semiconductor wafer formed in the embodiment of the second embodiment has two different types of metal pads 68 and . The metal interface 68 includes a tin-containing metal layer 36 and a plurality of metal layers (such as an adhesion/barrier layer 28, a seed layer 3 () and a diffusion barrier layer) underneath the tin-containing metal layer, and For the contact of the tin-containing metal layer % and the two metal layers, please use the above-mentioned phase coffee. Alternatively, the metal pad may be the metal pad in the first embodiment or the second embodiment. Please also refer to the above for instructions. The illustrated swivel wafer 64 is connected to the semiconductor wafer 66 via a metal interface 68. In addition, the mouthpiece 72 is filled between the two semiconductor wafers 64 and 66 and covers the metal contacts, wherein the poly 72 is selected from the group consisting of One of polyaniline, phenylcyclobutene, polyurethane, epoxy resin, parylene polymer, welding cap material, miscellaneous material or multi-layer dielectric material. A flexible rib tape 78 having at least one metal line 74 and a polymer layer 76 is connected to the tiling (4) via a gold escape 74, and the metal line 74 of the smear tape 78 is, for example, via a metal layer (eg, Tin metal or tin-silver alloy twisted metal joint 7〇, wherein polymer layer 76 is selected from the group consisting of polyaniline, phenylcyclobutene, polyurethane, epoxy resin, parylene polymer, welding One of a cover material, an elastic material or a porous dielectric material. Further, the polymer 80 covers the metal pad 70 and a portion of the soft tape 78, wherein the polymer 80 is selected from the group consisting of polyimine and phenyl. Cyclobutane, polyurethane, epoxy resin, parylene = molecule, hood material, bomb The semiconductor wafer 64 is connected to an external circuit through a metal pad 68 (for example, a semiconductor crystal 33 1376758 piece 66, a printed circuit board containing glass fibers, and having a thickness of 3 μm to 2 〇〇 micro-polymer board soft board or ceramic substrate containing substrate, and using tape bonding technology, metal pad 70 is connected to an external circuit via flexible tape 78 (eg semiconductor wafer, A printed circuit board containing glass fiber, comprising a soft board of a polymer layer having a thickness between 3Q micrometers and a micrometer micrometer or a substrate containing a ceramic material.

第5A圖至第5H圖所示係為本實施例在一晶圓或晶片上形成一含錫金 屬層與一金屬接墊(metal pad)的製程剖面示意圖。 在形成第2A圖所述之黏著/阻障層18的製程步驟後,接著請參閱第μ 圖所示,形成厚度介於0· 005微米至1〇微米之間(較佳厚度係介於〇·【微 米至2微米之間)的-種子層2〇,在黏著/阻障層18上,而形成種子層2〇, 的方式比如是_、驗、物理氣她積、電鍍或者是無電紐的方式。 此種子層20,有利於後續金屬接合的設置,因此種子層2〇,的材質會隨後 續需要接合的金屬材質而有所變化。例如,謝層2q,需要接合銅金屬 時’種子層20’之材質係以鋼為佳;當種子㈣,峨合金材質之金屬 時,種子層20’之材質係以金為佳。 再來’形成-光阻層82在種子層2〇,上,並透過曝光與顯影製程圖案 化光阻層82 ’以形成光阻層82a在第一接墊恤上方的種子層上,如 =圖所示’而挪成光阻層82a的過程中比如是以—倍之曝光機或掃描 、订曝光繼、貝以光阻層82a作為遮罩(腿如,去除未在光阻層犯&amp; 34 1376758 下方的種子層20餘著/阻障層π。其中,去除黏著/胆障層18的方式 可分為乾儀刻及濕細,而乾铜比如是使用高錢氣進行雜银刻另 在濕侧方面’若黏著/阻障層18為欽或欽鶴合金時,可使用雙氧水進行 . 去除。此外,若種子層20,為金時,可利用含有破之侧液(例如破化卸等 蝕刻液)進行去除。接下來,於去除種子層2〇,與黏著/阻障層18之後去 除光阻層82a ’如第5C圖所示。 因此,一金屬接墊84形成在保護層14之一開口 14a所暴露出的一第 一接墊16a上,此金屬接墊84係由-黏著/阻障層18與位在黏著/阻障層 18上的-種子層20’賴成,而此金屬轉84可透過打線製程接合一打 線導線(例如金線或銅線)、利用貼帶自動接合技術接合一貼帶、接合一外 部電路的-金屬凸塊(如金凸塊)、接合一外部電路的一含錫金屬層或是透 過異方性導電膝接合-外部電路。又,以種子層2〇,是一金層為例,當金 屬接塾84接合-打線導線(例如金線)時,此金層的厚度係介於〇的微米 至5微米之間(較佳厚度是介於〇1微米至2微米之間)。另以種子層加, •是一銅層為例’當金屬㈣84接合一打線導線(例如銅線)時,此銅層的厚 度係介於0.05微米至5微米之間(較佳厚度是介於〇1微来至2微米之間)。 請參閲第5D圖所示,形成厚度介於〇.〇1微米至3微米之間(較佳厚度 係介於ο. οι微米至i微米之間)的一黏著/阻障層28在保護層14上在開 口 14a所暴露出之第二接墊16b上以及在金屬接塾%上。此黏著/阻障層 28之材質係選自鈦、鎢、钻、錄、氮化鈦、鈦鎢合金、錄飢合金、纽氮 化组、鉻、鋼、絡銅合金、金、镤、翻、把、釕、錄以及銀其中之—或所 35 1376758 組成之群組的至少其中之一者,而形成方式比如是利用賤鐘或蒸鍍方式。 再來’形成厚度介於0. 005微米至2微米之間(較佳厚度係介於〇j微 米至0. 7微米之間)的一種子層30在黏著/阻障層28上,而形成種子層3〇 的方式比如是濺鍍、蒸鍍、物理氣相沉積、電鍍或者是無電電鍍的方式。 此種子層30有利於後續金屬線路的設置,因此種子層3〇的材質會隨後續 金屬線路的材質而有所變化。例如,當種子層3〇上電鍍形成銅材質之金屬 層時’種子層30之材質係以銅為佳;當種子層30上電鍍形成金材質之金 φ 屬層時’種子層30之材質係以金為佳。 繼續,形成一光阻層32在種子層30上,並透過曝光與顯影製程圖案 化光阻層32,以形成光阻層開口 32a在光阻層32内並暴露出位在第二接墊 16b上方的種子層30,而在形成光阻層開口 32a的過程中比如是以一倍之 曝光機或掃描機進行曝光。 接著,請參閱第5E圖所示,形成一擴散阻障層34在光阻層開口 32a 所暴露出之種子層30上,而形成擴散阻障層34的方式比如是藉由電鐘厚 鲁度&quot;於〇. 5微米至10微米之間的一銅層在例如是鋼的種子層go上,最後 電鐘厚度介於〇 1微米至5微米之間的一錄層在銅層上。因此,擴散阻障 層34可以是由一鋼層與位在此銅層上之一鎳層所構成。 再來,形成厚度介於1微米至500微米之間的一含錫金屬層36在光阻 層開口 32a内之擴散阻障層34上,此含錫金屬層36的較佳厚度係介於3 微米至250微米之間,而形成含錫金屬層36的方式比如是電鍍、無電電鍍 或者是網板印刷。另,此含錫金屬層36比如是錫鉛合金、錫銀合金、錫銀 36 1376758 銅合金或無鉛合金。以錫鉛合金為例,其錫/錯比可視需求而有所調整’較 常見的錫鉛比為90/10、95/5、97/3、99/1、37/63等比例。 由以上可知,擴散阻障層34係位在含錫金屬層36下方,此擴散阻 障層34比如包括厚度介於〇. 1微米至5微米之間的一鎳層在含錫金屬層昶 下’以及厚度介於0.5微米至1〇微米之間的一銅層在此鎳層下且此錄層 與此銅層係位在第二接墊16b上方。 ’'曰 另外,本實施例亦可在擴散阻障層34上再形成—鲜料沾附 膜層(圖中未不)’以增進後續含錫金屬層Μ與擴散阻障層 間的接合性,此銲料a &quot; 知I附膜層之材質比如是金、銅、錫、翁 σ余、錫銀合金、踢銀鋼合金或無錯合金等。 ° 請參閱第5F圖戶斤-. 厅不,在形成含錫金屬層36之後, 除先阻層32。繼續,去 接考去 與黏著/阻障層28。罝+ χ ^ 30 ”,去除黏著/阻障層28的方式可分Α 乾蝕刻及濕蝕刻,而繫為灼丨 刀為 刻,另在濕姓刻方面,H比/如是使用高麼氣氣進行濺擊轴 可使用雙氧水進行去除㈣/阻障層28為鈦或料合金時, 請參閱第5G圖所+ 屬片3β m赴 進行—迴鲜製程,使含錫金 屬層36到達熔點而$ 銲製程’使含錫金屬層36 先進仃迴 除未在含錫金屬層36下方^ U晰成球形’接著再去 下方的種子層30與黏著/阻障層28 者’本實施例亦可先 ^ 不進订回鲜製程’直到含錫金屬層36連 37 1376758 接外部電路時,才進行回銲製程,其中此外部電路比如是半導 體晶片、含有破璃纖維之印刷電路板、含有厚度介於3Q微米 至200微米間之一聚合物層的軟板、含有陶瓷材料之基板或是 ' 事先形成之被動元件等。 因此,本發明可在保護層14之部份開口 14a所暴露出的接墊16上形 成用於接合打線導線(如金線或銅線)、用於接合貼帶、用於接合一外部電 路的一金屬凸塊(如金凸塊)、用於接合一外部電路的一含錫金屬層或是透 φ 過異方性導電藤接合一外部電路之金屬接塾84,而在未形成金屬接墊84的 接墊16上形成含踢金屬層36。另,金屬接墊84的頂部可包括一沾附膜 層(圖中未示),用於連接打線導線,而此沾附膜層比如為金 層又在形成含錫金屬層36之前,亦可形成一金層在擴散阻障層 34上,接著再形成含錫金屬層36在該金層上。此外,本實施例中的含 錫金屬層36亦可以鲜料凸塊(so〗der bump)取代。 請參閱帛5H圖所示,於完成上述製程後,接著可切割半導體基底2, φ 以形成複數半導體晶片86’其中每一半導體晶片86都包括有一半導體基底 2、一線路結構6 '複數介電層12、一保護層14、至少一金屬接墊⑽與至 少-含錫金屬層36等。另’複數轉體元件4(例如電晶體或金屬氧化物半 導體等)位在此半導體基底2内或上方,且這些半導體元件4的其中之—選 擇性位在金屬接墊84或含錫金屬層36的下方,又這些半導體元件4的其 中之二分別電性連接金屬接墊84及含錫金屬層36。此外,在每一半導體晶 86巾’保護層14的頂部可為一氧石夕化合物層或是一氮石夕化合物層β 38 1376758 每—半導體晶片86均可透過含錫金屬層36連接一外部電路,此外部 電路可以是半導體晶片、印刷電路板、軟板、含有陶瓷材料之基板或事先 形成之被動元件’其中印刷電路板含有玻璃纖維,而軟板包括厚度介於3〇 微米至200微米之間的一聚合物層,此聚合物層比如是聚醯亞胺。 另’透過打線製程,一半導體晶片86之一金屬接墊84可接合一打線 導線(例如金線或銅線)’進而連接一外部電路’而此外部電路可以是半導 體晶片、印刷電路板、軟板、含有陶瓷材料之基板或導線架,其中印刷電 • 路板含有玻璃纖維’而軟板包括厚度介於30微米至200.微米之間的一聚合 物層,.此聚合物層比如是聚醯亞胺。 . 再者,透過貼帶自動接合技術,一半導體晶片86之一金屬接墊84可 • 接合一貼帶,進而連接一外部電路,其中此外部電路可以是半導體晶片、 印刷電路板、軟板或含有陶瓷材料之基板’其中印刷電路板含有玻璃纖維, 而軟板包括厚度介於30微米至200微米之間的一聚合物層,此聚合物層比 如是聚醯亞胺。另,此貼帶具有至少一金屬線路與至少一聚合物層,且金 • 屬線路連接金屬接墊84,例如經由錫金屬或錫銀合金接合金屬接墊84。 又’透過熱壓合製程,可使一半導體晶片86之一金屬接墊84壓入到 異方性導電膠中,讓位在異方性導電膠内的金屬粒子聚集在金屬接墊料與 一外部電路(比如是玻璃基板)之含有銦錫氧化物的一接墊之間,藉以電性 連接金屬接墊84與外部電路之含有銦錫氧化物的接墊。丨,:此外部電路比 如是半導體晶片、印刷電路板、含有厚度介於30微米至2〇〇微米間之一聚 合物層的軟板或含有陶瓷材料之基板等。 39 1376758 又,一半導體晶片86之一金屬接墊84可接合一金屬凸塊(例如金凸 塊)’進而連接一外部電路,此外部電路可以是半導體晶片、印刷電路板、 玻璃基板、軟板或含有陶竞材料之基板,其中印刷電路板含有玻璃纖維, 而軟板包括厚度介於30微米至200微米之間的一聚合物層,此聚合物層比 如是聚醯亞胺。 另,本實施例亦可在切割半導體基底2之前,透過含錫金屬層36先連 接-外部電路,此外部電路可以是半導體晶片、印刷.電路板、軟板、含有 • 喊材料之基城事先形紅赫元件,其切刷銳板含有玻璃纖維, 而軟板包括厚度介於30微米至200微米之間的一聚合物層,此聚合物層比 -如是聚酿亞胺。接著,進行半導體基底2切割,以形成複數半導體晶片。 ,之後’本實施例可在每一半導體晶片86的金屬接塾84上接合打線導線(利 用打線製程)、接合貼帶(利用貼帶自動接合技術)' 接合一外部電路的金屬 凸塊(例如金凸塊)、接合一外部電路的含錫金屬層或是透過異方性導電膠 接洽'一外部電路。 請參閱第51圖所示,本實施例亦可先在保護層14上形成一聚合物層 39 ’且位在聚合物層39内之聚合物層開口.為與聚合物層開口哪分卿 露出第-接塾16a與第二接塾16b,接著依照第5A圖至第5h圖所述之製卷 步驟形成金屬接墊84在聚合物層開口 39a所暴露出之第—接塾伽上,以 及形成含錫金屬層36在聚合物層開口 39b所暴露出之第 •_考上侧制,梅再詳加敘述。== 係選自聚酿亞胺、笨基環丁稀、聚氨脂、環氧樹脂、聚對二甲苯類高分子 焊罩材料、彈性材料或多孔性介電材料其中之一而形成聚合物層39的方 式除了缝方式之外,亦·賴齡乾财核職_方式,另聚合 物層39的厚度係介於1微米至30微米之間。有關第51圖所示之半導體晶 片86’的相關應用亦請參閲上述内容,於此亦不再敛述。 此外,本實施例亦可如第二實施例般應用在重配置線路或連接線路 上。請同時參閲第5J圖與第5K圖所示.,本實施例可在半導體基底2上方 7時形成作編縣路之金雜路4_為連接線路的金觀路42,而 •形財法請參閲第二實施相關内容。接著,依照第5Α圖至第沉騎述之 製程步驟,形成金屬接墊84與含錫金屬層36在聚合物層開口咖所暴露 •出之金屬線路40、42上,此部分内容請參考上述相關說明,在此不再詳加 .敘述。另,有關第5巧所示之半_晶片87及第5K圖所示之半導體晶片 87’的相關應用亦請參閱上述内容,於此亦不再敘述。惟,本發明亦可藉 由上述之方式,在半導體基底2上方僅形成有重配置線路或連接線路,進 而於重配置線路或連接線路上形成金屬接塾84與含錫金屬層%。 • 第四實施例: - 第6Α 第6C圖所示係為本發明在-晶圓或晶&gt;1上形賴於接合打 線導線、接合貼帶、接合一外部電路的金屬凸塊(例如金凸塊)人 、屬層或是透過異方性導電膝接合外部電路之複數金屬接墊的製程剖面示 意圖。 “ 請參閱第6Α.圖所示,形成厚度介於〇. 〇1微米至3微米之間(較佳厚度 1376758 係介於0. 01微米至1微米之間)的一黏著/阻障層18在保護層14上與開口 14a所暴露出之接整16上。此黏著〆阻障層】8之材質係選自欽、鶴、録、 鎖、氮化鈦、鈦鎢合金 '雜合金、纽、氮她、鉻、銅、鉻銅合金、金、 鎮、翻、把、釕、錄以及銀其中之一或所組成之群組的至少其中之一者, 而形成方式比如是利用濺鍍或蒸鍍方式。 接著’形成厚度介於〇· 005微来至2微米之間(較佳厚度係介於〇丨微 米至0.7微米之間)的-銅層88在黏著/阻障層18上,而形成銅層88的方 • 式比如是濺鍍、蒸鍍、物理氣相沉積、電鍍或者是無電電鍍的方式。 請參閱第6B圖所示,形成一光阻層22在銅層88上,接著透過曝光與 . 顯景夕製程圖案化光阻層22,以形成光阻層開口 22a在光阻層22内並暴露出 . 位在接墊16上方的銅層88,而在形成光阻層開口 22a的過程中比如是以一 倍之曝光機或掃描機進行曝光。繼續,形成厚度介於丨微米至祀微米之間 (較佳厚度係介於8微米至35微米之間)的一銅層9〇在光阻層開口 2以所 暴露出的銅層88上,而形成銅層90的方式比如是電鍍或者是無電電鍍。 • 再來’形成厚度介於〇. 1微米至1〇微米之間(較佳厚度係介於〇.【微米至5 . 微米之間)的一鎮層92在銅層90上,而形成鎳層92的方式比如是電錢或 ··者是無電電鑛。接下來,形成厚度介於0. 01微米至1〇微米之間(較佳厚度 係介於0· 1微米至2微米之間)的-金層94在錄層92上,而形成金層94 的方式比如是電鍍或者是無電電鍍。 請參閲第6C圖所示,在形成金層94之後,接著去除光阻層泣。繼續, 去除未在銅層90下方的銅層88與黏著/阻障層18»其中,去除黏著/阻产 42 i^/b758 -18的方式可分為乾爛及濕_,而絲刻比如是使用高錢氣進行濺 擊蝴’另在濕_方面,若黏著〆阻障層18為欽或鈦鶴合金時可使用 雙氧水進行去除。 闫此,複數金屬接墊96形成在保護層14之複數開口 14a所暴露出的 複數接塾16上’這些金屬接墊96係由一黏著/阻障層μ、位在黏著/阻障 層18上的一銅層88、位在銅層88上的一銅層卯位在銅層卯上的一錄 層92與位在錄層92 ±的一金層94所構成,而這些金屬接塾%可用於接 _ 合-打線導線(例如金線)、接合含錫金屬層、接合一外部電路之金屬凸塊' 接。貼帶(利用貼帶自動接合技術)或是透過異方性導電膠接合一外部電 略。 . · 請參閱帛6D圖所*,透過植球(Planting)製程,本實施例可在部份金 屬接墊96之金層94上接合直徑介於i微来至5〇〇微米之間(較佳厚度係介 於3微米至250微米間)的-含錫金屬球98,接著再透過一回焊製程將含錫 金屬球98連接至-外部電路97的一接塾95。其中,此外部電路比如是半 籲導體晶片、含有玻璃纖維之印刷電路板、含有陶曼材料之基板或是事先形 .·成之被動元件等;另含錫金屬球98比如是錫鉛合金、錫銀合金、錫銀銅合 -金或餘合金。以駿合金糊,其錫/规可視需求而:#所破,較常見 的錫鉛比為 90/10 ' 95/5、97/3、99/1、37/63 等比例。 或者,亦可以預先形成一含錫金屬層98,在一外部電路97,上接著 透過-回銲製程再將該含錫金屬層98’連接金屬接墊96的金層叫上藉 以使金屬接墊96連接外部電路97,,如第6E圖所示。 43 1376758 請參閱第6F圖所示,於完成上述製程後,接著可切割半導體基底2, 以形成複數半導體晶另99’其中每一半導體晶片99都包括有一半導體基底 2、一線路結構6、複數介電層12、一保護層14與複數金屬接墊96等^另, 複數半導體元件4(例如電晶體或金屬氧化物半導體等)位在半導體基底2内 或上方,且這些半導體元件4的其中之一選擇性位在這些金屬接墊恥的其 中之一下方,又這些半導體元件4分別與金屬接墊96連接。此外,在每一 半導體晶片99中,保護層14的頂部可為一氧石夕化合物層或是一氮矽化合 g .物層。 因此,每一半導體晶片99可在部分金屬接墊96上接合一含錫金屬球 .98進而連接一外部電路,或是接合一外部電路之一含錫金屬層98’ ,此外 部電路可以是半導體晶片、印刷電路板、軟板、含有陶瓷材料之基板或事 先形成之被動元件,其中印刷電路板含有玻璃纖維,而軟板包括厚度介於 30微米至200微米之間的一聚合物層,此聚合物層比如是聚醯亞胺。 或者’每一半導體晶片99均可在部分金屬接墊96上接合一外部電路 • 之一金屬凸塊(例如金凸塊)’此外部電路可以是半導體晶片、印刷電路板、 玻璃基板、軟板、含有陶瓷材料之基板或事先形成之被動元件,其中印刷 電路板含有玻璃纖維’而軟板包括厚度介於間的之一聚合物層,此聚合物 層比如是聚酿亞胺。 另’透過打線製程,一半導體晶片99的部份金屬接墊96均可接合一 打線導線100(例如金線或銅線),進而連接一外部電路,而此外部電路可以 是半導體晶片、印刷電路板、軟板、含肴陶瓷材料之基板或導線架,其中 44 1376758 印刷電路板含有玻璃纖維,而軟板包括厚度介於30微米至200微米之間的 一聚合物層,此聚合物層比如是聚醯亞胺,如第6G圖所示。 再者,透過貼帶自動接合技術,一半導體晶片99之部份金屬接塾96 可接合一貼帶,進而連接一外部電路’其中此外部電路可以是半導體晶片、 印刷電路板、軟板或含有陶瓷材料之基板’其中印刷電路板含有玻璃纖維, 而軟板包括厚度介於30微未至200微米之間的一聚合物層,此聚合物層比 如是聚醯亞胺。另,此貼帶具有至少一金屬線路與至少一聚合物層,且金 鲁 屬線路連接金屬接墊96,例如經由錫金屬或錫銀合金接合金屬接墊卯。 又,透過熱壓合製程,可使一半導體晶片99之一金屬接墊96壓入到 .異方性導電膝中,讓位在異方性導電膠内的金屬粒子聚集在金屬接塾%與 • 一外部電路(比如是玻璃基板)之含有銦錫氧化物的一接墊之間,藉以電性 連接金屬接墊96與外部電路之含有銦錫氧化物的接墊。另,此外部電路比 如疋半導體晶片、印刷電路板、含有厚度介於加微求至2〇〇微求間之一聚 合物層的軟板或含有陶究材料之基板等。 • 目此’由上述内容可知’本實施例具有下列所述之十種實施態樣: —、在一半導體晶片99之部分金屬接塾96上接合-含錫金屬球98 或含錫金屬層98,而與-外部電路連接.,並在未接奸錫金屬 球98或含錫金屬層98,之金屬接墊96上接合一打線導線。 -、在-半導體晶片99之部分金屬接墊96上接合一含錫金屬球⑽ 或含錫金屬層98’而與-外部電路連接,並在未接合含錫金屬 球98或含錫金屬層98,之金屬接塾恥上接合一貼帶。 45 1376758 三'在一半導體晶片99之部分金屬接墊96上接合一含錫金屬球98 或含錫金屬層98,而與一外部電路連接,並在未接合含錫金屬 球98或含錫金屬層98,之金屬接墊96上透過異方性導電膠接 合一外部電路。 四、在一半導體晶片99之部分金屬接墊96上接合—貼帶而與巧卜部 冑路連接’並在未接合貼帶之金屬接塾96上透過異方性導電膠 接合一外部電路。 • 五、在一半導體晶片99之部分金屬接墊96上接合-貼帶而與一外部 電路連接,並在未接合貼帶之金屬接墊96上接合一打線導線。 • 六、在一半導體晶片99之部分金屬接塾96上接合一打線導線,並在 . 未接合打線導線之金屬餘96上透過異方性導電膠接合一外部 電路。 七、在一半導體晶片99之部分金屬接墊96上接合—外部電路之一金 屬凸塊,並在未接合金屬凸塊之金屬接墊96上接合一打線導線。 • 八、在一半導體晶片99之部分金屬接墊96上接合一外部電路之一金 屬凸塊,並在未接合金屬凸塊之金屬接墊96上接合一貼帶。 九、在一半導體晶月卯之部分金屬接墊96上接合一外部電路之一金 屬凸塊,並在未接合金屬凸塊之金屬接墊96上接合一含錫金屬 球98或含錫金屬層98’、. 十、在一半導體晶片99之部分金屬接墊96上接合一外部電路之一金 屬凸塊,並在未接合金屬凸塊之金屬接墊96上透過異方性導電 46 1376758 耀接合一外部電路。 另’本實施例亦可在切割半導體基底2之後,透過接合含錫 金屬球98、含錫金屬層98,或金屬凸塊而與一外部電路連接,此外部電路 可以是半導體晶片、印刷電路板、軟板、玻璃基板、含有陶瓷材料之基板 或事先形成之被動元件,其中印刷電路板含有玻璃纖維,而軟板包括厚度 介於30微米至200微米之間的一聚合物層,此聚合物層比如是雜亞胺。 最後,本實_可在每-半導體晶片之未形成含錫金屬球98、含錫金屬層 藝 98’或金屬凸塊的金屬接墊96上接合打線導線(利用打線製程接合貼帶 (利用貼帶自動接合技術)或是透過異方性導電膠接合—外部電路。 •.因此’本發明可在保護層之部份開口所暴露出的_上形成用於接合 含錫金屬球、麟接合—外部電路之-含錫金朗、用於接合打線導線(如 金線)、用於接合貼帶、用於接合金屬凸塊或透過異方性導電膠接合一外部 電路之金屬接墊96» 請參閱第6H圖所示,本實施例亦可先在保護層14上形成一聚合物層 • 39 ’且位在聚合物層39内之複數聚合物層開口 39a暴露出複數接墊16,接 著依照第6A圖至第6C圖所述之製程步驟,在聚合物層開口撕所暴露出 之接墊16上形成金屬接塾96,此部分内容請參考上述相關說明,在此不再 詳加敘述。其中,聚合物層39係選自聚酿亞胺、苯基環丁稀、聚氨脂、環 氧樹腊、聚對二甲箱高分子、料材料、雜材料或多孔性介電材料其 中之-’而形成聚合物層39的方式除了旋塗方式之外,亦可利用熱壓合乾 .膜方式或網版印刷方式,另聚合物| 39的厚度係介於】微米至3〇微米之 47 1376758 間。有關第6H圖所示之料體晶片99a的相關應用亦請參閲上述内容於 此亦不再敛述。 此外,本實施例亦可如第二實施例般應用在重配置線路或連接線路 上。請同時參閲第61圖與帛6J騎示,本實補可在半導體基底2上方 同時形成作為重配置線路之金屬線路4〇與作為連接線路的金屬線路处,而 形成方法請參閱第二實施相關内容。接著,依照第6A圖至第6c圖所述之 製釋步驟,形成金屬接整96在聚合物層開口 60a所暴露出之金屬線路4〇、 φ 42上此。P分内容請參考上述相關說明,在此不再詳加敘述。另,有關第 61圖所不之半導體晶片99b及第6J圖所示之半導體晶片99〇蚱相關應用亦 •請參閱上述内容,於此亦不再敘述。惟,本發明亦可藉由上述之方式,於 • 半導體基底2上方僅形成有重配置線路或連接線路,進而於重配置線路或 連接線路上形成金屬接墊96。 第五實施例: 0 請參閱第7A圖所示,在完成第2A圖所示之製程步驟後,接著形成一 光阻層100在種子層20上,並透過曝光與顯影製程圖案化光阻層1〇〇,以 形成光阻層開口 1〇〇3在光阻層1〇〇内並暴露出位在第一接墊16a上方的種 子層20 ’而在形成光阻層開口 i〇〇a的過程中比如是以一倍之曝光機或掃描 機進行曝光。 再來,形成厚度介於1微米至200微米之間(例如1微米至50微米之 間)的一金屬層102在光阻層開口 iOOa所暴露出的種子層2〇上,此金屬層 48 1376758 102的較佳厚度係介於2微米至3〇微米之間,而形成金屬層1〇2的方式比 如是電鐘或者是無電電鍍。另,金屬層1〇2可以是金、銅、銀、鈀、鉑、 錯、釕、銖或鎳之單層金屬層結構,或是由上述金屬材質所組成的複合層。 例如’此金屬層1〇2可以是以電鍍方式所形成之厚度介於8微米至35微米 之間的一金層或是以電鍍方式所形成之厚度介於8微米至35微米之間的一 銅層。又,形成此金屬層1〇2的方式比如是藉由電鍍厚度介於8微米至355A to 5H are schematic cross-sectional views showing a process for forming a tin-containing metal layer and a metal pad on a wafer or wafer in the present embodiment. After the process of forming the adhesion/barrier layer 18 described in FIG. 2A, the thickness of the film is between 0. 005 micrometers and 1 micrometer micrometer (preferably, the thickness is between 〇 and 参阅, as shown in FIG. · [Micron to 2 micron) - seed layer 2 〇, on the adhesion / barrier layer 18, and form a seed layer 2 〇, such as _, inspection, physical gas her, electroplating or no electricity The way. This seed layer 20 facilitates the subsequent metal bond arrangement, so that the material of the seed layer 2 〇 will vary depending on the metal material to be joined. For example, when the layer 2q is required to be bonded to the copper metal, the material of the seed layer 20' is preferably steel; and when the seed (four) is a metal of the tantalum alloy, the material of the seed layer 20' is preferably gold. Then, the formation-photoresist layer 82 is on the seed layer 2, and the photoresist layer 82' is patterned through the exposure and development process to form the photoresist layer 82a on the seed layer above the first pad, such as = As shown in the figure, the process of moving into the photoresist layer 82a is performed by, for example, exposing the exposure machine or scanning, ordering the exposure, and using the photoresist layer 82a as a mask (for example, removing the photoresist layer that is not in the photoresist layer) 34 1376758 The seed layer 20 below has a barrier layer π. Among them, the way to remove the adhesion/cholester barrier layer 18 can be divided into dry etching and wet thinning, while dry copper is used for high silver gas for miscellaneous silver engraving. In the wet side, if the adhesion/barrier layer 18 is a Chin or a Chinhe alloy, it can be removed by using hydrogen peroxide. In addition, if the seed layer 20 is gold, it can be used to contain the side liquid (for example, cracking). The etchant is removed and removed. Next, after removing the seed layer 2, the photoresist layer 82a is removed after the adhesion/barrier layer 18 as shown in Fig. 5C. Therefore, a metal pad 84 is formed on the protective layer. 14 on a first pad 16a exposed by one of the openings 14a, the metal pad 84 is adhered to the adhesion/barrier layer 18 The seed layer 20' on the barrier layer 18 is formed, and the metal turn 84 can be bonded to a wire conductor (such as a gold wire or a copper wire) through a wire bonding process, and a tape is bonded by an automatic bonding technique. a metal bump (such as a gold bump) of an external circuit, a tin-containing metal layer bonded to an external circuit, or an anisotropic conductive knee joint-external circuit. Further, with a seed layer 2, a gold layer is For example, when a metal joint 84 is bonded to a wire (for example, a gold wire), the thickness of the gold layer is between 微米 and 5 μm (preferably between 〇1 μm and 2 μm) In addition, the seed layer is added, and the case is a copper layer. When the metal (four) 84 is bonded to a single wire (for example, a copper wire), the thickness of the copper layer is between 0.05 micrometers and 5 micrometers (the preferred thickness is 〇1 micron to 2 microns). See Figure 5D, forming a thickness between 微米. 〇 1 micron to 3 microns (preferably thickness is between ο. οι micron to i micron) a bonding/barrier layer 28 on the protective layer 14 on the second pad 16b exposed by the opening 14a and in the metal interface % The material of the adhesive/barrier layer 28 is selected from the group consisting of titanium, tungsten, drill, recording, titanium nitride, titanium tungsten alloy, hunger alloy, neonitriding group, chromium, steel, copper alloy, gold, bismuth, Turning, turning, smashing, recording, and silver, or at least one of the groups of 35 1376758, formed by means of a cuckoo clock or an evaporation method. A sub-layer 30 of between microns and 2 microns (preferably having a thickness between 〇jm and 0.7 microns) is on the adhesion/barrier layer 28, and the manner in which the seed layer is formed is, for example, sputtering. , vapor deposition, physical vapor deposition, electroplating or electroless plating. This seed layer 30 facilitates the subsequent arrangement of the metal lines, so the material of the seed layer 3〇 will vary with the material of the subsequent metal lines. For example, when the seed layer 3 is plated to form a metal layer of copper material, the material of the seed layer 30 is preferably copper; when the seed layer 30 is plated to form a gold genus layer of gold material, the material of the seed layer 30 is It is better to use gold. Continuing, a photoresist layer 32 is formed on the seed layer 30, and the photoresist layer 32 is patterned through the exposure and development process to form the photoresist layer opening 32a in the photoresist layer 32 and exposed to the second pad 16b. The upper seed layer 30 is exposed during the process of forming the photoresist layer opening 32a, for example, by a double exposure machine or scanner. Next, referring to FIG. 5E, a diffusion barrier layer 34 is formed on the seed layer 30 exposed by the photoresist layer opening 32a, and the diffusion barrier layer 34 is formed by, for example, the thickness of the electric clock. A copper layer between 5 microns and 10 microns is on the seed layer go, for example steel, and a recording layer having a thickness of between 1 micrometer and 5 micrometers on the copper layer. Therefore, the diffusion barrier layer 34 may be composed of a steel layer and a nickel layer on the copper layer. Then, a tin-containing metal layer 36 having a thickness between 1 micrometer and 500 micrometers is formed on the diffusion barrier layer 34 in the photoresist layer opening 32a. The preferred thickness of the tin-containing metal layer 36 is 3 The micron to 250 micron, and the formation of the tin-containing metal layer 36 is, for example, electroplated, electroless plating or screen printing. In addition, the tin-containing metal layer 36 is, for example, a tin-lead alloy, a tin-silver alloy, a tin-silver 36 1376758 copper alloy or a lead-free alloy. Taking tin-lead alloy as an example, the tin/error ratio can be adjusted according to the demand. The more common tin-lead ratio is 90/10, 95/5, 97/3, 99/1, 37/63, etc. As can be seen from the above, the diffusion barrier layer 34 is under the tin-containing metal layer 36, and the diffusion barrier layer 34 includes, for example, a nickel layer having a thickness of between 0.1 μm and 5 μm under the tin-containing metal layer. And a copper layer having a thickness between 0.5 micrometers and 1 micrometer is below the nickel layer and the recording layer and the copper layer are tied above the second pad 16b. In addition, in this embodiment, a fresh film adhesion layer (not shown) may be formed on the diffusion barrier layer 34 to improve the bonding between the subsequent tin-containing metal layer and the diffusion barrier layer. The solder a &quot; know I material layer of the material such as gold, copper, tin, Weng σ, tin-silver alloy, kick silver alloy or error-free alloy. ° Refer to Figure 5F. - No, after forming the tin-containing metal layer 36, the first resist layer 32 is removed. Go ahead and take the exam with the adhesion/barrier layer 28.罝+ χ ^ 30 ”, the way to remove the adhesion/barrier layer 28 can be divided into dry etching and wet etching, but the burning knife is engraved, and in the wet name, the H ratio/high gas is used. The splashing shaft can be removed by using hydrogen peroxide (4). When the barrier layer 28 is titanium or a material alloy, please refer to the 5G image + 3β m for the re-freshing process to make the tin-containing metal layer 36 reach the melting point and $ The soldering process 'makes the tin-containing metal layer 36 advanced and removes the ball layer 30 and the adhesion/barrier layer 28 which are not under the tin-containing metal layer 36. ^ The process of reflowing is not carried out until the tin-containing metal layer 36 is connected to the external circuit of 37 1376758. The external circuit is, for example, a semiconductor wafer, a printed circuit board containing glass fiber, and a thickness a soft board of one polymer layer between 3Q micrometers and 200 micrometers, a substrate containing a ceramic material, or a 'pre-formed passive component, etc. Therefore, the present invention can be exposed to a portion of the opening 14a of the protective layer 14. 16 formed on the wire for bonding wires (such as gold wire or copper wire) a metal bump (such as a gold bump) for bonding an external circuit, a tin-containing metal layer for bonding an external circuit, or a φ-transparent conductive vine joint The metal interface of the external circuit is 84, and the metal layer 36 is formed on the pad 16 on which the metal pad 84 is not formed. Alternatively, the top of the metal pad 84 may include an adhesive film layer (not shown). For connecting the wire bonding wire, the adhesion film layer is, for example, a gold layer and before forming the tin-containing metal layer 36, a gold layer may be formed on the diffusion barrier layer 34, and then the tin-containing metal layer 36 is formed. In addition, the tin-containing metal layer 36 in this embodiment can also be replaced by a fresh material bump (so der bump). Referring to the 帛5H diagram, after the above process is completed, the semiconductor substrate 2 can be subsequently cut. φ to form a plurality of semiconductor wafers 86' each of which includes a semiconductor substrate 2, a wiring structure 6 'plural dielectric layer 12, a protective layer 14, at least one metal pad (10) and at least - tin-containing metal Layer 36, etc. Another 'plural swivel element 4 (such as a transistor or gold An oxide semiconductor or the like is located in or above the semiconductor substrate 2, and one of the semiconductor elements 4 is selectively positioned under the metal pad 84 or the tin-containing metal layer 36, and two of the semiconductor elements 4 The metal pads 84 and the tin-containing metal layer 36 are respectively electrically connected. Further, at the top of each of the semiconductor crystals 86, the protective layer 14 may be a monolithic compound layer or a nitrile compound layer β 38 1376758 per The semiconductor wafer 86 can be connected to an external circuit through the tin-containing metal layer 36. The external circuit can be a semiconductor wafer, a printed circuit board, a flexible board, a substrate containing a ceramic material or a passive component formed in advance. The printed circuit board contains glass. The fiber, and the soft board comprises a polymer layer having a thickness of between 3 micrometers and 200 micrometers, such as a polyimide. In addition, through the wire bonding process, one of the metal pads 86 of the semiconductor wafer 86 can be bonded to a wire (for example, a gold wire or a copper wire) to further connect an external circuit, and the external circuit can be a semiconductor wafer, a printed circuit board, or a soft a board, a substrate or a lead frame containing a ceramic material, wherein the printed circuit board contains glass fibers' and the soft board comprises a polymer layer having a thickness of between 30 micrometers and 200 micrometers. The polymer layer is, for example, a polymer. Yttrium. Furthermore, through the tape bonding technology, a metal pad 84 of a semiconductor wafer 86 can be bonded to an external circuit, wherein the external circuit can be a semiconductor wafer, a printed circuit board, a flexible board or A substrate comprising a ceramic material wherein the printed circuit board contains glass fibers, and the soft board comprises a polymer layer having a thickness of between 30 micrometers and 200 micrometers, such as a polyimide. In addition, the tape has at least one metal line and at least one polymer layer, and the metal line connects the metal pads 84, for example, by bonding the metal pads 84 via tin metal or tin-silver alloy. In addition, through the thermocompression bonding process, a metal pad 84 of a semiconductor wafer 86 can be pressed into the anisotropic conductive paste, and the metal particles located in the anisotropic conductive paste are gathered on the metal pad and one. An indium tin oxide-containing pad is formed between an external circuit (for example, a glass substrate) and a pad containing indium tin oxide electrically connected to the metal pad 84 and an external circuit.丨: This external circuit is, for example, a semiconductor wafer, a printed circuit board, a soft board containing a polymer layer having a thickness of between 30 μm and 2 μm, or a substrate containing a ceramic material. 39 1376758 In addition, a metal pad 84 of a semiconductor wafer 86 can be bonded to a metal bump (such as a gold bump) to connect an external circuit, which can be a semiconductor wafer, a printed circuit board, a glass substrate, or a soft board. Or a substrate comprising a ceramic material, wherein the printed circuit board contains glass fibers, and the soft board comprises a polymer layer having a thickness of between 30 micrometers and 200 micrometers, such as a polyimide. In addition, this embodiment can also connect an external circuit through the tin-containing metal layer 36 before cutting the semiconductor substrate 2. The external circuit can be a semiconductor wafer, a printed circuit board, a flexible board, or a base material containing a shouting material. A red-shaped element having a sharp-edged plate containing glass fibers, and the soft plate comprising a polymer layer having a thickness of between 30 micrometers and 200 micrometers, such as a poly-imine. Next, the semiconductor substrate 2 is diced to form a plurality of semiconductor wafers. Then, this embodiment can bond the wire bonding wires (using the wire bonding process) and the bonding tapes (using the tape bonding automatic bonding technology) to the metal tabs 84 of each semiconductor wafer 86 to engage metal bumps of an external circuit (for example, Gold bumps), a tin-containing metal layer bonded to an external circuit or an external circuit through an anisotropic conductive paste. Referring to FIG. 51, in this embodiment, a polymer layer 39' may be formed on the protective layer 14 and the polymer layer located in the polymer layer 39 is opened. a first interface 16a and a second interface 16b, and then forming a metal pad 84 on the first connection smear exposed by the polymer layer opening 39a in accordance with the winding step described in FIGS. 5A to 5h, and The formation of the tin-containing metal layer 36 is performed on the side of the polymer layer opening 39b, which is described in detail. == is selected from the group consisting of polyacrylonitrile, styrene butyl sulphate, polyurethane, epoxy resin, polyparaxylene polymer welding cap material, elastic material or porous dielectric material to form a polymer The layer 39 is formed in a manner different from the seaming method, and the thickness of the other polymer layer 39 is between 1 micrometer and 30 micrometers. The related application of the semiconductor wafer 86' shown in Fig. 51 is also referred to the above, and will not be repeated here. Furthermore, the present embodiment can also be applied to a reconfiguration line or a connection line as in the second embodiment. Please refer to FIG. 5J and FIG. 5K at the same time. In this embodiment, the Jinguan Road 42 which is the connecting line of the Jinxian Road 4 of the Kixian Road can be formed at 7 o'clock above the semiconductor substrate 2, and Please refer to the second implementation for the law. Then, according to the process steps of the fifth drawing to the sun riding, the metal pads 84 and the tin-containing metal layer 36 are formed on the metal lines 40 and 42 exposed by the polymer layer opening, please refer to the above. For related instructions, the description will not be repeated here. Further, the related applications of the semiconductor chip 87' shown in the fifth chip and the fifth chip shown in Fig. 5 are also referred to above, and will not be described here. However, in the above manner, the present invention can also form only the relocation line or the connection line above the semiconductor substrate 2, thereby forming the metal interface 84 and the tin-containing metal layer % on the relocation line or the connection line. • Fourth Embodiment: - Figure 6C is a metal bump (such as gold) on the wafer or crystal of the present invention that is bonded to the bonding wire, the bonding tape, and the bonding of an external circuit. A process profile diagram of a bump, a layer of a person, or a plurality of metal pads that are joined to an external circuit through an anisotropic conductive knee. "See Figure 6. An adhesive/barrier layer 18 having a thickness between 微米1 μm and 3 μm (preferably a thickness of 1376758 between 0.01 μm and 1 μm) is formed. On the protective layer 14 and the opening 16 exposed by the opening 14a. The material of the adhesive barrier layer 8 is selected from the group consisting of Qin, crane, record, lock, titanium nitride, titanium tungsten alloy, miscellaneous alloy, new At least one of a group consisting of nitrogen, copper, copper, chrome-copper alloy, gold, town, turn, handle, sputum, record, and silver, formed by sputtering or Evaporation method. Next, 'the formation of a copper layer 88 having a thickness between 005·005 micrometers to 2 micrometers (preferably having a thickness between 〇丨micrometers and 0.7 micrometers) is on the adhesion/barrier layer 18, The method of forming the copper layer 88 is, for example, sputtering, vapor deposition, physical vapor deposition, electroplating, or electroless plating. Referring to FIG. 6B, a photoresist layer 22 is formed on the copper layer 88. Then, the photoresist layer 22 is patterned through the exposure and the etch process to form the photoresist layer opening 22a in the photoresist layer 22 and exposed. 6 above the copper layer 88, and in the process of forming the photoresist layer opening 22a, for example, by exposure to a double exposure machine or scanner. Continue to form a thickness between 丨 micrometers to 祀 micrometers (better thickness system) A copper layer 9 between 8 microns and 35 microns is placed on the photoresist layer opening 2 to expose the copper layer 88, and the copper layer 90 is formed by electroplating or electroless plating. Forming a town layer 92 having a thickness between 微米1 μm and 1 μm (preferably having a thickness between 微米. [micron to 5 μm) on the copper layer 90, forming a nickel layer 92 The method is, for example, electric money or ... is electroless ore. Next, the thickness is formed between 0.01 μm and 1 μm (preferably the thickness is between 0·1 μm and 2 μm) - The gold layer 94 is on the recording layer 92, and the gold layer 94 is formed by electroplating or electroless plating. Referring to FIG. 6C, after the gold layer 94 is formed, the photoresist layer is subsequently removed. Continue, remove The copper layer 88 and the adhesion/barrier layer 18» which are not under the copper layer 90, the manner of removing the adhesion/resistance 42 i^/b758 -18 can be divided into Dry rotten and wet _, and silk engraving, for example, using high-money gas to splatter the butterfly's another wet side, if the adhesive barrier layer 18 is a Qin or Titanium alloy, it can be removed with hydrogen peroxide. Metal pads 96 are formed on the plurality of contacts 16 exposed by the plurality of openings 14a of the protective layer 14. These metal pads 96 are formed by an adhesion/barrier layer μ, a copper layer on the adhesion/barrier layer 18. The layer 88, a copper layer on the copper layer 88 is formed on the copper layer 一 a recording layer 92 and a gold layer 94 located on the recording layer 92 ±, and the metal interface % can be used for connection _ A wire-bonding wire (such as a gold wire), a metal bump that joins a tin-containing metal layer, and an external circuit is joined. Adhesive tape (using tape bonding technology) or an external electrode through an anisotropic conductive paste. Please refer to 帛6D diagram*, through the planting process, this embodiment can be bonded on the gold layer 94 of some metal pads 96 from i micro to 5 〇〇 micron (more) The tin-containing metal ball 98 is preferably between 3 micrometers and 250 micrometers thick, and then the tin-containing metal ball 98 is connected to an interface 95 of the external circuit 97 through a reflow process. Wherein, the external circuit is, for example, a semi-calling conductor chip, a printed circuit board containing glass fiber, a substrate containing a Tauman material, or a passive component such as a pre-formed shape; and a tin-containing metal ball 98 such as a tin-lead alloy, Tin-silver alloy, tin-silver-copper-gold or residual alloy. With the alloy paste, its tin/gauge can be visually demanded: #破破, the more common tin-lead ratio is 90/10 '95/5, 97/3, 99/1, 37/63 and so on. Alternatively, a tin-containing metal layer 98 may be formed in advance, and the gold layer of the tin-containing metal layer 98' is connected to the metal pad 96 by an external circuit 97, followed by a through-reflow process, so as to make a metal pad. 96 is connected to the external circuit 97 as shown in Fig. 6E. 43 1376758 Referring to FIG. 6F, after the above process is completed, the semiconductor substrate 2 can be subsequently cut to form a plurality of semiconductor crystals 99', wherein each semiconductor wafer 99 includes a semiconductor substrate 2, a line structure 6, and a plurality a dielectric layer 12, a protective layer 14 and a plurality of metal pads 96, etc., a plurality of semiconductor elements 4 (such as transistors or metal oxide semiconductors, etc.) are located in or above the semiconductor substrate 2, and wherein the semiconductor elements 4 are One of the selective locations is below one of these metal pads, and the semiconductor elements 4 are respectively connected to the metal pads 96. Further, in each of the semiconductor wafers 99, the top of the protective layer 14 may be a layer of a monolithic compound or a layer of a niobium compound. Therefore, each of the semiconductor wafers 99 may be bonded to a portion of the metal pads 96 by a tin-containing metal ball. 98 to connect an external circuit or to bond a tin-containing metal layer 98' to an external circuit. The external circuit may be a semiconductor. a wafer, a printed circuit board, a flexible board, a substrate containing a ceramic material, or a previously formed passive component, wherein the printed circuit board contains glass fibers, and the flexible board includes a polymer layer having a thickness of between 30 micrometers and 200 micrometers. The polymer layer is, for example, a polyimide. Or 'each semiconductor wafer 99 can be bonded to an external circuit on a portion of the metal pads 96. One of the metal bumps (eg, gold bumps)' may be a semiconductor wafer, a printed circuit board, a glass substrate, a soft board A substrate comprising a ceramic material or a previously formed passive component, wherein the printed circuit board contains glass fibers' and the flexible board comprises a polymer layer having a thickness between, such as a polyimide. In addition, through the wire bonding process, a portion of the metal pads 96 of the semiconductor wafer 99 can be bonded to a wire bonding wire 100 (such as a gold wire or a copper wire), thereby connecting an external circuit, and the external circuit can be a semiconductor wafer or a printed circuit. a board, a flexible board, a substrate or lead frame containing a ceramic material, wherein the 44 1376758 printed circuit board contains glass fibers, and the soft board comprises a polymer layer having a thickness of between 30 micrometers and 200 micrometers, such as a polymer layer such as It is a polyimine, as shown in Figure 6G. Moreover, through the tape bonding technology, a part of the metal interface 96 of the semiconductor chip 99 can be bonded to a tape, and then connected to an external circuit, wherein the external circuit can be a semiconductor wafer, a printed circuit board, a flexible board or A substrate of ceramic material in which the printed circuit board contains glass fibers, and the soft board comprises a polymer layer having a thickness of between 30 micrometers and 200 micrometers, such as a polyimide. Alternatively, the tape has at least one metal line and at least one polymer layer, and the metal-rubber line connects the metal pads 96, for example, by bonding the metal pads via tin metal or tin-silver alloy. Moreover, through the thermocompression bonding process, a metal pad 96 of a semiconductor wafer 99 can be pressed into the anisotropic conductive knee, and the metal particles located in the anisotropic conductive paste are concentrated on the metal interface. • An external circuit (such as a glass substrate) containing a pad of indium tin oxide between the metal pads 96 and an external circuit containing indium tin oxide pads. Further, the external circuit is, for example, a germanium semiconductor wafer, a printed circuit board, a flexible board containing a polymer layer having a thickness of between 2 and 10, or a substrate containing a ceramic material. • As can be seen from the above, the present embodiment has ten embodiments as described below: - Bonding on a portion of the metal interface 96 of a semiconductor wafer 99 - tin-containing metal ball 98 or tin-containing metal layer 98 And connected to the external circuit, and a wire bonding wire is bonded to the metal pad 96 of the uncoated tin metal ball 98 or the tin-containing metal layer 98. - a tin-containing metal ball (10) or a tin-containing metal layer 98' is bonded to a portion of the metal pads 96 of the semiconductor wafer 99 to be connected to an external circuit, and the tin-containing metal ball 98 or the tin-containing metal layer 98 is not bonded. The metal is connected with a tape on the shame. 45 1376758 Three 'bonding a tin-containing metal ball 98 or a tin-containing metal layer 98 to a portion of the metal pad 96 of the semiconductor wafer 99, and being connected to an external circuit, and not bonding the tin-containing metal ball 98 or the tin-containing metal The layer 98, the metal pad 96 is bonded to an external circuit through the anisotropic conductive paste. 4. Bonding-bonding to a portion of the metal pads 96 of the semiconductor wafer 99 and attaching to the metal portion of the bonding pad and bonding an external circuit through the anisotropic conductive paste on the metal interface 96 of the unbonded tape. • 5. Bonding-bonding a portion of the metal pads 96 of the semiconductor wafer 99 to an external circuit and bonding a wire conductor to the metal pads 96 of the unbonded tape. • A bonding of a wire conductor to a portion of the metal interface 96 of the semiconductor wafer 99 and bonding of an external circuit through the anisotropic conductive paste on the metal 96 of the unbonded wire conductor. 7. A metal bump of an external circuit is bonded to a portion of the metal pads 96 of the semiconductor wafer 99, and a wire bond is bonded to the metal pads 96 that are not bonded to the metal bumps. • A metal bump of an external circuit is bonded to a portion of the metal pads 96 of the semiconductor wafer 99, and a tape is bonded to the metal pads 96 that are not bonded to the metal bumps. 9. Bonding a metal bump of an external circuit to a portion of the metal pad 96 of the semiconductor wafer, and bonding a tin-containing metal ball 98 or a tin-containing metal layer to the metal pad 96 on which the metal bump is not bonded. 98', 10. A metal bump of an external circuit is bonded to a portion of the metal pad 96 of the semiconductor wafer 99, and the anisotropic conductive 46 1376758 is bonded to the metal pad 96 of the unbonded metal bump. An external circuit. In addition, this embodiment can also be connected to an external circuit by bonding a tin-containing metal ball 98, a tin-containing metal layer 98, or a metal bump after cutting the semiconductor substrate 2. The external circuit can be a semiconductor wafer or a printed circuit board. a flexible board, a glass substrate, a substrate containing a ceramic material, or a previously formed passive component, wherein the printed circuit board contains glass fibers, and the flexible board comprises a polymer layer having a thickness of between 30 micrometers and 200 micrometers. The layer is, for example, a heteroimine. Finally, the present invention can be used to bond the wire bonding wires on the metal pads 96 of the semiconductor wafer which do not form the tin-containing metal balls 98, the tin-containing metal layers 98' or the metal bumps (using the bonding process bonding tape) With automatic bonding technology) or by anisotropic conductive adhesive bonding - external circuit.. Therefore, the invention can be formed on the _ exposed by the opening of the protective layer to bond the tin-containing metal ball, the lining - External circuit - tin-plated gold, metal wire for bonding wire (such as gold wire), for bonding tape, for bonding metal bumps or for bonding an external circuit through an anisotropic conductive paste » see As shown in FIG. 6H, in this embodiment, a polymer layer 39' can be formed on the protective layer 14 and the plurality of polymer layer openings 39a in the polymer layer 39 expose the plurality of pads 16, and then 6A to 6C, in the process of forming a metal interface 96 on the exposed surface of the polymer layer opening, please refer to the above description for details. , polymer layer 39 is selected from Juanya , phenylcyclobutylene, polyurethane, epoxy wax, polydimethylene polymer, material, miscellaneous material or porous dielectric material - in the form of polymer layer 39 in addition to spin coating In addition to the method, it can also be used by hot pressing, film or screen printing, and the thickness of the polymer | 39 is between 47 1376758 and μm to 3 μm. The material shown in Fig. 6H For related applications of the chip 99a, please refer to the above description, and the present embodiment will not be described here. Furthermore, the embodiment can also be applied to the reconfiguration line or the connection line as in the second embodiment. Please refer to FIG. 61 at the same time.帛6J riding, this solid complement can be formed on the semiconductor substrate 2 at the same time as the metal line 4〇 of the reconfiguration line and the metal line as the connection line, and the method of forming please refer to the second implementation. Then, according to the 6A The preparation step described in the figure to Fig. 6c forms a metal junction 96 on the metal lines 4〇, φ 42 exposed by the polymer layer opening 60a. For the content of P, please refer to the above related description, and no longer Detailed description. In addition, regarding the 61st map The semiconductor wafer 99b and the semiconductor wafer 99 shown in FIG. 6J are also related to the application. Please refer to the above, and the description will not be repeated here. However, the present invention can also be used on the semiconductor substrate 2 by the above method. Only the reconfiguration line or the connection line is formed, and the metal pad 96 is formed on the reconfiguration line or the connection line. Fifth Embodiment: 0 Refer to FIG. 7A, after completing the process steps shown in FIG. 2A Then, a photoresist layer 100 is formed on the seed layer 20, and the photoresist layer 1 is patterned through an exposure and development process to form a photoresist layer opening 1〇〇3 in the photoresist layer 1〇〇 and exposed. The seed layer 20' located above the first pad 16a is exposed during the process of forming the photoresist layer opening i〇〇a, for example, by a double exposure machine or scanner. Further, a metal layer 102 having a thickness between 1 micrometer and 200 micrometers (for example, between 1 micrometer and 50 micrometers) is formed on the seed layer 2〇 exposed by the photoresist layer opening iOOa, the metal layer 48 1376758 The preferred thickness of 102 is between 2 microns and 3 microns, and the manner in which the metal layer 1〇2 is formed is, for example, an electric clock or electroless plating. In addition, the metal layer 1〇2 may be a single-layer metal layer structure of gold, copper, silver, palladium, platinum, erbium, ytterbium, ytterbium or nickel, or a composite layer composed of the above-mentioned metal materials. For example, 'the metal layer 1 〇 2 may be a gold layer formed by electroplating between 8 micrometers and 35 micrometers thick or a thickness formed by electroplating between 8 micrometers and 35 micrometers. Copper layer. Moreover, the manner of forming the metal layer 1〇2 is, for example, by plating thickness between 8 micrometers and 35 degrees.

微来之間的-_在•是_種子層20上,接著紐厚度介於〇. 1微米 至10微米之間(較佳厚度係介於〇1微求至5微米之間)的一錄層在該銅層 上,最後電轉纟介於〇. 01微来至1〇微米之間(較佳厚度係介於〇.丄微求 至2微米之間)的—金層在該鎳層上。 請參閱第7B圖所示,在形成金屬層1〇2之後,接著去除光阻層1〇〇。 繼續’形成-光阻層104在種子層2〇上與金屬層1〇2上,再來透過曝光與 顯影製程咖味阻層104,以形成光阻層開口施在植層⑽内並暴 露出位在第二接塾16b上方的種子層2G,而在形成光阻層開口馳的過程 中比如是以-倍之曝光機或掃描機進行曝光。接下來,形成厚度介於工微 米至20微米之間(較佳厚度係介於2微米至1〇微米之間)的一金屬層⑽ 在光阻層開π 所編的種子層2Q上,而形成金屬層⑽的方式比 如是電鑛或者是無電電鍍。另,金屬層伽可以是金、銅、銀、把、麵、 姥、舒'銖或錄之單層竭結構,或是由场金_所喊的複价 例如,此金屬層m可以是以電财式所形成之厚度介於丨微米至2〇微米 之間的一金層或是以紐方式所臟之厚度介於丨微歧如姆之間的一 49 又域此金屬層106的方式比如是藉由電财度介於i微米至还 •=的—麵在例如是_種子㈣上,_财度介於G. i微米 微米之間的—麵在此_上’最後f麟度條〇· 01财至3微米 ’的金層在此錄層上。因此,金屬層ι〇2與金屬層⑽可以是下列所 述之四種結構: 金屬層102與金屬層1Q6均為前述之單—金層結構。 金屬層102與金屬層服均為前述之銅/鎳/金結構。 籲 金屬層1〇2為前述之單一金層結構,而金屬層為前述之銅/錄/金 結構。 ’ 四金屬層102為前述之銅/錄/金結構’而金屬層106為前述之單一金層 結構。 *請參閲第7C _示,在形成金屬層⑽之後,接著去除光阻層104。 繼、只去除未在金屬層1〇2與金屬層1〇6下方的種子層2〇與黏著/阻障層 18。其中’去除轉/轉層18的方式可分絲侧及濕侧,乾银刻比 鲁如是使肖高麗氬氣進行轉侧’另在脑刻方面,若黏著/阻障層ls為 鈦或鈦鎢合金時’可使用雙氧水進行去除。此外,若種子層2〇為金時,可 • 利用含有碘之银刻液(例如碘化鉀等蝕刻液)進行去除。 因此,金屬接墊108與金屬接墊110分別形成在保護層14之開口 Ua 所暴露出的第一接塾16a與第二接墊16b上。其中,金屬接塾⑽係由一 黏著/阻障層18、位在黏著/阻障詹18上的一種子層2〇與位在種子層罚上 的金屬層102所構成,而此金屬接塾⑽可以接合一打線導線(如金線或 50 1376758 銅線)、接合一外部電路之一金屬凸塊(如金凸塊)、接合一外部電路之一含 錫金屬層、接合一含錫金屬球(利用植球製程)、接合一貼帶(利用貼帶自動 接合技術)或是透過異方性導電膠接合一外部電路;另,金屬接墊ιι〇係由 • 一黏著/阻騎18、位在黏著/阻障層18上的—種子層2()與位在種子層2〇 ‘上的-金屬層106所構成,而此金屬接墊110可以接合一打線導線(如金線 或銅線)、接合-外部電路之-金屬凸塊(如金凸塊)、接合一外部電路之一 含錫金屬層、接合-含錫金屬球(_植球餘)、接合—貼帶(獅貼帶自 φ 動接合技術)或是透過異方性導電膠接合一外部電路。 渐,當金屬接塾110 _打線製程接合一打線導線(如金線或銅線) •時,金屬接墊⑽利用貼帶自動接合技術接合一貼帶。或是,當金屬接塾 110利用打線製程接合-打線導線(如金線或銅線)時,金屬接墊1〇8亦利用 打線製程接合-打線如金線或銅線)。或是,當金屬接塾ιι〇利用打 線製程接合—打線導線(如金線或銅線)時,金屬接塾108接合-外部電路 之金屬凸塊(如金凸塊)。或是,當金屬接墊11〇利用打線製程接合一打 •線導線(如金線或銅線)時,金屬接塾1〇8接合-外部電路之-含錫金屬層 •或-含錫金屬球。或是,當金屬接墊110 _打線製程接合一打線導線(如 .金線或鋼線)時’金屬接墊108透過異方性導電膠接合一外部電路。 例如,當金屬接墊110接合一外部電路之一金屬凸塊(如金凸塊)時, 金屬轉108利用貼帶自動接合技術接合一貼帶。或是,當金屬接墊11〇 接合-外部電路之-金屬&amp;塊(如金凸塊)時,金屬接塾1〇8利用打線製程 接合-打線導線(如金線或銅線)。或是,當金屬接塾11〇接合一外部電路 51 之金屬&amp;塊(如金凸塊)時,金屬接墊108亦接合一外部電路之—金屬凸 塊(如金凸塊)或疋’當金屬接墊m接合一外部電路之一金屬凸塊(如金 凸麟,金屬接塾m接合一外部電路之—含錫金屬層或一含锡金屬球。 或是,當金屬 11G接合—外部電路之—金屬凸塊(如金_時全屬 接塾1G8透過異方性導舞接合_外部電路。 例如,當金屬接㈣〇接合—外部電路之—含錫金屬層或—含锡金屬 球時,金屬接墊1()8接合—外部電路之一金屬凸塊(如金凸塊)。或是,當 鲁金屬.接墊110接合-外部電路之一含錫金屬層或一含錫金屬球時,金屬接 塾.108利用貼帶自動接合技術接合一貼帶。或是,當金屬接㈣〇接人一 .外部電路之一含錫金屬層或-含錫金屬棒金屬接塾1〇8利用打線餘 •接合—打線導線(如金線或銅線)。或是,當金屬接塾11〇接合—外部電路 之-含錫金麟或-含錫金射時,.金屬接墊⑽亦接合—外部電路之一 含錫金屬層或-含錫金屬球。或是,當金屬接墊11〇接合一外部電路之一 含錫金屬層或-含錫金屬料,金屬接墊⑽透過異方性導電膠接合一外 φ 部電路。 .· 例如,金屬接墊108利用打線製程接合一打線導線(如金線或鋼線)時, 、姻接墊110彻貼帶自動接合技術接合—貼帶。或是,金屬接塾継利 用打線製程接合-打線導線(如金線或鋼線)時,金屬接墊110亦利用打線 製程接合-打線導線(如金線或銅線)。或是,金屬接墊⑽利用打線製程 接合一打線導線(如金線或銅線)時,金屬接墊110接合一外部電路之一金 屬凸塊(如金凸塊)。或是’金屬接墊108利用打線製程接合一打線導線(如 52 1376758 金線或銅線)時,金屬接墊110接合一外部電路之一含錫金屬層或一含錫金 屬球。或是’金屬接塾108侧打線製程接合一打線導線(如金線或銅線) 時’金屬接墊110透過異方性導電膠接合一外部電路。Between the micro- and the _ seed layer 20, then the thickness of the ridge is between 1 μm and 10 μm (the preferred thickness is between 〇1 and 5 μm) The layer is on the copper layer, and finally the electroconductive layer is between 微. 01 micro to 1 〇 micron (preferably, the thickness is between 〇. 丄 to 2 micrometers) - the gold layer is on the nickel layer . Referring to FIG. 7B, after the metal layer 1〇2 is formed, the photoresist layer 1〇〇 is subsequently removed. Continuing to form a photoresist layer 104 on the seed layer 2 and the metal layer 1 2, and then through the exposure and development process, the photoresist layer 104 is formed to form a photoresist layer opening in the implant layer (10) and exposed to The second layer 16b is over the seed layer 2G, and during the process of forming the photoresist layer, the exposure is performed, for example, by a double exposure machine or a scanner. Next, a metal layer (10) having a thickness of between micrometers and 20 micrometers (preferably having a thickness of between 2 micrometers and 1 micrometer) is formed on the seed layer 2Q of the photoresist layer π. The manner in which the metal layer (10) is formed is, for example, electrowinning or electroless plating. In addition, the metal layer gamma may be gold, copper, silver, handle, face, 姥, 舒' 铢 or recorded a single layer of exhausted structure, or the price of the gold yelled, for example, the metal layer m may be The form of a gold layer formed by the electric energy type between 丨 micrometers and 2 〇 micrometers or the manner in which the thickness of the dirty layer is between the micro-discrimination and the metal layer 106 For example, if the electricity is between i micrometers and still ==, the surface is, for example, _seed (four), and the _ wealth is between G.i micrometers and micrometers. The gold layer of the strip from 01 to 3 microns is on this layer. Therefore, the metal layer ι 2 and the metal layer (10) may be of the following four structures: The metal layer 102 and the metal layer 1Q6 are both the aforementioned single-gold layer structure. Both the metal layer 102 and the metal layer are both of the aforementioned copper/nickel/gold structures. The metal layer 1〇2 is the single gold layer structure described above, and the metal layer is the aforementioned copper/record/gold structure. The 'four metal layer 102 is the aforementioned copper/recording/gold structure' and the metal layer 106 is of the single gold layer structure described above. * Referring to FIG. 7C_, after forming the metal layer (10), the photoresist layer 104 is subsequently removed. Next, only the seed layer 2〇 and the adhesion/barrier layer 18 which are not under the metal layer 1〇2 and the metal layer 1〇6 are removed. Among them, the method of removing the transfer/transfer layer 18 can be divided into the side of the wire and the side of the wet side. The dry silver engraving is better than that of the argon gas of the sorghum, and the other side of the brain is engraved. If the adhesion/barrier layer ls is titanium or titanium When tungsten alloy is used, it can be removed by using hydrogen peroxide. Further, when the seed layer 2 is gold, it can be removed by using an etchant containing iodine (for example, an etching solution such as potassium iodide). Therefore, the metal pads 108 and the metal pads 110 are respectively formed on the first and second pads 16a and 16b exposed by the opening Ua of the protective layer 14. Wherein, the metal interface (10) is composed of an adhesion/barrier layer 18, a sub-layer 2〇 on the adhesion/barrier 18, and a metal layer 102 on the seed layer, and the metal interface (10) It is possible to bond a wire conductor (such as a gold wire or 50 1376758 copper wire), a metal bump (such as a gold bump) bonded to an external circuit, a tin metal layer bonded to an external circuit, and a tin-containing metal ball. (Using a ball-planting process), joining a tape (using tape bonding technology) or joining an external circuit through an anisotropic conductive adhesive; in addition, the metal pad is made by a glue/resistance 18 The seed layer 2 () on the adhesion/barrier layer 18 is formed with a metal layer 106 on the seed layer 2', and the metal pad 110 can be bonded to a wire (such as a gold wire or a copper wire). ), bonding - external circuit - metal bumps (such as gold bumps), bonding one of the external circuits containing a tin metal layer, bonding - tin metal balls (_ ball), bonding - tape (lion tape) From the φ dynamic bonding technique) or through an anisotropic conductive paste to bond an external circuit. Gradually, when the metal interface 110 _ wire bonding process engages a wire conductor (such as gold wire or copper wire), the metal pad (10) uses a tape bonding technology to join a tape. Alternatively, when the metal interface 110 is bonded by a wire bonding process (such as a gold wire or a copper wire), the metal pads 1〇8 are also joined by a wire bonding process such as a gold wire or a copper wire. Alternatively, when the metal connection 塾ιι〇 is bonded by a wire bonding process (such as a gold wire or a copper wire), the metal interface 108 is bonded to a metal bump of an external circuit (such as a gold bump). Or, when the metal pad 11〇 is bonded by a wire bonding process to a dozen wire conductors (such as gold wire or copper wire), the metal connector 1〇8 is bonded - the external circuit - the tin-containing metal layer or the - tin-containing metal ball. Alternatively, when the metal pad 110 _ wire bonding process engages a wire conductor (such as a gold wire or a steel wire), the metal pad 108 is bonded to an external circuit through the anisotropic conductive paste. For example, when the metal pad 110 engages a metal bump (such as a gold bump) of an external circuit, the metal turn 108 engages a tape using tape-bonding automated bonding techniques. Alternatively, when the metal pads 11 接合 are bonded to the metal &amp; block (such as gold bumps) of the external circuit, the metal contacts 1 〇 8 are bonded by a wire bonding process (such as a gold wire or a copper wire). Alternatively, when the metal interface 11 is bonded to a metal &amp; block (such as a gold bump) of an external circuit 51, the metal pad 108 also engages an external circuit - a metal bump (such as a gold bump) or a bump. When the metal pad m is bonded to a metal bump of an external circuit (such as a gold bump, the metal interface is bonded to an external circuit - a tin-containing metal layer or a tin-containing metal ball. Or, when the metal 11G is bonded - an external circuit - Metal bumps (such as gold _ when all 塾 1G8 through the anisotropic joint dance _ external circuit. For example, when the metal is connected (four) 〇 joint - external circuit - tin metal layer or - tin metal ball, Metal pad 1 () 8 is bonded - one of the external circuit metal bumps (such as gold bumps). Or, when the Lu metal. pad 110 is bonded - one of the external circuits contains a tin metal layer or a tin-containing metal ball , metal joints. 108 use the tape automatic bonding technology to join a tape. Or, when the metal is connected (four) to connect one. One of the external circuits contains a tin metal layer or a tin-containing metal bar metal interface 1〇8 utilization Wire-to-wire • Bonding—wire wire (such as gold wire or copper wire). Or, when metal joints are joined - External circuit - When tin-containing or bismuth-containing gold, the metal pad (10) is also bonded - one of the external circuits contains a tin metal layer or a tin-containing metal ball. Or, when the metal pad 11 is bonded to an external One of the circuits contains a tin metal layer or a tin-containing metal material, and the metal pads (10) are bonded to the outer φ portion circuit through the anisotropic conductive paste. For example, the metal pads 108 are bonded by a wire bonding process to a wire conductor (such as a gold wire). Or steel wire), the mating mat 110 is attached with an automatic joining technique-bonding tape, or when the metal joint is made by a wire bonding process-wire bonding wire (such as a gold wire or a steel wire), the metal pad 110 The wire bonding process is also used to bond a wire (such as a gold wire or a copper wire). Alternatively, when the metal pad (10) is bonded to a wire conductor (such as a gold wire or a copper wire) by a wire bonding process, the metal pad 110 is bonded to an external circuit. a metal bump (such as a gold bump) or a metal pad 108 bonding a wire conductor (such as 52 1376758 gold wire or copper wire) by a wire bonding process, the metal pad 110 bonding one of the external circuits containing tin metal Layer or a tin-containing metal ball. Or 'metal joint 108 side hit When the wire process is bonded to a wire conductor such as a gold wire or a copper wire, the metal pad 110 is bonded to an external circuit through the anisotropic conductive paste.

例如,金屬接墊108接合一外部電路之-金屬凸塊(如金凸塊)時,金 屬接墊no利用貼帶自動接合技術接合—貼帶。或是,金屬接墊1〇8接合 -外部電路之-金屬凸塊(如金凸塊)時,金屬接塾11〇利用打線製程接合 -打線導線(如金線或銅線)。或是,金屬接墊1〇8接合一外部電路之一金 屬凸塊(如金凸塊)時,金屬接墊110雜合一外部電路之—金屬凸塊(如金 凸塊)。或是,金屬接塾108接合-外部電路之一金屬凸塊(如金凸塊)時, 金屬接塾11G接合-外部電路之—含錫金屬層或—含錫金屬球。或是,金 屬接墊108接合-外部電路之一金屬凸塊(如金凸塊)時,金屬接塾⑽透 過異方性導電谬接合一外部電路。 口丨电吩i一各錫金屬層或一含錫金屬j 時,金屬接塾接合-外部電路之—金屬凸塊(如金凸塊)。或是,儀 接墊⑽接合-外部電路之—含錫金屬層或—含錫金屬球時,金屬接塾Η 利賴帶自動接合技術接合一貼帶。或是,金顯請接合—外部電與 之-含錫金屬層或一含錫金屬球時,金屬接塾ιι〇利用打線製程接合—打 線導線(如金_線)。或是,金屬接塾⑽接合一外部電路之^ 屬層或-含錫麵料,金顯墊nG亦接合—物電路之—麵金屬層 .或-含錫峨ϋ編⑽接合,恢—麵金 -含錫金屬球時,金屬接墊η_異方性導謂接合,電路。曰^ 53 1376758 又,以金屬績竭物之概峨❹地金屬魏 與金屬層1〇6的四種結構,其頂層均為金層),當金屬接塾ιι〇之金屬層⑽ 利用打線製程接合-金線時,金屬接請之金屬請可舰帶自動 接合技術接合-貼帶。或是,當金屬接墊11〇.之金屬層⑽利用打線製程 接合一金線時,金屬接塾⑽之金屬層1〇2亦可利用打線製程接合一金線。 或是’當金屬接墊11G之金屬層⑽連接—外部電路之—金屬崎如全凸 綱,金屬接議之金屬層⑽侧貼帶自動接合技術接合一貼帶。 或是’當金屬接墊11G之金屬層⑽接合—外部電路之—金屬凸塊(如金凸 塊)時,金屬接墊之金屬層102可利用打線製程接合一金線。或是,者 金屬接墊m之金屬層⑽接合—外部電路之一金屬凸塊(如金凸塊)時, 金屬接墊108之金屬請亦可接合—外部電路之—金屬凸塊(如金凸塊)。 因此,本實施例可在保護層之開口所暴露出的接塾上分別形成用於接 合打線導線、接合貼帶、接合_外部電路之金屬凸塊、接合—外部電路之 含錫金屬層、接合含齡;|球或是透過異綠導電雜合转電路之兩種 不同厚度的金屬接塾⑽與金屬難11〇。此外,金屬接墊⑽與金屬接塾 110的頂部亦可包括-沾附臈層(圖中未示),用於連接打線導線(如金線), 而此沾附膜層比如為金層。 請參閱第7D圓所示,於完成上述製程後,接著可切割半導體基底2, 以形成複數半導體晶&gt;1 112’其中每—轉體晶片112她括有—半導體基 底 線路、構6、複數介電層12、-保護層η、至少-金屬接墊1〇8 與至ν金屬接塾110等。另’複數半導體元件4(例如電晶體或金屬氧化 54 1376758 物半導體等)位在醉導縣底2喊上方,且這鲜報元件4的其中之 —選擇性位在金屬接塾1()8或金屬接墊11Q的下方,又這些半導體元件4 的其中之二分別電性連接金屬接墊1〇8及金屬接墊ιι〇。此外,在每一半導 體晶片112中’保護層14的頂部可為一氧石夕化合物層或是一氮魏合物層。 - 每—半導體晶片112均可透過金屬接塾1〇8與金屬接墊no連接外部 電路,此外部電路可以是半導體晶片、印刷電路板、軟板、含有陶竞材料 之基板、《基減事絲紅軸元件,其巾#稱絲含有玻璃纖維, • 而軟板包括厚度介於30微米至2〇〇微米之間的-聚合物層,此聚合物層比 如疋聚醯亞胺。而連接方式包括:—、透過打線製程形成打線導線(例如金 線或銅線)接合一半導體晶片之金屬接墊,進而與一外部電路連接;二、透 過貼帶自動接合技術接合—貼帶至—半導體晶片之金扃接墊,進而與一外 4電路連接’其巾趙帶具有至少—金躲路與至少—聚合制,且金屬 線路連接金屬接墊,例如經由錫金屬或錫銀合金接合金屬接墊;三、利用 一金屬凸塊(如金凸塊)接合一半導體晶片之金屬接墊 ,進而與一外部電路 籲連接;四、透過熱整合製程,使一半導體晶片之金屬接塾壓入到異方性導 •電膠中’讓位在異方性導電膝内的金屬粒子聚集在金屬接墊與-外部電路 •(比如是玻璃基板)之含有銦錫氧化物的一接墊之間,藉以電性連接金屬接 塾與外部電路之含有銦錫氧化物的接墊;五、利用含錫金屬層或含錫金屬 球接合一半導體晶片之金屬接墊,進而與一外部電路連接。 另’本實施例亦可在切割半導體基底2之前,透過金屬接墊 110接合含錫金屬層、含錫金屬球或金屬凸塊(如金凸塊),進而連接一外部 55 1376758 電路’此外部電路可以是半導體晶片、印刷電路板、軟板、玻璃基板、含 有陶瓷材料之基板或事先形成之被動元件.,其十印刷電路板含有玻璃纖 維’而軟板包括厚度介於30微米至200微米之間的一聚合物層,此聚合物 層比如是聚醯亞胺。接著,進行半導體基底2切割,以形成複數半導體晶 片。之後’本實施例可在每一半導體晶片之金屬接墊108上接合打線導線(利 用打線製程)、接合貼帶(利用貼帶自動接合技術)或是透過異方性導電膠接 合一外部電路》 籲 請參閱第7E圖所示’本實施例亦可先在保護層14上形成一聚合物層 39,且位在聚合物層39狀聚合物層開〇 3知與聚合物層開口姗分別暴 .露出第一接墊16a與苐二接墊i6b,接著依照第7A圖至第7D圖所述之製程 步驟’形成金屬接墊108在聚合物層開口 39a所暴露出之第一接塾版上, 以及形成金屬接塾110在聚合物層開σ識所暴露出之第二接塾刷上, 相關内容請參閱上述說日月,在此不再詳加敘述。其中,聚合物層⑽係選自 聚酿亞胺、苯基環丁烯、聚氨脂、環氧樹脂、聚對二甲苯類高分子、焊罩 • '材料、彈性材料或多孔性介電材料其中之一,而形成聚合物層洲的方式除 .•了旋塗方式之外,亦可利用熱壓合乾膜方式或網版印刷方式,另聚合物層 .39的厚度係介於1微米至30微米之間。有關第7E圖所示之半導體晶片ma 的相關應财請細上勒容,於此亦不再舰。 此外’本實施例亦可如苐二實施例般應用在重配置線路或連接線路 上。請同時參閲第7F圖與第7G圖所示,本實施例可在半導體基底2上方 同時形成作為重配置線路之金屬線路4〇與作為連接線路的金屬線路必而 56 1376758 形成方法請參閱第二實施相關内容。接著,依照第7A圖至第兀圖所述之 製程步驟,形成金屬接墊108與金屬接墊110在聚合物層開口 6〇a所暴露 出之金屬線路40、42上,相關内容請參閱上述說明,在此不再詳加敘述。 另’有關第7F圖所示之半導體晶片112b及第7G圖所示之半導體晶片U2c 的相關應財請參耻勒容,於此亦不再敘述。惟,本發明亦可藉由上 述之方式’於半導體基底2上方僅形成有重配置線路或連接線路,進而於 重配置線路或連接線路上形成金屬接墊108與金屬接墊11〇。 第六實施例: :請參閱第8A圖所示,在完成第2A圖所示之製程步驟後,接著形成一 光阻層114在種子層20上,並透過曝光與顯影製程圖案化光阻層114,以 形成光阻層開口 114a在光阻層114内並暴露出位在接墊16上方的種子層 2〇,而在形成光阻層開口 114a的過程中比如是以一倍之曝光機.或掃描機進 行曝光。 再來’形成厚度介於1微米至200微米之間(例如1微米至5〇微米之 間)的一金屬層116在光阻層開口 n4a所暴露出的種子層2〇上此金屬層 116的較佳厚度係介於2微米至30微米之間,.而形成金屬層116的方式比 如是電鍍或者是無電電鍍。另,金屬層116可以是金、鋼、銀、鈀、鉑、 錄、釕、鍊或鎳之單層金屬層結構,或是由上述金屬材質所組成的複合層。 例如,此金屬層116可以是以電鍍方式所形成之厚度介於8微米至35微米 之間的一金層或是以電鍍方式所形成之厚度介於8微米至35微米之間的一 57 1376758 銅層。或者’形成此金屬層116的方式比如是藉由電鍍厚度介於8微米至 35微米之間的一銅層在例如是銅的種子層2〇上,接著電鑛厚度介於〇丨微 米至10微米之間(較佳厚度係介於〇. 1微米至5微米之間)的一鎳層在銅層 - 上,·最後電鍵厚度介於〇. 〇1微米至10微米之間(較佳厚度係介於oj微米 . 至2微米之間)的一金層在錄層上。 請參閱第8B圖所示’在形成金屬層ι16之後,接著去除光阻層114。 繼續,去除未在金屬層Π6下方的種子層2〇與黏著/阻障層18。其中,去 鲁 除黏著/阻障層18的方式可分為乾银刻及濕蝕刻,而乾钱刻比如是使用高 壓氬氣進行濺擊银刻,另在濕蝕刻方面,當黏著/阻障層18為鈦或鈦鎢合 ^ 金時,可使用雙氧水進行去除。此外,若種子層2〇為金時,可利用含有碘 之钱刻液(例如破化鉀等蝕刻液)進行去除。 因此,相同厚度的複數金屬接墊118形成在保護層14之複數開口 所暴露出的複數接墊16上。其中,金屬接墊118係由一黏著/阻障層18、 位在黏著/阻障層18上的一種子層2〇與位在種子層2〇上的一金屬層ιΐ6 • 所構成’且金屬接墊118可以接合打線導線(如金線或銅線)、接合一外部 電路之金屬凸塊(如金凸塊)、接合-外部電路之含錫金屬層、接合含錫金 屬球、接合貼帶(利用貼帶自動接合技術)或是透過異方性導電膠接合一外 部電路。 例如’當部份金屬接塾118利用打線製程接合一打線導線(如金線或鋼 線)時,其餘金屬接塾188利用貼帶自動接合技術接合一貼帶。或是,當部 份金屬接墊118糊打線製程接合—打縣線(如金線或銅線)時,其餘金 58 ^/6758 屬接墊118接合-外部電路之一金屬凸塊(如金凸塊)。或是,當部份金屬 接塾118 $用打線製程接合-打線導線(如金線或銅線)時,其餘金屬接墊 接含踢金屬球或-外部電路之-含錫金屬層。或是,當部份金屬接 •塾118利用打線製程接合-打線導線(如金線或銅線)時,其餘金屬接塾118 - 透過異方性導電膠接合一外部電路。 例如,當部份金屬接墊118接合一外部電路之一金屬凸塊(如金凸塊) 時’其餘金屬接墊118利用貼帶自動接合技術接合一貼帶。或是當部份 鲁金屬接塾118接合—外部電路之-金屬凸塊(如金凸塊)時,其餘金屬接塾 118利用打線製雜合—打線導線(如金線或銅線卜或是,當部份金屬接塾 * 118接合—外部電路之-金屬凸塊(如金凸塊)時,其餘金屬接墊118接合- .3錫金屬球或一外部電路之-含錫金屬層。或是,當部份金屬接塾118接 °外#電路之一金屬凸塊(如金凸塊)時,其餘金屬接墊118透過異方性 導電膠接洽^一外部電路。 U如田。卩伤金屬接墊118接合一含錫金屬球或一外部電路之一含錫 鲁金屬層時’其餘金屬接塾118接合-外部電路之-金屬凸塊(如金凸塊)。 .$疋田。卩伤金屬接塾118接合一含錫金屬球或一外部電路之一含錫金屬 .層時其餘金屬接堅118利用貼帶自動接合技術接合一貼帶。或是,當部 伤金屬接塾118接合一含錫金屬球或-外部電路之-含錫金眉層時,其餘 金屬接塾118彻打線製程接合一打線導線(如金線_線)。或是,當部 伤金屬接塾118接合一含錫金屬球或-外部電路之-含錫金屬層時,其餘 金屬_ 118透過異方性導電膠接合一外部電路。 59 1376758 又,以金屬層116之頂層是金層為例(如上述之單 鎳/金結構,苴頂屏始盘aa、^ 構或疋銅/ ’、曰=…曰),本實施例可使所有或部份金屬接塾瓜之 金屬層116接合一外部電路_ π电路之金凸塊,或疋利用打線製程 份金屬齡118之蝴116接合—金線。 所有或部For example, when the metal pad 108 is bonded to a metal bump (such as a gold bump) of an external circuit, the metal pad no is bonded using a tape-bonding technique. Alternatively, when the metal pads 1 〇 8 are bonded - the metal bumps (such as gold bumps) of the external circuit, the metal contacts 11 〇 are bonded by a wire bonding process - a wire wire (such as a gold wire or a copper wire). Alternatively, when the metal pads 1 〇 8 are bonded to a metal bump (such as a gold bump) of an external circuit, the metal pad 110 is hybridized with a metal bump (such as a gold bump) of an external circuit. Alternatively, when the metal interface 108 is bonded to one of the metal bumps (such as gold bumps) of the external circuit, the metal interface 11G is bonded to the external circuit - the tin-containing metal layer or the tin-containing metal ball. Alternatively, when the metal pad 108 is bonded to one of the metal bumps (e.g., gold bumps) of the external circuit, the metal interface (10) is bonded to an external circuit through the anisotropic conductive ridge. When the port is electrically tinned with a tin metal layer or a tin-containing metal j, the metal is bonded to the external circuit - a metal bump (such as a gold bump). Alternatively, when the pad (10) is bonded to an external circuit - a tin-containing metal layer or a tin-containing metal ball, the metal bond is bonded to the tape by an automatic bonding technique. Or, if the gold is connected to the external-electrical and - tin-containing metal layer or a tin-containing metal ball, the metal is connected to the 塾 ι 〇 using a wire bonding process - a wire conductor (such as a gold wire). Alternatively, the metal interface (10) is bonded to a layer of an external circuit or a tin-containing fabric, and the gold pad nG is also bonded to the surface of the circuit - or the tin-containing layer (10) is bonded to the surface. - When a tin-containing metal ball is used, the metal pad η_ anisotropy is said to be joined, the circuit.曰^ 53 1376758 In addition, the four structures of the metal and the metal layer 1〇6 of the metal dynasty are topped with gold layers. When the metal is connected to the metal layer of ιι〇 (10), the wire bonding process is used. When joining the gold wire, the metal that is picked up by the metal can be joined by the ship with automatic joining technology. Alternatively, when the metal layer (10) of the metal pad 11 is bonded to a gold wire by a wire bonding process, the metal layer 1〇2 of the metal interface (10) may also be bonded to a gold wire by a wire bonding process. Or 'when the metal layer (10) of the metal pad 11G is connected - the external circuit - the metal is as full as the outline, the metal layer of the metal (10) is attached to the tape by an automatic bonding technique. Alternatively, when the metal layer (10) of the metal pad 11G is bonded to a metal bump (such as a gold bump) of the external circuit, the metal layer 102 of the metal pad can be bonded to a gold wire by a wire bonding process. Alternatively, when the metal layer (10) of the metal pad m is bonded to one of the metal pads of the external circuit (such as a gold bump), the metal of the metal pad 108 may also be bonded - the external circuit - the metal bump (such as gold) Bump). Therefore, in this embodiment, a tin-containing metal layer for bonding a wire bonding wire, a bonding tape, a bonding-external circuit, a bonding-external circuit, and a bonding may be respectively formed on the interface exposed by the opening of the protective layer. The age of the ball; or the two different thicknesses of the metal joint (10) and the metal through the heterogeneous conductive hybrid circuit are difficult to 11〇. In addition, the metal pad (10) and the top of the metal interface 110 may also include a --adhesive layer (not shown) for connecting a wire bonding wire (such as a gold wire), and the adhesion film layer is, for example, a gold layer. Referring to the 7D circle, after the above process is completed, the semiconductor substrate 2 can be subsequently cut to form a plurality of semiconductor crystals>1 112', wherein each of the wafers 112 includes a semiconductor substrate line, a structure 6, and a plurality The dielectric layer 12, the protective layer η, at least the metal pads 1〇8 and the metal contacts 110, and the like. Another 'complex semiconductor component 4 (such as transistor or metal oxide 54 1376758 semiconductor, etc.) is located above the bottom of the drunken county 2, and this is reported in the element 4 - selective position in the metal interface 1 () 8 Or, under the metal pad 11Q, two of the semiconductor elements 4 are electrically connected to the metal pads 1〇8 and the metal pads ιι. Further, at the top of each of the semiconductor wafers 112, the top of the protective layer 14 may be a layer of a monolithic compound or a layer of a nitrogen-containing compound. - each semiconductor wafer 112 can be connected to an external circuit through a metal interface 1〇8 and a metal pad no. The external circuit can be a semiconductor wafer, a printed circuit board, a flexible board, a substrate containing a ceramic material, and a "subtraction" A silk red shaft member whose towel has a glass fiber, and the soft plate comprises a polymer layer having a thickness of between 30 micrometers and 2 micrometers, such as a phthalocyanine. The connection method includes: - forming a wire bonding wire (such as a gold wire or a copper wire) through a wire bonding process to bond a metal pad of a semiconductor chip, and then connecting with an external circuit; 2. bonding through an automatic tape bonding technique - taped to - a gold pad of a semiconductor wafer, which in turn is connected to an outer 4 circuit. The tape has at least - gold and at least - polymerized, and the metal lines are connected to the metal pads, for example via tin metal or tin-silver alloy. a metal pad; three, using a metal bump (such as gold bumps) to bond a metal pad of the semiconductor chip, and then connected to an external circuit; four, through a thermal integration process, a metal wafer of a semiconductor chip Into the anisotropic conductive gel, the metal particles in the anisotropic conductive knee are gathered on the metal pad and the external circuit (such as a glass substrate) containing a pad of indium tin oxide. Indirectly, by electrically connecting the metal interface and the external circuit with the indium tin oxide-containing pad; five, using a tin-containing metal layer or a tin-containing metal ball to bond a metal pad of the semiconductor wafer, and thereby An external circuit is connected. In addition, the present embodiment can also bond a tin-containing metal layer, a tin-containing metal ball or a metal bump (such as a gold bump) through the metal pad 110 before the semiconductor substrate 2 is cut, and then connect an external 55 1376758 circuit. The circuit may be a semiconductor wafer, a printed circuit board, a flexible board, a glass substrate, a substrate containing a ceramic material or a passive component formed in advance. The ten printed circuit board contains glass fibers and the soft board comprises a thickness of between 30 micrometers and 200 micrometers. A polymer layer between, such as a polyimine. Next, the semiconductor substrate 2 is diced to form a plurality of semiconductor wafers. Then, in this embodiment, a wire bonding wire (using a wire bonding process), a bonding tape (using tape bonding automatic bonding technology) or an anisotropic conductive adhesive bonding an external circuit may be bonded to the metal pad 108 of each semiconductor wafer. Referring to FIG. 7E, 'this embodiment can also form a polymer layer 39 on the protective layer 14 first, and the polymer layer 39 is located in the polymer layer and is open to the polymer layer. Exposing the first pad 16a and the second pad i6b, and then forming a metal pad 108 on the first interface exposed by the polymer layer opening 39a according to the process steps described in FIGS. 7A-7D. And forming the metal interface 110 on the second interface brush exposed by the polymer layer. For the related content, please refer to the above-mentioned saying that the sun and the moon are not described in detail herein. Wherein, the polymer layer (10) is selected from the group consisting of polyaniline, phenylcyclobutene, polyurethane, epoxy resin, polyparaxylene polymer, welding cover, 'material, elastic material or porous dielectric material One of them, and the way to form the polymer layer is not only the spin coating method, but also the hot press dry film method or the screen printing method, and the thickness of the polymer layer 39 is 1 micron. Between 30 microns. Regarding the semiconductor wafer ma shown in Figure 7E, please refer to it for details. Further, the present embodiment can also be applied to a reconfiguration line or a connection line as in the second embodiment. Referring to FIGS. 7F and 7G, the present embodiment can simultaneously form a metal line 4 作为 as a reconfiguration line and a metal line as a connection line over the semiconductor substrate 2. 56 1376758. Second, implement relevant content. Then, according to the process steps described in FIG. 7A to FIG. 3, the metal pads 108 and the metal pads 110 are formed on the metal lines 40 and 42 exposed by the polymer layer opening 6〇a. The description will not be described in detail here. The related semiconductor chips 112b shown in Fig. 7F and the semiconductor wafer U2c shown in Fig. 7G are not to be described here. However, the present invention can also form only the relocation line or the connection line above the semiconductor substrate 2 by the above-described method, and further form the metal pad 108 and the metal pad 11 on the relocation line or the connection line. Sixth Embodiment: Referring to FIG. 8A, after completing the process step shown in FIG. 2A, a photoresist layer 114 is formed on the seed layer 20, and the photoresist layer is patterned through an exposure and development process. 114, to form the photoresist layer opening 114a in the photoresist layer 114 and expose the seed layer 2〇 located above the pad 16, and in the process of forming the photoresist layer opening 114a, for example, to double the exposure machine. Or the scanner is exposed. Then, a metal layer 116 having a thickness between 1 micrometer and 200 micrometers (for example, between 1 micrometer and 5 micrometers) is formed on the seed layer 2 of the photoresist layer opening n4a. The preferred thickness is between 2 microns and 30 microns. The manner in which the metal layer 116 is formed is, for example, electroplating or electroless plating. Alternatively, the metal layer 116 may be a single layer metal layer structure of gold, steel, silver, palladium, platinum, ruthenium, iridium, chain or nickel, or a composite layer composed of the above metal materials. For example, the metal layer 116 may be a gold layer formed by electroplating between 8 micrometers and 35 micrometers or a layer formed by electroplating having a thickness between 8 micrometers and 35 micrometers. Copper layer. Or 'forming the metal layer 116 by, for example, plating a copper layer having a thickness between 8 micrometers and 35 micrometers on a seed layer 2 of, for example, copper, and then having a thickness of 〇丨 micron to 10 A nickel layer between the micrometers (preferably having a thickness of between 1 micrometer and 5 micrometers) is on the copper layer - the final bond thickness is between 〇1 μm and 10 μm (preferably thickness) A layer of gold between 0 micrometers and 2 micrometers is on the recording layer. Referring to FIG. 8B, after the metal layer ι16 is formed, the photoresist layer 114 is subsequently removed. Continuing, the seed layer 2〇 and the adhesion/barrier layer 18 which are not under the metal layer Π6 are removed. Among them, the way to remove the adhesion/barrier layer 18 can be divided into dry silver etching and wet etching, while dry money etching uses high pressure argon gas for splashing silver etching, and in wet etching, when bonding/blocking When layer 18 is titanium or titanium tungsten, it can be removed using hydrogen peroxide. Further, when the seed layer 2 is gold, it can be removed by using an etchant containing iodine (e.g., an etching solution such as potassium peroxide). Thus, a plurality of metal pads 118 of the same thickness are formed on the plurality of pads 16 exposed by the plurality of openings of the protective layer 14. The metal pad 118 is composed of an adhesion/barrier layer 18, a sub-layer 2 on the adhesion/barrier layer 18, and a metal layer ι6 on the seed layer 2'. The pad 118 can be used to bond a wire conductor (such as a gold wire or a copper wire), a metal bump (such as a gold bump) that engages an external circuit, a tin-containing metal layer of the bonding-external circuit, a bonded tin-containing metal ball, and a bonding tape. (Using tape bonding technology) or joining an external circuit through an anisotropic conductive paste. For example, when a portion of the metal joint 118 is joined by a wire bonding process (e.g., a gold wire or a steel wire), the remaining metal joints 188 are joined by a tape bonding technique. Or, when some of the metal pads 118 paste the wire bonding process - the county line (such as gold wire or copper wire), the remaining gold 58 ^ / 6758 is the pad 118 joint - one of the external circuit metal bumps (such as gold Bump). Alternatively, when a portion of the metal interface 118$ is bonded to a wire-bonding wire (such as a gold wire or a copper wire), the remaining metal pads are connected to a tin-containing metal layer containing a metal ball or an external circuit. Alternatively, when a portion of the metal connection 118 is bonded by a wire bonding process (such as a gold wire or a copper wire), the remaining metal contacts 118 - are joined to an external circuit through the anisotropic conductive paste. For example, when a portion of the metal pads 118 engage a metal bump (e.g., a gold bump) of an external circuit, the remaining metal pads 118 engage a tape using tape bonding techniques. Or when some of the metal joints 118 are joined to the external circuit-metal bumps (such as gold bumps), the remaining metal joints 118 are made by wire-bonding-wire wires (such as gold wire or copper wire or When a portion of the metal is bonded to the metal bump (such as a gold bump), the remaining metal pads 118 are bonded to a -3 tin metal ball or an external circuit - a tin-containing metal layer. When a part of the metal interface is connected to one of the metal bumps (such as gold bumps), the remaining metal pads 118 are connected to the external circuit through the anisotropic conductive adhesive. U Rutian. When the metal pad 118 is bonded to a tin-containing metal ball or one of the external circuits contains a tin metal layer, the remaining metal contacts 118 are bonded - external circuits - metal bumps (such as gold bumps). When the metal interface 118 is bonded to a tin-containing metal ball or a tin-containing metal layer of one of the external circuits, the remaining metal connector 118 is bonded to the tape by the tape bonding automatic bonding technique. When the tin-containing metal ball or the external circuit-containing the tin-gold eyebrow layer, the remaining metal joints are connected to the wire-punching process. Wire wire (such as gold wire _ wire). Or, when the metallized joint 118 joins a tin-containing metal ball or an external circuit-containing tin metal layer, the remaining metal _ 118 is bonded through the anisotropic conductive rubber External circuit 59 1376758 Again, the top layer of the metal layer 116 is a gold layer (such as the single nickel/gold structure described above, the dome screen is aa, ^ structure or copper / ', 曰 = ... 曰), this In an embodiment, all or a portion of the metal-bonded metal layer 116 may be bonded to a gold bump of an external circuit _ π circuit, or may be bonded by a wire-bonding process of a metal age 118 butterfly - gold wire.

因此,本實施例可在保護層之開σ所暴露出的接墊上形成用於接合打 線導線、接合貼帶、接合一外部電路之金屬战、接合—外部電路之含錫 金屬層、接合含齡屬球或是透過異方性導電膠接合外部電路之具有相同 厚度的複數金屬接墊118。此外,金屬接墊118的頂部亦可包括一沾附膜層 (圖中未示),用於連接打線導線(如金線),而此沾附膜層比如為金層。、曰 請參閱第sc ®所示’於完成上述製織,接著可切割半導體基底2, 以形成複數半_晶;112G,其中每-半導體晶片12〇都包括有—半導體基 底2、-線路結構6、複數介電層12、一保護層14與複數金屬接塾U8等。 另,複數半導體元件4(例如電晶體或金屬氧化物半導體等)位在此半導體基 底2内或上方,且這些半導體元件4的其中之一選擇性位在金屬接墊118 的下方,又這些半導體元件4分別電性連接這些金屬接墊118。此外,在每 一半導體晶&gt;! 120中,保護層14的頂部可為一氧矽化合物層或是一氮矽化 合物層。 每一半導體晶片120均可透過金屬接墊Π8連接外部電路,此外部電 路可以是半導體晶片、印刷電路枳、軟板、含有陶瓷材料之基板、玻璃基 板或事先形成之被動元件,其中印刷電路板含有玻璃纖維,而軟板包括厚 度介於.30微米至200微米之間的一聚合物層,此聚合物層比如是聚酿亞 丄 丄 胺 、透過打線製程形成打線導線(例如金線或銅線) 而連接方式包括:一 半導體晶片120之金屬接墊118,進而與-外部電路連接;二、透過 貼帶自動接合技術接合-貼帶至-半導體晶片120之金屬接墊118,進而與 卜部電路連接’其巾此貼帶具有至少—金屬線路與至少—聚合物層且 金屬線路連接金屬接塾118,例如經由錫金屬或錫銀合金接合金屬接塾 118 ’ -、利用-外部電路之金屬凸塊(如金凸塊)接合—半導體晶片12〇之 金屬接塾118 ’進而與外部電路連接;四、透過熱壓合製程,使—半導體晶Therefore, in this embodiment, a metal warfare for bonding a wire bonding wire, a bonding tape, bonding an external circuit, a tin-containing metal layer of a bonding-external circuit, and a bonding age can be formed on a pad exposed by the opening σ of the protective layer. A ball or a plurality of metal pads 118 having the same thickness joined to the external circuit by an anisotropic conductive paste. In addition, the top of the metal pad 118 may also include an adhesive film layer (not shown) for connecting a wire bonding wire (such as a gold wire), and the adhesion film layer is, for example, a gold layer.曰 第 第 第 第 第 第 第 第 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于 于The plurality of dielectric layers 12, a protective layer 14, and a plurality of metal contacts U8. In addition, a plurality of semiconductor elements 4 (such as transistors or metal oxide semiconductors) are located in or above the semiconductor substrate 2, and one of the semiconductor elements 4 is selectively positioned below the metal pads 118, and the semiconductors The components 4 are electrically connected to the metal pads 118, respectively. Further, in each of the semiconductor crystals &gt;! 120, the top of the protective layer 14 may be an oxonium compound layer or a ruthenium oxide compound layer. Each semiconductor wafer 120 can be connected to an external circuit through a metal pad 8 , which can be a semiconductor wafer, a printed circuit board, a flexible board, a substrate containing a ceramic material, a glass substrate or a passive component formed in advance, wherein the printed circuit board Containing glass fibers, and the soft board comprises a polymer layer having a thickness of between about 30 micrometers and 200 micrometers, such as polylactide, which is formed by a wire bonding process to form a wire conductor (such as gold wire or copper). The connection method includes: a metal pad 118 of the semiconductor wafer 120, and then connected to the external circuit; 2. bonding and bonding to the metal pad 118 of the semiconductor wafer 120 by the tape bonding technology, and further The circuit connection 'the tape has at least a metal line and at least a polymer layer and the metal line connects the metal interface 118, for example, by bonding a metal interface 118' via a tin metal or a tin-silver alloy - using a metal of an external circuit Bumps (such as gold bumps) are bonded—the metal pads 118' of the semiconductor wafer 12 are further connected to external circuits; and fourth, through a thermocompression bonding process, - Crystal Semiconductor

片120之金屬接塾118壓入到異方性導電膠中,讓位在異方性導電膠内的 金屬粒子聚集在金屬接塾118與—外部電路(比如是玻璃基板)之含有銦錫 氧化物的接墊之間,藉以電性連接金屬接塾出與外部電路之含有姻錫 氧化物的接塾,五、利用含錫金屬層或含錫金屬球接合一半導體晶片 之金屬接墊118,進而與外部電路連接。 另,本實施例亦可在切割半導體基底2之前,透過全部或部 份金屬接塾118接合含錫金屬層、含錫金麟或金屬凸塊(如金凸塊),進 鲁而連接-外部電路’此外部電路可以是半導體晶片、印刷電路板、軟板、 玻璃基板、含有魄材料之基板或事先形成之被動元件,其巾印刷電路板 含有玻璃纖維,而軟板包括厚度介於3Q微米至咖微米之間的一聚合物 - 層,此聚合物層比如是親亞胺。接著,進行半導體基底2蝴,以形成 ‘複數半導體晶片。最後’在每-半導體晶片12〇之未接合金屬凸塊或含錫 金屬層的金屬接墊118上接合打線導線(利用打線製程)' 接合贴帶(利用貼 帶自動接合技術)或是透過異方性導電膠接合一外部電路。 61 1376758 請參閱第8D圖所示’本實施例亦可先在保護層14上形成一聚合物層 39,且位在聚合物層39内之複數聚合物層開口 39a暴露出複數接塾16,接 著依照第8A圖至第8B圖所述之製程步轉,形成複數金屬接塾us在聚合 • 物層開口 39a所暴露出之接墊16上,相關内容請參考上述說明,在此不再 詳加敘述。其中,聚合物層39係選自聚醯亞胺、苯基環丁烯、聚氨脂、環 氧树月曰、聚對一曱苯類尚分子、焊罩材料、彈性材料或多孔性介電材料其 中之一’而形成聚合物層39的方式除了旋塗方式之外,亦可利用熱壓合乾 φ 膜方式或網版印刷方式,另聚合物層39的厚度係介於1微米至3〇微米之 間。有關第8D圖所示之半導體晶片120a的相關應用亦請參閱上述内容, 於此亦不再敘述。 . 此外,本實施例亦可如第二實施例般應用在重配置線路或連接線路 上。請同時參閱第8E圖與第8F圖所示,本實施例可在半導體基底2上方 同時形成作為重配置線路之金屬線路4〇與作為連接線路的金屬線路犯,而 形成方法請參閱第二實施相關内容。接著,依照第8A目至第8B圖所述之 • t程步驟’形成金屬接墊118在聚合物層開σ 60a所暴露出之金屬線路4〇、 ·_ 42上’相關内容請參閱上述說明,在此不再詳加欽述。另,有關第犯圖所 .不之半導體晶片及第8F圖所示之料體晶片版的相關應用亦請參 閱上述内谷’於此亦不再敘述。惟,本發明亦可藉由上述之方式,於半導 體基底2上方僅形成有重配董線路或連接線路,進而於重配置線路或連接 線路上形成金屬接墊118 » 明夕閲第9圖所示’其係為多晶片封裝結構之剖面示意圖,如圖所示, 62 1376758 半導體晶片122可以是以第五實施例方式形成之半導體晶片112、半導體晶 片11.2a、半導體晶片112b或半導體晶片112c,或者是以第六實施例方式 形成之半導體晶$ 12G、半導體晶i20a、半導體晶片12Gb或半導體晶片 • 120c ’所以半導體晶片122具有用於接合打線導線之金屬接墊126與用於 - 接合貼帶之金屬接塾128°另’半導體晶片124具有用於接合打線導線之金 屬接墊130,而此金屬接墊130的結構與材質比如是與第六實施例所述之金 屬接塾118相同。又,透過打線製程,一打線導線132接合一金屬接塾126 g 與一金屬接墊13〇〇 此外.I合物134覆盖半導體晶片122之金屬接塾126、半導體晶片 124與打線導線132 ’其中此聚合物134係選自聚醯亞胺、苯絲丁烯、聚 氨丨日、%氧樹脂、料二甲苯類高分子、烊罩材料、雜材料或多孔性介 電材料其中之一。 又’透過熱麗合製程,具有至少—金屬線路74與聚合物層76的軟性 貼帶78透過金屬線路74連接至金屬接塾128,而軟性貼帶π之金屬線路 _ 74例如是經由一金屬層79(例如錫金屬或錫銀合金)接合金屬接塾冗,其中 .·=合物層Μ係選自聚醯亞胺、苯基環谓、聚餘、環氧樹脂、聚對二甲 ^類高分子、焊罩材料、彈性材料或多孔性介電材料其中之一。另,一聚 136覆蓋金屬接塾128與部份金屬線路%,其中此聚合物⑽係選自 亞胺苯基%丁烯、聚氨脂、環氧樹脂、聚對二甲苯類高分子、焊罩 _ 材料、彈性材料或多孔性介電材料其中之一。 如上所述’透過貼帶自動接合技術,金屬接塾⑽可透過貼帶 63 連接一外部電路’此外部電路可以是半導體晶片、印刷電路板、軟板或含 有陶雙4材料之基板’其中印刷電路板含有玻璃纖維,而軟板包括厚度介於 30微米至200微米之間的一聚合物層,此聚合物層比如是聚醯亞胺。 - 第七實施例: 請參閱第10A圖所示,在完成第2A圖所示之製程步驟後,接著形成一 光阻層138在種子層20上,並透過曝光與顯影製程圖案化光阻層138,以 ® 形成光阻層開口 138a在光阻層138内並暴露出位在第一接墊i6a上方的種 子層20’而在形成光阻層開口 138a的過程中比如是以一倍之曝光機或掃描 , 機進行曝光。 再來’形成厚度介於1微米至500微米之間的一金屬層140在光阻層 開口 138a所暴露出的種子層20上,而形成金屬層14〇的方式比如是電鍍 或者是無電電鍍。另,金屬層14〇可以是金、銅、銀、鈀、鉑、鍺、舒、 錁或錄之單層金屬層結構,或是由上述金屬材質所組成的複合層而其較 鲁佳厚度係介於2微米至3〇微求之間。例如,此金屬層1仙可以是以電鐘方 式所形成之厚度介於8微米至35微米之間的一金層或是以電鍍方式所形成 -*之厚度介於8微米至35微米之間的一銅層。或者,形成此金屬層140的方 式比如是藉由電鍍厚度介於8微米至35微米之間的一銅層在例如是銅的種 子層20上,接著電鍍厚度介於〇. 1微米至1〇微米之間(較佳厚度係介於〇 1 微米至5微米之間)的一鎳層在該銅層上,最後電鐘厚度介於〇. 〇1微米至 1 〇微来之間(較佳厚度係介於〇. 1微米至2微来之間)的一金層在賴層上。 64 1376758 此外.金屬層140除了上述所提之金屬材質外,也可使用含錫金屬取 代,此含錫金屬係為錫錯合金 '錫銀合金、錫銀銅合金或無齡金,且其 較佳厚度;|於3微米至250微米之間。另,當金屬層140為含錫金屬層時, •在形成金屬層140之前’可先形成一擴散阻障層(圖中未示,相關内容請參 •閱前述說明)在光阻層開口 138a所暴露出的種子層2〇上,接著再形成金屬 層140於此擴散阻障層上,其中此擴散阻障層比如是厚度介於1微求至5 微米的-錄層以及厚度介於〇. 5微米至1〇微米的一銅層,且鎳層位在銅層 •上。 .曰 請參閱第10B圖所示,在形成金屬層14〇之後,接著去除光阻層138。 •繼續,去除未在金屬層140下方的種子層20無著/阻障層18。其中,去 •除黏著7轉層18的方式可分域_及濕侧,而餘如是使用高 壓滅進行濺擊蝕刻,另在濕蝕刻方面,當黏著/阻障層18為欽或欽鶴合 金時’可使用雙氧水進行去除。此外,若種子層2〇為金時,可利用含有峨 之钱刻液(例如碘化鉀等蝕刻液)進行去除。 _ 因此,-金屬接墊142形成在保護層14之—開口他所暴露出的一第 ..一接塾16a上,此金屬接塾142係由一黏著/阻障層18、位在黏著/阻障層 · . 18上的-種子層2〇與位在種子層2〇上的一金屬層14〇所構成,且此金屬 接墊142可以接合一打線導線(如金線或銅線)、接合一外部電路之一金屬 .⑽如金凸塊)、接合一外部電路之—含錫金屬層、接合一含錫金屬球(利 用植球製程)、接合-姑帶(利用貼帶自動接合技術)或是透過異方性導電膠 接合一外部電路。 65 1376758 請參閱第卿所示’於完成上述製程後,接著可蝴半導體基底2, 以形成複數半導體晶片144,其中每-半導體晶片144都包括有一半導體基 底2、-線路結構6、複數介電層12、一保護層14與至少一金屬接塾142 -等。另’複數半導體元件4(例如電⑽或金屬氧化物半導體等)位在此半導 體基底2内或上方,且這些半導體元件4的其.中之_選擇性位在金屬接墊 142的下方,又這些半導體元件4其中之—電性連接金屬接塾142。此外, 在每-半導體晶片144中,保護層14的頂部可為—氧魏合物層或是一氮 # 雜合物層。接下來,在形成複數半導體晶片144後,透過打線製程形成 -打線導線146(例如金線或銅線)接合一半_晶片144之未形成金屬接塾 142.的一第二接墊i6b。 β 故,每一半導體晶片144均可在未形成金屬接墊142之第二接塾服 上利用打線製程接合打線導線146,並透過金屬接墊142連接外部電路,此 外部電路可以是轉體以、印刷祕板、城、含有喊將之基板、 玻麟域事先職之獅元件,其巾印職路板含有麵齡,而軟板 ♦ 包括厚度介於30微米至200微米之間的一聚合物層,此聚合物層比如是聚 .·酿亞胺。金屬接塾142連接外部電路方式包括:一、透過打線製程形成打 ·· 線導線(例如金線或銅線)接合金屬接墊142,進而與一外部電路連接;二、 透過贴帶自動接合技術接合一貼帶至金屬接墊142,進而與一外部電路連 接,其中此貼帶具有至少一金屬線路與至少一聚合物層,且金屬線路連接 金屬接墊142,例如經由錫金屬或錫銀合金接合金屬接墊142 三、利用金 屬凸塊(如金凸塊)接合金屬接墊142,進而與一外部電路連接;四、透過熱 66 1376758 廢合製程’使金屬接塾142壓入到異方性導電膠中,讓位在異方性導電膠 内的金屬粒子聚餘金屬接墊142與-外部電路(比如是玻璃基板)之含有 銦錫氧化物的-接墊之間’藉以電性連接金屬接塾142與外部電路之含有 娜氧化物的接塾;五、利用含錫金屬層或含錫金屬球接合金屬接塾142, 進而與一外部電路連接。 另,本實施例亦可在切割半導體基底2之前,在金屬接墊142接合含 錫金屬層、含錫金屬球或金屬凸塊(如金凸塊),進而連接一外部電路,此 _ 外部電路可以是半導體⑼、印㈣路板、軟板、含有陶紐料之基板或 事先形成之被動元件,其中印刷電路板含有玻璃纖維,而軟板包括厚度介 於30微米至200微米之間的-聚合物層,此聚合物層比如是聚酿亞胺。 e 著,進行半導體基底2蝴,轉成複數半導體“。最後,在每一半導 * 體晶片144之未形成金屬接墊142的第二接墊服上利用打線製程接合打 線導線146。 讀參閱帛10D圖所示’本實施例亦可先在保護層14上形成一聚合物層 • 39,且位在聚合物層39内之一聚合物層開口 39a與-聚合物層開口 39b分 別暴露出一第一接塾'16a與一第二接墊16b’接著依照第i〇A圖至第10C圖 所述之製程步驟形成複數半導體晶片脸。每一半導體晶片馳均可在未 形成金屬接塾142之第二接塾16b上利用打線製程接合打線導線146,並透 過金屬接塾142連接外部電路,相關說明請參閱上述内容,表此不再詳加 敛述另’ t合物&gt;1 39得、選自聚酿亞胺、苯基環丁稀、聚氨脂、環氧樹脂、 聚對二甲苯類高分子、焊罩材料、彈性材料❹孔性介電㈣其中之_, 67The metal interface 118 of the sheet 120 is pressed into the anisotropic conductive paste, so that the metal particles in the anisotropic conductive paste are concentrated on the metal interface 118 and the external circuit (such as a glass substrate) contains indium tin oxide. Between the pads of the object, the metal is connected to the external circuit to contain the tin oxide-containing interface, and the metal pad 118 of the semiconductor chip is bonded by the tin-containing metal layer or the tin-containing metal ball. Furthermore, it is connected to an external circuit. In addition, in this embodiment, before the semiconductor substrate 2 is cut, the tin-containing metal layer, the tin-containing metal lining or the metal bump (such as a gold bump) may be bonded through all or part of the metal interface 118, and the external circuit is connected. 'This external circuit can be a semiconductor wafer, a printed circuit board, a flexible board, a glass substrate, a substrate containing a germanium material or a passive component formed in advance, the printed circuit board of the towel contains glass fibers, and the flexible board includes a thickness of 3Q to A polymer-layer between coffee micrometers, such as a pro-imine. Next, the semiconductor substrate 2 is patterned to form a 'multiple semiconductor wafer. Finally, 'bonding the wire (using the wire bonding process) to the unbonded metal bump or the metal pad 118 of the tin-containing metal layer of each semiconductor wafer 12's bonding tape (using tape bonding technology) or transmitting The square conductive paste is bonded to an external circuit. 61 1376758 Please refer to FIG. 8D. 'This embodiment may also form a polymer layer 39 on the protective layer 14, and the plurality of polymer layer openings 39a located in the polymer layer 39 expose the plurality of interfaces 16, Then, according to the process steps described in FIG. 8A to FIG. 8B, a plurality of metal contacts are formed on the pads 16 exposed by the polymer layer opening 39a. For details, please refer to the above description. Add a narrative. Wherein, the polymer layer 39 is selected from the group consisting of polyimine, phenylcyclobutene, polyurethane, epoxide, poly(p-phenylene), solder mask material, elastic material or porous dielectric The material layer 39 can be formed by using a thermocompression dry φ film method or a screen printing method in addition to the spin coating method, and the thickness of the other polymer layer 39 is between 1 micrometer and 3 〇 between the micrometers. Please refer to the above for related applications of the semiconductor wafer 120a shown in FIG. 8D, and will not be described here. Furthermore, the present embodiment can also be applied to a reconfiguration line or a connection line as in the second embodiment. Please refer to FIG. 8E and FIG. 8F at the same time, this embodiment can simultaneously form a metal line 4〇 as a reconfiguration line and a metal line as a connection line on the semiconductor substrate 2, and the second method is described. related information. Next, according to the steps 8A to 8B, the metal pad 118 is formed on the metal lines 4〇, ·_42 exposed by the polymer layer opening σ 60a. I will not repeat the details here. Please refer to the above-mentioned Neigu for the related applications of the semiconductor wafers and the material wafers shown in Figure 8F. However, in the above manner, the present invention can also form only the re-distribution line or the connection line on the semiconductor substrate 2, and further form the metal pad 118 on the reconfiguration line or the connection line. Illustrated as a cross-sectional view of a multi-chip package structure, as shown, 62 1376758 semiconductor wafer 122 may be formed by the fifth embodiment of semiconductor wafer 112, semiconductor wafer 11.2a, semiconductor wafer 112b or semiconductor wafer 112c, Or the semiconductor wafer $12G, the semiconductor crystal i20a, the semiconductor wafer 12Gb or the semiconductor wafer 120c' formed in the sixth embodiment. Therefore, the semiconductor wafer 122 has metal pads 126 for bonding wire bonding wires and for-bonding tapes. The metal substrate 128 has a metal pad 130 for bonding the wire bonding wire. The structure and material of the metal pad 130 are the same as those of the metal interface 118 described in the sixth embodiment. Moreover, through the wire bonding process, the wire bonding wires 132 are bonded to a metal interface 126 g and a metal pad 13 . The first compound 134 covers the metal interface 126 of the semiconductor wafer 122 , the semiconductor wafer 124 and the wire bonding wire 132 ' The polymer 134 is selected from the group consisting of polyimine, benzoin, polyurethane, % oxygen resin, xylene polymer, enamel material, heteromaterial or porous dielectric material. Moreover, through the heat bonding process, the flexible tape 78 having at least the metal line 74 and the polymer layer 76 is connected to the metal interface 128 through the metal line 74, and the metal line _74 of the soft tape π is, for example, via a metal. The layer 79 (for example, tin metal or tin-silver alloy) is joined to the metal joint, wherein the layer is selected from the group consisting of polyimine, phenyl ring, polyether, epoxy resin, poly(p-dimethylene) One of a polymer, a welding cap material, an elastic material or a porous dielectric material. In addition, a poly 136 covers the metal interface 128 and a portion of the metal line %, wherein the polymer (10) is selected from the group consisting of an imine phenyl% butene, a polyurethane, an epoxy resin, a parylene polymer, and a solder. Cover _ One of materials, elastomeric materials or porous dielectric materials. As described above, the metal interface (10) can be connected to an external circuit through the tape 63 by the tape bonding automatic bonding technology. The external circuit can be a semiconductor wafer, a printed circuit board, a flexible board or a substrate containing a ceramic material. The circuit board contains glass fibers, and the soft board comprises a polymer layer having a thickness of between 30 micrometers and 200 micrometers, such as a polyimide. - Seventh embodiment: Referring to FIG. 10A, after the process step shown in FIG. 2A is completed, a photoresist layer 138 is formed on the seed layer 20, and the photoresist layer is patterned through an exposure and development process. 138, forming a photoresist layer opening 138a in the photoresist layer 138 and exposing the seed layer 20' located above the first pad i6a, and in the process of forming the photoresist layer opening 138a, for example, double exposure Machine or scan, the machine is exposed. Further, a metal layer 140 having a thickness of between 1 μm and 500 μm is formed on the seed layer 20 exposed by the photoresist layer opening 138a, and the metal layer 14 is formed by, for example, electroplating or electroless plating. In addition, the metal layer 14〇 may be a single layer metal layer structure of gold, copper, silver, palladium, platinum, rhodium, bismuth, ruthenium or ruthenium, or a composite layer composed of the above metal materials, and the thickness thereof is more favorable. Between 2 micron and 3 〇 micro-between. For example, the metal layer may be formed by an electric clock in a gold layer having a thickness of between 8 micrometers and 35 micrometers or formed by electroplating - the thickness of the layer is between 8 micrometers and 35 micrometers. a copper layer. Alternatively, the metal layer 140 is formed by, for example, plating a copper layer having a thickness of between 8 μm and 35 μm on a seed layer 20 of, for example, copper, followed by a plating thickness of between 0.1 μm and 1 μm. A layer of nickel between the micrometers (preferably having a thickness between 〇1 μm and 5 μm) is on the copper layer, and finally the thickness of the clock is between 〇1 μm and 1 μm (preferably A layer of gold having a thickness of between 1 μm and 2 μm is on the layer. 64 1376758 In addition, the metal layer 140 may be replaced by a tin-containing metal in addition to the metal material mentioned above, and the tin-containing metal is a tin-tin alloy, a tin-silver alloy, a tin-silver-copper alloy or an age-free gold, and Good thickness; | between 3 microns and 250 microns. In addition, when the metal layer 140 is a tin-containing metal layer, • a diffusion barrier layer may be formed before forming the metal layer 140 (not shown in the drawing, please refer to the above description) in the photoresist layer opening 138a. The exposed seed layer 2 is further formed on the diffusion barrier layer, wherein the diffusion barrier layer is, for example, a recording layer having a thickness of 1 micro to 5 micrometers and a thickness of 〇 A copper layer of 5 microns to 1 μm and a layer of nickel on the copper layer.曰 Referring to FIG. 10B, after the metal layer 14 is formed, the photoresist layer 138 is subsequently removed. • Continue, removing the seed layer 20 without/barrier layer 18 that is not under the metal layer 140. Among them, the way to remove the 7-turn layer 18 can be divided into the domain _ and the wet side, while the rest is sprayed and etched using high voltage extinction, and in the wet etching, when the adhesion/barrier layer 18 is Qin or Qinhe alloy. When used, it can be removed with hydrogen peroxide. Further, when the seed layer 2 is made of gold, it can be removed by using an etchant containing ruthenium (e.g., an etchant such as potassium iodide). _ Therefore, the metal pad 142 is formed on the first layer 16a of the protective layer 14 which is exposed by the opening. The metal interface 142 is formed by an adhesive/barrier layer 18, which is in the adhesion/resistance. The seed layer 2〇 on the barrier layer 18 is formed with a metal layer 14〇 on the seed layer 2〇, and the metal pad 142 can be bonded to a wire conductor (such as a gold wire or a copper wire) and bonded. One of the external circuits is a metal. (10) such as a gold bump), an external circuit is bonded—a tin-containing metal layer, a tin-containing metal ball is bonded (using a ball-planting process), and a joint-gull tape is used (using an automatic tape bonding technique). Or an external circuit is bonded through an anisotropic conductive paste. 65 1376758 Please refer to the following description, after completing the above process, the semiconductor substrate 2 can be bonded to form a plurality of semiconductor wafers 144, wherein each semiconductor wafer 144 includes a semiconductor substrate 2, a wiring structure 6, and a plurality of dielectrics. The layer 12, a protective layer 14 and at least one metal interface 142 - and the like. Further, a plurality of semiconductor elements 4 (for example, an electric (10) or a metal oxide semiconductor, etc.) are located in or above the semiconductor substrate 2, and the semiconductor elements 4 are selectively positioned below the metal pads 142. These semiconductor elements 4 are electrically connected to the metal contacts 142. Further, in each of the semiconductor wafers 144, the top of the protective layer 14 may be an oxy-Wei-compound layer or a nitrogen-N hybrid layer. Next, after forming the plurality of semiconductor wafers 144, a wire bonding wire 146 (e.g., gold wire or copper wire) is bonded through the wire bonding process to bond a second pad i6b of the half chip 144 which is not formed with the metal interface 142. Therefore, each semiconductor wafer 144 can be bonded to the external wiring by a wire bonding process on the second interface of the metal pad 142, and the external circuit can be connected through the metal pad 142. , printing secret board, city, the substrate containing the shouting, the lion component of the Boli domain, the printing board of the board contains the face age, and the soft board ♦ includes a polymerization thickness between 30 microns and 200 microns. The layer of the polymer, such as a polyimine. The method of connecting the external circuit of the metal interface 142 includes: forming a wire wire (for example, a gold wire or a copper wire) to join the metal pad 142 through a wire bonding process, and then connecting with an external circuit; Bonding a tape to the metal pad 142 to be connected to an external circuit, wherein the tape has at least one metal line and at least one polymer layer, and the metal line connects the metal pads 142, for example via tin metal or tin-silver alloy Bonding the metal pad 142 3. Using metal bumps (such as gold bumps) to bond the metal pads 142, and then connecting with an external circuit; Fourth, through the heat 66 1376758 waste process "press the metal interface 142 into the alien In the conductive adhesive, the metal particle residual metal pad 142 in the anisotropic conductive paste is electrically connected to the indium tin oxide-containing pad of an external circuit (such as a glass substrate). The metal interface 142 is connected to the external circuit with a nano oxide; and the metal interface 142 is bonded by a tin-containing metal layer or a tin-containing metal ball, and is further connected to an external circuit. In addition, in this embodiment, before the semiconductor substrate 2 is cut, a tin-containing metal layer, a tin-containing metal ball or a metal bump (such as a gold bump) is bonded to the metal pad 142, and then an external circuit is connected, and the external circuit is connected. It may be a semiconductor (9), a printed circuit board, a flexible board, a substrate containing a ceramic material, or a previously formed passive component, wherein the printed circuit board contains glass fibers, and the flexible board includes a thickness between 30 micrometers and 200 micrometers - A polymer layer, such as a polynymine. e, the semiconductor substrate 2 is turned into a plurality of semiconductors. Finally, the wire bonding wires 146 are joined by a wire bonding process on the second pad of each of the semiconductor wafers 144 where the metal pads 142 are not formed. 10D shows that this embodiment can also form a polymer layer 39 on the protective layer 14, and a polymer layer opening 39a and a polymer layer opening 39b in the polymer layer 39 are respectively exposed. The first interface '16a and the second pad 16b' are then formed into a plurality of semiconductor wafer faces according to the process steps described in FIGS. 1A to 10C. Each of the semiconductor wafers may be formed without a metal interface 142. The second interface 16b is connected to the wire bonding wire 146 by a wire bonding process, and is connected to the external circuit through the metal interface 142. For the related description, please refer to the above content, and the table will not be described in detail. , selected from the group consisting of polyaniline, phenylcyclobutylene, polyurethane, epoxy resin, polyparaxylene polymer, welding cap material, and elastic material puncturing dielectric (4) _, 67

此外’本實施例亦可如第二實施例般應用在重配置線路或連接線路 上。請同時參閲第糊與請圖所示,本實施例可在半導體基底2上 方同時形成作為重配.置線路之金屬線路4()與作為連接線路的金屬線路犯, 而形成方法請參閱第二實施相關内容。接著,依照第通圖至第圖所 述之氣程步驟,形成金屬接塾142在聚合物層開口服所暴露出之金屬線 路40 42上’相關内容請參閱上述說明在此不再詳加敛述。另有關在 半導體晶片14轉所桃轉體晶片收(第_所示)之未形 成金屬線路40、42 連接的接墊46上利用打線製程接合打線導線146,並透 過金屬接塾142連接外部電路的相動容亦請參社述·。惟,本發明 亦可藉由上述之方式,於轉體基底2上方僅碱有重配置祕或連接線 路進而於重配置線路或連接線路上形成金屬接塾⑷,並在切割為半導體 晶片後,於未形成金屬線路4G、42連接的接墊46上姻打線製程接合打Further, the present embodiment can also be applied to a reconfiguration line or a connection line as in the second embodiment. Please refer to the second paste and the figure as shown in the figure. In this embodiment, the metal line 4 () which is a re-distribution line and the metal line as the connection line can be simultaneously formed on the semiconductor substrate 2, and the formation method can be referred to. Second, implement relevant content. Then, according to the gas path step described in the first to the first drawings, the metal joint 142 is formed on the metal line 40 42 exposed by the opening of the polymer layer. [Related contents, please refer to the above description. Said. Further, the wire bonding wire 146 is joined by the wire bonding process on the pad 46 on which the metal wires 40 and 42 are not connected to the wafer transfer substrate of the semiconductor wafer 14 (shown as _), and the external circuit is connected through the metal interface 142. Please contact us for your comments. However, in the above manner, the present invention can also form a metal interface (4) on the reconfiguration line or the connection line on the reconfigurable line or the connection line only after the alkali is reconfigured or connected on the substrate 2, and after being cut into a semiconductor wafer, The bonding process is performed on the pads 46 which are not connected to the metal wires 4G and 42.

線導線146,並透過金屬接墊142連接外部電路。 第八實施例: «月參閱第11A圖所示’在完成第2A圖所示之製程步驟後,接著形成-光阻層148在種子層20上’並透過曝光與顯影製程圖案化光阻層148,以 形成光阻層開口 148a在光阻層148内並暴露出位在第一接塾版上方的種 子層20’而在形成光阻層$ 口 14此的過程中比如是以一倍之曝光機或掃描 68 1376758 機進行曝光。 請參閱第11B圖所示,形成厚度介於!微米至5〇〇微米之間的一金屬 層150在光阻層開口 148a所暴露出的種子層2〇上,而形成金屬層15〇的 方式比如是電鍵或者是無電電鐘。另,金屬層150可以是金'銅、銀'纪、 #、錄、釕、銶或錄之單層金屬層結構,或是由上述金屬材質所組成的複 合層’而其較佳厚度係介於2微米至30微求之間。例如,此金屬層15〇可 以是以電鍍方式所形成之厚度介於8微米至35微米之間的一金層或是以電 φ 鍛方式所形成之厚度介於8微米至35微米之間的一銅層。或者,形成此金 屬層150的方式比如是藉由電鍍摩度介於8微米至35微米之間的一銅層在 . 例如是銅的種子層20上,接著電鍍厚度介於0· 1微米至10微米之間(較佳 ♦ 厚度係介於〇·1微米至5微米之間)的一鎳層在該銅層上,最後電鍵厚度介 於0.01微米至10微米之間(較佳厚度係介於0 1微米至2微米之間)的一 金層在該鎮層上。 此外,金屬層150除了上述所提之金屬材質外,也可使用含錫金屬取 ® 代,此含錫金屬係為錫鉛合金、錫銀合金、錫銀銅合金或無鉛合金,且其 - 較佳厚度介於3微米至250微米之間。另,當金屬層150為含錫金屬層時, -- 在形成金屬層之前,可先形成一擴散阻障層(圖中未示,相關内容請參 閱前逑說明)在光阻層開口 148a所暴露出的種子層20上,接著再形成金屬 層丄5〇於此擴散阻障層上,其中此擴散阻障層比如是厚度介於微米至5 微米的一鎳層以及厚度介於〇.5微米至1〇微米的一銅層,且錄層位在銅層 上0 69 1376758 月 &gt; 閱第lie圖所示,在形成金屬層15〇之後,去除光阻層1仙。接著, 形成光P層152在種子層20上,並透過曝光與顯影製程圖案化光阻層 152 ’以形成光阻層152a在第二接㈣b上方的種子層2〇上如第⑽圖 所不’而在形成光阻層152a的過程t比如是以-倍之曝光機或掃描機進行 曝光销,請參閲第11E圖所示姻未在金屬層15〇下方與光阻層版 下方的種子層20與黏著/阻障層18。其中,去除黏著/阻障層的方式可 分為乾侧及濕蝴’而乾蝴比如是使㈣壓統進機擊侧,另在 籲祕刻方面’备勸著/阻障層ls為鈦或鈦鶴合金時,可使用雙氧水進行去 除。此外’右種子層2〇為金時,可利用含有職之侧液(例如硬化卸等钱 •刻液)粉絲。财I,縣雜子層2G與麟/pj#層18之後,去除 光阻層152a,如第up圖所示。 因此’-金屬接塾154形成在保護層14之一開口 14a所暴露出的一第 接塾16a上,此金屬接墊154係由一黏著/阻障層18、位在黏著/阻障層 18上的-種子層20與位在種子層20上的-金屬層150所構成,且此金屬 # 接墊I54可以接合一打線導線(如金線或銅線)、接合一外部電路之一金屬 凸塊(如金凸塊)、接合-外部電路之-含錫金屬層、接合一含錫金屬球(利 用植球技術)、接合一貼帶(糊貼帶自動接合技術)或是透過異方性導電膠. 接合一外部電路。另外,一金屬接墊156形成在保護層14之-開口 14a所 暴露出的一第二接墊16b上,此金屬接墊156係由一黏著/阻障層18與位 在黏著/阻障層18上的一種子層20所構成,且此金屬接墊156可以接合一 打線導線(如金線或銅線)、接合一外部電路之一金屬凸塊(如金凸塊)、接 1376758 合一外部電路之一含錫金屬層、接合一含錫金屬球(利用植球技術)、接合 一貼帶(利用貼帶自動接合技術)或是透過異方性導電膠接合一外部電路。 請參閱第11G圖所示,於完成上述製程後,接著可切割半導體基底2 , 以形成複數半導體晶另158,其中每一半導體晶片158都包括有一半導體基 底2、一線路結構6、複數介電層12、一保護層14、至少一金屬接墊154 與至少-金屬.接塾156等。另,複數半導體元件4(例如電晶體或金屬氧化 物半導體等)位在此半導體基底2内或上方,且這些半導體元件4的其中之 .鲁一選擇性位在金屬接墊154或金屬接墊156的下方,又這些半導體元件4 的其中之二分別電性連接金屬接墊154及金屬接墊156。此外,在每一半導 .體晶片158 +,保護層14的頂部可為一氧石夕化合物層或是一氮石夕化合物層。 . 另’在形成複數半導體晶片158後’可以透過打線製程形成—打線導 線(例如金線或銅線)接合一半導體晶片158之金屬接墊156。因此,每一半 體晶片158均可在金屬接塾156上利用打線製程接合打線導線,並透過 金屬接塾154連接外部電路,此外部電路可以是半導體晶片、印刷電路板、 •軟板、含有陶竞材料之基板、玻璃基板或事先形成之被動元件,其中印刷 電路板含有玻璃纖維,而軟板包括厚度介於30微米至200微米之間的-聚 合物層’此聚合物層比如是聚醯亞胺。金聽塾154連接外部電路方式包 括:-、透過打線製程形成打線導線(例如金線或銅線)接合金屬接塾154 ’ 進而與-外部電路連接;二、透過貼帶自動接合技術接合一貼帶至金屬接 •塾154’進而與-外部電路連接,其中此貼帶具有至少一金屬線路與至少一 聚σ物層且金屬線路連接金屬接塾154,例如經由錫金屬或錫銀合金接合 71 1376758 金屬接墊154 ;三、糊金屬凸塊(如金凸塊)接合金屬接墊154,進而與-外部電路連接;四、透過熱麗合製程,使金屬接塾154塵入到異方性導電 .朦中’讓使位在異方性導電勝内的金屬粒子聚集在金屬接塾154與一外部 •電路(比如疋玻璃基板)之含有銦錫氧化物的-接塾之間,藉以電性連接金 屬接塾154與外部電路之含有錮錫氧化物的接塾;五、利用含錫金屬層或 含錫金屬球接合金屬接墊154,進而與一外部電路連接。 此外’本實施例亦可在切割半導體基底2之前,在金屬接塾154接合 參含錫金屬層、含錫金屬球或金屬凸塊(如金凸塊),進而連接一外部電路, 此外部電料以是半導體晶#、印刷電路板、軟板、賴基板、含有陶究 .材料之基板或事絲狀被航件,其巾_板含有玻魏維,而軟 .板包括厚度介於30微米至200微米之間的-聚合物層,此聚合物層比如是 聚酿亞胺。接著’進行半導體基底2切割,以形成複數料體晶片158。最 後,在每一半導體晶片158之金屬接墊156利用打線製程接合打線導線(例 如金線或銅線)。 • 請參閱第ηΗ圖所示,本實施例亦可先在保護層14上形成一聚合物層 39,且位在聚合物層39内之一聚合物層開口 39a與一聚合物層開口 3%分 別暴露出一第一接墊16a與一第二接墊16b,接著依照第ι1Α圖至第UF圖 所述之製程步驟,形成金屬接墊154在聚合物層開口 39a所暴露出之第一 接墊16a上,以及形成金屬接墊156在聚合物層開口 3%所暴露出之第二 ' 接墊16b上,相關說明請參閱上述内容,在此不再詳加敘述。另,聚合物. 層39係選自聚醯亞胺、苯基環丁烯 '聚氨脂、環氧樹脂、聚對二甲苯類高 72 !376758 分子、焊罩材料、彈性材料或多孔性介電材科其中之一,而形成聚合物層 39的方式除了方式之外,亦可彻髓合乾财式或網版印刷方式’ 另聚合物層39的厚度係介於1微米至30微米之間。有關第11H圖所示之 半導體晶片15此的相關應用亦請參閲上述内容,於此亦不再敘述。 - 糾’本實施例亦可如第二實施触劍在重配置線路或連接線路 月同時’閲第111圖與第11J圓所示,本實施例可在半導體基底2上 方同時戦作為魏置線路之金屬線路4()與作為連絲路的金屬線路犯, •而形成方法請參閱第二實施相關内容。接著,依照第11A圖至第11F圖所 述之製程步驟’形成金屬接墊154在聚合物層開口咖所暴露出之金屬線 .路40上以及形成金屬接塾156在聚合物層開口 6〇a所暴露出之金屬線 •路42上,相關内容請參閱上述說明,在此不再詳加敘述。另,有關在半 導體晶片158b(第111圖所示)或料體晶片臉(第出圖所示)之金屬接 墊156上利用打線製程接合打、線導線,並透過金屬接塾⑸連接外部電路 的相關内容亦請參耻述·。惟,本發《可勤上狀方式,於半導 瞻舰2规丨趟峨蝴撕,㈣_辣路或連接 •,線路上形成金屬難154與金屬接塾156,並在_騎導體W後於金 屬接塾I56上利用打線製程搂合打線導線,並透過金屬接塾⑸連接外部 電路。 ▲以上所述係藉由實施例說明本發明之特點,其目的在使熟習該技術者 此暸解本發明之内谷並據以實施,而非限定本發明之專利範圍,故,凡其 他未脫離本發明所揭示之精神所完成之等效修飾或修改,仍應包含在町 73 1376758 所述申請專利範圍中。 【圖式簡單說明】 圖式說明: . 第1圖為本發明之一晶圓的剖面示意圖。 第2Α圖至第21圖為本發明之一實施例的製程剖面示意圖。 第3Α圖至第3Ε圖為本發明之一實施例的製輕剖面示意圖。 • 第4圖為本發明一實施例之多晶片封裝結構的剖面示意圖。 第5Α圖至第5Κ圖為本發明之一實施例的製程剖面示意圖。 第6Α圖至第6J圖為本發明之一實施例的製程剖面示意圖。 第7Α圖至苐7G圖為本發明之一實施彳列的製程剖面示意圖。 第8Α圖至第8F圖為本發明之一實施例的製程剖面示意圖。 第9圖為本發明一實施例之多晶片封裝結構的剖面示意圖。 第10Α圖至第10F圖為本發明之一實施例的製程剖面示意圖。 鲁 第11Α圖至笫11J圖為本發明之一實施例的製程剖面示意圖。 圖號說明: 4半導體元件 8金屬線路層 12介電層 14a 開口 2半導體基底 6線路結構 10金屬插塞 14保護層 1376758 16接墊 16b第二接墊 20種子層 22光阻層 24金屬層 28黏著/阻障層 32光阻層 φ 34 .擴散阻障層 38半導體晶片 39聚合物層 39b聚合物層開口 42金屬線路 44a 開口 48聚合物層 ^ 48b聚合物層開口 54種子層 56a光阻層開口 60聚合物層 ' 62半導體晶片 ' 64半導體晶片 68金屬接墊 16a第一接墊 18黏著/阻障層 20’ 種子層 22a光阻層開口 26金屬接墊 30種子層 32a光阻層開口 36含錫金屬層 38’半導體晶片 39a聚合物層開口 40金屬線路 44保護層 46接墊 48a聚合物層開口 52黏著/阻障層 56光阻層 58金屬層 60a聚合物層開口 62’半導體晶片 66半導體晶片 70金屬接墊 75 1376758 72聚合物 76聚合物層 79金屬層 82光阻層 84金屬接墊 87半導體晶片 88銅層 g 92鎳層 95接墊 97外部電路 98含錫金屬球 * 99半導體晶片 99b半導體晶片 100光阻層 I . 102金屬層 104a光阻層開口 108金屬接墊 112半導體晶片 112b半導體晶片 - 114光阻層 116金屬層 74金屬線路 78軟性貼帶 80聚合物 82a光阻層 86半導體晶片 87’半導體晶片 90銅層 94金層 96金屬接墊 97’外部電路 98’含錫金屬層 99a半導體晶片 99c半導體晶片 100a光阻層開口 104光阻層 106金屬層 110金屬接墊 112a半導體晶片 112c半導體晶片 114a光阻層開口 118金屬接墊 76 1376758 120半導體晶片 120b半導體晶片 122半導體晶片 126金屬接墊 130金屬接墊 134聚合物 138光阻層 g 140金屬層 144半導體晶片 144b半導體晶片 4 146打線導線 i 148a光阻層開口 152光阻層 154金屬接墊 φ 158半導體晶片 158b半導體晶片 120a半導體晶片 120c半導體晶片 124半導體晶片 128金屬接墊 132打線導線 136聚合物 138a光阻層開口 142金屬接墊 144a半導體晶片 144c半導體晶片 148光阻層 150金屬層 152a光阻層 156金屬接墊 158a半導體晶片 158c半導體晶片 77The wire 146 is connected to the external circuit through the metal pad 142. Eighth Embodiment: «Month Referring to FIG. 11A' After completing the process steps shown in FIG. 2A, a photoresist layer 148 is formed on the seed layer 20 and the photoresist layer is patterned through an exposure and development process. 148, to form the photoresist layer opening 148a in the photoresist layer 148 and expose the seed layer 20' located above the first interface, and in the process of forming the photoresist layer 14, for example, double Exposure machine or scan 68 1376758 machine for exposure. Please refer to Figure 11B for the thickness formed! A metal layer 150 between micrometers and 5 micrometers is formed on the seed layer 2 of the photoresist layer opening 148a, and the metal layer 15 is formed in a manner such as a key or an electric clock. In addition, the metal layer 150 may be a single layer metal layer structure of gold 'copper, silver', #, 钌, 钌, 銶 or recorded, or a composite layer composed of the above metal materials, and its preferred thickness is Between 2 microns and 30 micro-bets. For example, the metal layer 15 can be formed by electroplating with a gold layer having a thickness of between 8 micrometers and 35 micrometers or by electrical volts forming between 8 micrometers and 35 micrometers. A copper layer. Alternatively, the metal layer 150 is formed by, for example, plating a copper layer having a degree of between 8 micrometers and 35 micrometers on a seed layer 20 of, for example, copper, followed by a plating thickness of 0.1 micron to A nickel layer between 10 micrometers (preferably ♦ thickness between 1 micrometer and 5 micrometers) is on the copper layer, and the final bond thickness is between 0.01 micrometers and 10 micrometers. A gold layer between 0 1 micron and 2 microns is on the town layer. In addition, in addition to the metal material mentioned above, the metal layer 150 may also be replaced by a tin-containing metal, which is a tin-lead alloy, a tin-silver alloy, a tin-silver-copper alloy or a lead-free alloy, and The thickness is between 3 microns and 250 microns. In addition, when the metal layer 150 is a tin-containing metal layer, a diffusion barrier layer may be formed before forming the metal layer (not shown in the drawing, as described in the foregoing), in the photoresist layer opening 148a. The exposed seed layer 20 is then further formed with a metal layer 丄5 on the diffusion barrier layer, wherein the diffusion barrier layer is, for example, a nickel layer having a thickness of between micrometers and 5 micrometers and a thickness of between 〇.5. A copper layer of micron to 1 〇 micron, and the recording layer is on the copper layer 0 69 1376758 months&gt; As shown in the figure of lie, after the metal layer 15 is formed, the photoresist layer is removed. Next, a light P layer 152 is formed on the seed layer 20, and the photoresist layer 152' is patterned by an exposure and development process to form a photoresist layer 152a on the seed layer 2 of the second junction (4) b as shown in the (10) figure. 'In the process of forming the photoresist layer 152a, for example, the exposure pin is performed by a doubler or a scanner. Please refer to the seed under the metal layer 15〇 and the photoresist layer as shown in Fig. 11E. Layer 20 and adhesion/barrier layer 18. Among them, the way to remove the adhesion/barrier layer can be divided into the dry side and the wet butterfly', and the dry butterfly, for example, makes the (four) pressure into the machine side, and in the case of the secret engraving, the persuasion/barrier layer ls is titanium. Or titanium dioxide alloy, can be removed with hydrogen peroxide. In addition, when the right seed layer 2 is gold, you can use the side liquid (such as hardening and unloading money • engraving) fans. After the I, the county hetero-layer 2G and the lin/pj# layer 18, the photoresist layer 152a is removed, as shown in the upper figure. Therefore, the metal interface 154 is formed on a first contact 16a exposed by the opening 14a of the protective layer 14. The metal pad 154 is formed by an adhesive/barrier layer 18 on the adhesion/barrier layer 18. The upper-seed layer 20 is formed with a -metal layer 150 on the seed layer 20, and the metal # pads I54 can be bonded to a wire conductor (such as a gold wire or a copper wire), and a metal bump of an external circuit is bonded. Blocks (such as gold bumps), joint-external circuits - tin-containing metal layers, bonding a tin-containing metal ball (using ball-planting techniques), bonding a tape (adhesive tape bonding technology) or by anisotropic Conductive adhesive. Engage an external circuit. In addition, a metal pad 156 is formed on a second pad 16b exposed by the opening 14a of the protective layer 14. The metal pad 156 is formed by an adhesive/barrier layer 18 and is positioned on the adhesion/barrier layer. A sub-layer 20 is formed on the 18, and the metal pad 156 can be bonded to a wire (such as a gold wire or a copper wire), a metal bump (such as a gold bump) bonded to an external circuit, and a 1376758 combination. One of the external circuits contains a tin metal layer, a tin-containing metal ball (using a ball-fed technique), a bonding tape (using tape bonding technology), or an external circuit through an anisotropic conductive paste. Referring to FIG. 11G, after the above process is completed, the semiconductor substrate 2 can be subsequently cut to form a plurality of semiconductor crystals 158, wherein each semiconductor wafer 158 includes a semiconductor substrate 2, a line structure 6, and a plurality of dielectric layers. The layer 12, a protective layer 14, at least one metal pad 154 and at least a metal. In addition, a plurality of semiconductor elements 4 (such as a transistor or a metal oxide semiconductor) are located in or above the semiconductor substrate 2, and one of the semiconductor elements 4 is selectively placed on the metal pad 154 or the metal pad. Below the 156, two of the semiconductor elements 4 are electrically connected to the metal pads 154 and the metal pads 156, respectively. In addition, at the top of each semiconductor wafer 158+, the top of the protective layer 14 may be a layer of a monolithic compound or a layer of a nitrogen compound. Further, after the formation of the plurality of semiconductor wafers 158, the metal pads 156 of the semiconductor wafer 158 can be bonded through a wire bonding process-forming wire (e.g., gold wire or copper wire). Therefore, each half of the wafer 158 can be bonded to the metal wire 156 by a wire bonding process and connected to the external circuit through the metal interface 154. The external circuit can be a semiconductor chip, a printed circuit board, a soft board, or a ceramic. a substrate, a glass substrate or a previously formed passive component, wherein the printed circuit board contains glass fibers, and the flexible board comprises a polymer layer having a thickness of between 30 micrometers and 200 micrometers. Imine. The method of connecting the external circuit of the Golden Auditorium 154 includes: - forming a wire conductor (such as a gold wire or a copper wire) through the wire bonding process to join the metal connector 154' and then connecting with the external circuit; 2. Bonding a sticker through the tape bonding automatic bonding technique The tape is connected to the external circuit, wherein the tape has at least one metal line and at least one poly-sigma layer and the metal line connects the metal interface 154, for example, via tin metal or tin-silver alloy bonding 71 1376758 metal pad 154; three, paste metal bumps (such as gold bumps) joint metal pads 154, and then connected to the external circuit; four, through the heat of the process, the metal interface 154 dust into the anisotropy Conductive. In the middle of the raft, the metal particles that make the position in the anisotropic conduction are concentrated between the metal interface 154 and an external circuit (such as a bismuth glass substrate) containing indium tin oxide. The connection between the metal connection 154 and the external circuit containing the tantalum oxide; and the use of the tin-containing metal layer or the tin-containing metal ball to bond the metal pad 154 to be connected to an external circuit. In addition, in this embodiment, before the semiconductor substrate 2 is cut, the tin-containing metal layer, the tin-containing metal ball or the metal bump (such as a gold bump) may be bonded to the metal interface 154, and then an external circuit is connected, and the external portion is electrically connected. The material is a semiconductor crystal #, a printed circuit board, a soft board, a substrate, a substrate containing a ceramic material, or a wire-like object, the towel board contains a glass Weiwei, and the soft board includes a thickness of 30 A polymer layer between micrometers and 200 micrometers, such as a polymerized polyimide. The semiconductor substrate 2 is then diced to form a plurality of body wafers 158. Finally, the metal pads 156 of each of the semiconductor wafers 158 are bonded by wire bonding processes (e.g., gold wires or copper wires). • Referring to the figure η, the embodiment may also form a polymer layer 39 on the protective layer 14 and a polymer layer opening 39a and a polymer layer opening 3% in the polymer layer 39. Exposing a first pad 16a and a second pad 16b respectively, and then forming a first connection of the metal pad 154 exposed in the polymer layer opening 39a according to the process steps described in FIG. 1 to FIG. The pad 16a and the metal pad 156 are formed on the second 'pad' 16b exposed by 3% of the opening of the polymer layer. Please refer to the above for related description, which will not be described in detail herein. In addition, the polymer layer 39 is selected from the group consisting of polyimine, phenylcyclobutene 'polyurethane, epoxy resin, polyparaxylene, high 72!376758 molecules, welding cap material, elastic material or porous media. One of the electrical materials, and the manner in which the polymer layer 39 is formed, in addition to the manner, can also be used in a dry or a screen printing manner. The thickness of the other polymer layer 39 is between 1 micrometer and 30 micrometers. between. Please refer to the above for the related application of the semiconductor wafer 15 shown in Fig. 11H, and will not be described here. - The present embodiment can also be as shown in the second embodiment of the striker in the reconfiguration line or the connection line month, as shown in FIG. 111 and the 11th circle. This embodiment can be used as the Wei line at the same time above the semiconductor substrate 2. The metal line 4 () and the metal line as a wire path are committed, and the method of formation is referred to the second embodiment. Next, in accordance with the process steps described in FIGS. 11A to 11F, the metal pads 154 are formed on the metal lines exposed on the polymer layer, and the metal contacts 156 are formed in the polymer layer openings. For the metal wire and road 42 exposed, please refer to the above description for details. It will not be described in detail here. In addition, on the metal pad 156 of the semiconductor wafer 158b (shown in FIG. 111) or the face of the material wafer (shown in the figure), the wire bonding process is used to bond the wire and the wire, and the external circuit is connected through the metal interface (5). Please also refer to the related content. However, this issue can be used in a diligent manner, in the semi-guided warship 2 rules, tearing, (four) _ spicy road or connection •, the formation of metal difficult 154 and metal joint 156 on the line, and in the _ riding conductor W After that, the wire bonding process is combined with the wire bonding process on the metal interface I56, and the external circuit is connected through the metal connector (5). The above description of the present invention is made by way of examples, and the purpose of the invention is to enable the skilled person to understand the invention and to implement the invention, and not to limit the scope of the invention. Equivalent modifications or modifications made by the spirit of the present invention should still be included in the scope of the patent application described in the No. 73 1376758. BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 is a schematic cross-sectional view showing a wafer of the present invention. 2D to 21 are schematic cross-sectional views showing a process of an embodiment of the present invention. 3D to 3D are schematic views of a light cross section of an embodiment of the present invention. Figure 4 is a cross-sectional view showing a multi-chip package structure in accordance with an embodiment of the present invention. 5A to 5D are schematic cross-sectional views showing a process according to an embodiment of the present invention. 6A to 6J are schematic cross-sectional views showing a process of an embodiment of the present invention. 7A to 7G are schematic cross-sectional views showing a process of one embodiment of the present invention. 8A to 8F are schematic cross-sectional views showing a process according to an embodiment of the present invention. Figure 9 is a cross-sectional view showing a multi-chip package structure according to an embodiment of the present invention. 10A to 10F are schematic cross-sectional views showing a process according to an embodiment of the present invention. Lu 11th to 11th are schematic cross-sectional views of a process according to an embodiment of the present invention. Description of the drawings: 4 semiconductor component 8 metal wiring layer 12 dielectric layer 14a opening 2 semiconductor substrate 6 wiring structure 10 metal plug 14 protective layer 1376758 16 pad 16b second pad 20 seed layer 22 photoresist layer 24 metal layer 28 Adhesive/barrier layer 32 photoresist layer φ 34. diffusion barrier layer 38 semiconductor wafer 39 polymer layer 39b polymer layer opening 42 metal line 44a opening 48 polymer layer ^ 48b polymer layer opening 54 seed layer 56a photoresist layer Opening 60 polymer layer '62 semiconductor wafer' 64 semiconductor wafer 68 metal pad 16a first pad 18 adhesion/barrier layer 20' seed layer 22a photoresist layer opening 26 metal pad 30 seed layer 32a photoresist layer opening 36 Tin-containing metal layer 38' semiconductor wafer 39a polymer layer opening 40 metal line 44 protective layer 46 pad 48a polymer layer opening 52 adhesion/barrier layer 56 photoresist layer 58 metal layer 60a polymer layer opening 62' semiconductor wafer 66 Semiconductor wafer 70 metal pad 75 1376758 72 polymer 76 polymer layer 79 metal layer 82 photoresist layer 84 metal pad 87 semiconductor wafer 88 copper layer g 92 nickel layer 95 pad 97 external circuit 98 tin metal ball * 99 semiconductor Sheet 99b semiconductor wafer 100 photoresist layer I. 102 metal layer 104a photoresist layer opening 108 metal pad 112 semiconductor wafer 112b semiconductor wafer - 114 photoresist layer 116 metal layer 74 metal line 78 soft tape 80 polymer 82a photoresist layer 86 semiconductor wafer 87' semiconductor wafer 90 copper layer 94 gold layer 96 metal pad 97' external circuit 98' tin-containing metal layer 99a semiconductor wafer 99c semiconductor wafer 100a photoresist layer opening 104 photoresist layer 106 metal layer 110 metal pad 112a Semiconductor wafer 112c semiconductor wafer 114a photoresist layer opening 118 metal pad 76 1376758 120 semiconductor wafer 120b semiconductor wafer 122 semiconductor wafer 126 metal pad 130 metal pad 134 polymer 138 photoresist layer g 140 metal layer 144 semiconductor wafer 144b semiconductor wafer 4 146 wire conductor i 148a photoresist layer opening 152 photoresist layer 154 metal pad φ 158 semiconductor wafer 158b semiconductor wafer 120a semiconductor wafer 120c semiconductor wafer 124 semiconductor wafer 128 metal pad 132 wire conductor 136 polymer 138a photoresist layer opening 142 Metal pad 144a semiconductor wafer 144c semiconductor wafer 148 photoresist layer 150 metal layer 15 2a photoresist layer 156 metal pad 158a semiconductor wafer 158c semiconductor wafer 77

Claims (1)

1376758 第096107214號專利申請案 中文申諳專利範圍替換本(100年9月) 十、申請專利範圍 |丨㈣月” 曰修(发)正本 1. 一種線路元件,包括: 玻璃基板; 軟板,包括聚合物材料; 被動元件;以及 半導體晶片,包括半導體基底、位於該半 導體基底上方的線路結構、位於該線路結構 上方的介電層、位於該介電層上方的數個含 鋁接墊、位於該線路結構及該介電層上方的 保護層以及位於該保護層上方的數個金屬接 墊,其中該線路結構包括材質為銅的金屬線 路層,該介電層的厚度小於3微米,該保護 層接觸每一該等含鋁接墊的上表面及側壁, 該保護層含有氮矽化合物、氮氧矽化合物或 氧矽化合物,每一該等金屬接墊包括黏著/ 阻障層以及位於該黏著/阻障層上方的第一 金屬層,該黏著/阻障層沒有接觸該第一金 屬層的側壁,該等金屬接墊中的第一金屬接 墊連接該玻璃基板的接墊,且該第一金屬接 墊經由位於該保護層内的第一開口連接該等 含鋁接墊中的第一含鋁接墊,該第一金屬接 墊位於該保護層與該玻璃基板的該接墊之 間,該第一金屬接墊的頂部沒有包括或接觸 143038-1000922.doc 月日·修(史,,正二 — ......... 錫金屬,該第一金屬接墊沒有經由錫金屬連 接該玻璃基板的該接墊,該等金屬接墊中的 第二金屬接墊連接該被動元件,且該第二金 屬接墊經由位於該保護層内的第二開口連接 該等含鋁接墊中的第二含鋁接墊,該等金屬 接墊中的第三金屬接墊連接該軟板,且該第 三金屬接墊經由位於該保護層内的第三開口 連接該等含鋁接墊中的第三含鋁接墊。 2. 如申請專利範圍第1項所述之線路元件,其 中該等金屬接墊的頂端均包括金。 3. 如申請專利範圍第1項所述之線路元件,其 中每一該等金屬接墊還包括位於該黏著/阻 障層與該第一金屬層之間的第二金屬層,該 第一金屬層接觸該第二金屬層的上表面,該 第一金屬層的厚度介於8微米至35微米之 間,該第二金屬層的厚度介於0.005微米至2 微米之間。 4. 如申請專利範圍第1項所述之線路元件,其 中該第一金屬接塾、該第二金屬接塾以及該 第三金屬接墊三者具有相同的組成結構。 5. 如申請專利範圍第1項所述之線路元件,其 中該半導體晶片還包括位於該保護層上的聚 合物層,且該等金屬接墊還位於該聚合物層 143038-1000922.doc 1376758 ' 年月 e …’本· 的上方。 6. 如申請專利範圍第1項所述之線路元件,其 中該第一金屬層為銅層。 7. 如申請專利範圍第6項所述之線路元件,其 中該銅層的厚度介於8微米至3^微米之間。 8. 如申請專利範圍第6項所述之線路元件,其 中每一該等金屬接墊還包括金層,且該金層 位於該銅層上方。 9. 如申請專利範圍第8項所述之線路元件,其 中該金層的厚度介於0.1微米至2微米之間。 1 〇.如申請專利範圍第8項所述之線路元件,其 中每一該等金屬接墊還包括鎳層,且該鎳層 位於該銅層與該金層之間。 1 1 .如申請專利範圍第1項所述之線路元件,其 中該第一金屬層的厚度介於8微米至35微米 之間。 1 2.如申請專利範圍第1項所述之線路元件,其 中該第一金屬層包括金層。 1 3 .如申請專利範圍第1項所述之線路元件,其 中該黏著/阻障層包括欽、IL化鈦、鈦鶴合 金、組、氮化组、絡或絡銅合金。 1 4.如申請專利範圍第1項所述之線路元件,還 包括含錫金屬,且該第二金屬接墊經由該含 143038-1000922.doc 1376758 錫金屬連接該被動元件。 15. 如申請專利範圍第14項所述之線路元件,其 中該等金屬接墊的頂端均包括金。 16. 如申請專利範圍第1項、第14項或第15項所 述之線路元件,還包括異方性導電膠,且該 第一金屬接墊經由該異方性導電膠内的金屬 粒子連接該玻璃基板的該接墊。 1 7.如申請專利範圍第1項所述之線路元件,其 中該玻璃基板的該接墊含有銦錫氧化物。 1 8.如申請專利範圍第1項所述之線路元件,其 中該等金屬接墊接觸該保護層的上表面,該 第一金屬接墊位於該第一含鋁接墊上方,該 第二金屬接墊位於該第二含鋁接墊上方,該 第三金屬接墊位於該第三含鋁接墊上方。 1 9.如申請專利範圍第1項所述之線路元件,還 包括另一半導體晶片,且該等金屬接墊中的 第四金屬接墊連接該另一半導體晶片。 20. —種線路元件,包括: 玻璃基板; 軟板,包括聚合物材料; 被動元件;以及 半導體晶片,包括半導體基底、位於該半 導體基底上方的線路結構、位於該線路結構 143038-1000922.doc 1376758 上方的介電層、位於該介電層上方的數個含 鋁接墊、位於該線路結構及該介電層上方的 保護層、位於該保護層及該等含鋁接墊上方 的數條金屬線路以及位於該等金屬線路上的 數個金屬接墊,其中該線路結構包括材質為 銅的金屬線路層,該介電層的厚度小於3微 米,該保護層接觸每一該等含鋁接墊的上表 面及側壁,該保護層含有氮矽化合物、氮氧 矽化合物或氧矽化合物,每一該等金屬線路 包括第一金屬層,每一該等金屬接墊包括第 二金屬層,該等金屬線路中的第一金屬線路 經由位於該保護層内的第一開口連接該等含 鋁接墊中的第一含鋁接墊,該等金屬線路中 的第二金屬線路經由位於該保護層内的第二 開口連接該等含鋁接墊中的第二含鋁接墊, 該等金屬線路中的第三金屬線路經由位於該 保護層内的第三開口連接該等含鋁接墊中的 第三含鋁接墊,該等金屬接墊中的第一金屬 接墊連接該玻璃基板的接墊,並且經由該第 一金屬線路連接該第一含鋁接墊,該第一金 屬接墊位於該保護層與該玻璃基板的該接墊 之間,該第一金屬接墊的頂部沒有包括或接 觸錫金屬,該第一金屬接墊沒有經由錫金屬 143038-1000922.doc 1376758 連接該玻璃基板的該接墊,該等金屬接墊中 的第二金屬接墊連接該被動元件,並且經由 該第二金屬線路連接該第二含鋁接墊,該等 金屬接墊中的第三金屬接墊連接該軟板,並 且經由該第三金屬線路連接該第三含鋁接 塾0 21.如申請專利範圍第20項所述之線路元件,其 中該等金屬接墊的頂端均包括金。 2 2.如申請專利範圍第20項所述之線路元件,其 中每一該等金屬接墊還包括位於該第二金屬 層下方的第三金屬層,該第二金屬層接觸該 第三金屬層的上表面,該第二金屬層的厚度 介於8微米至35微米之間,該第三金屬層的 厚度介於0.005微米至2微米之間,該第三金 屬層沒有接觸該第二金屬層的側壁。 2 3.如申請專利範圍第20項所述之線路元件,其 中該第一金屬接墊、該第二金屬接墊以及該 第三金屬接墊三者具有相同的組成結構。 24. 如申請專利範圍第20項所述之線路元件,其 中該半導體晶片還包括位於該保護層上的聚 合物層,且該等金屬線路還位於該聚合物層 上。 25. 如申請專利範圍第20項所述之線路元件,其 143038-1000922.doc 1376758 26.1376758 Patent application No. 096,107,214, Chinese patent application, replacement of this patent (September 100) X. Patent application scope|丨(四)月” 曰修(发发)本本1. A circuit component, including: glass substrate; soft board, Including a polymer material; a passive component; and a semiconductor wafer including a semiconductor substrate, a wiring structure over the semiconductor substrate, a dielectric layer over the wiring structure, a plurality of aluminum-containing pads over the dielectric layer, The circuit structure and the protective layer above the dielectric layer and the plurality of metal pads above the protective layer, wherein the circuit structure comprises a metal circuit layer made of copper, the dielectric layer having a thickness of less than 3 micrometers, the protection The layer contacts the upper surface and the sidewall of each of the aluminum-containing pads, the protective layer containing a nitrogen cerium compound, a oxynitride compound or an oxonium compound, each of the metal pads including an adhesion/barrier layer and the adhesion layer a first metal layer over the barrier layer, the adhesion/barrier layer not contacting the sidewall of the first metal layer, and the first metal connection in the metal pads The pad is connected to the pad of the glass substrate, and the first metal pad is connected to the first aluminum-containing pad in the aluminum-containing pads via a first opening in the protective layer, where the first metal pad is located Between the protective layer and the pad of the glass substrate, the top of the first metal pad does not include or contact 143038-1000922.doc 日日修(史,,正二—......... tin Metal, the first metal pad is not connected to the pad of the glass substrate via tin metal, a second metal pad of the metal pads is connected to the passive component, and the second metal pad is located via the protective layer a second opening is connected to the second aluminum-containing pad of the aluminum-containing pads, a third metal pad of the metal pads is connected to the soft plate, and the third metal pad is located at the protective layer The third opening is connected to the third aluminum-containing pad of the aluminum-containing pads. 2. The circuit component of claim 1, wherein the metal pads have gold ends at their tips. Such as the circuit component described in claim 1, wherein each of the metal pads A second metal layer is disposed between the adhesion/barrier layer and the first metal layer, the first metal layer contacting an upper surface of the second metal layer, the first metal layer having a thickness of 8 micrometers to 35 Between the micrometers, the thickness of the second metal layer is between 0.005 micrometers and 2 micrometers. 4. The circuit component of claim 1, wherein the first metal interface and the second metal interface And the third metal pad has the same composition. The circuit component of claim 1, wherein the semiconductor wafer further comprises a polymer layer on the protective layer, and the metal The pads are also located above the polymer layer 143038-1000922.doc 1376758 'year month e ...' Ben. 6. The circuit component of claim 1, wherein the first metal layer is a copper layer. 7. The circuit component of claim 6, wherein the copper layer has a thickness of between 8 microns and 3 microns. 8. The circuit component of claim 6, wherein each of the metal pads further comprises a gold layer, and the gold layer is over the copper layer. 9. The circuit component of claim 8 wherein the gold layer has a thickness between 0.1 microns and 2 microns. 1 . The circuit component of claim 8, wherein each of the metal pads further comprises a nickel layer, and the nickel layer is between the copper layer and the gold layer. The circuit component of claim 1, wherein the first metal layer has a thickness of between 8 micrometers and 35 micrometers. The circuit component of claim 1, wherein the first metal layer comprises a gold layer. The circuit component according to claim 1, wherein the adhesion/barrier layer comprises a zirconia, an illuminating titanium, a titanium alloy, a group, a nitrided group, a complex or a copper alloy. The circuit component of claim 1, further comprising a tin-containing metal, and the second metal pad connects the passive component via the tin metal containing 143038-1000922.doc 1376758. 15. The circuit component of claim 14, wherein the top end of the metal pads comprises gold. 16. The circuit component of claim 1, claim 14, or claim 15, further comprising an anisotropic conductive paste, and the first metal pad is connected via metal particles in the anisotropic conductive paste The pad of the glass substrate. The circuit component according to claim 1, wherein the pad of the glass substrate contains indium tin oxide. The circuit component of claim 1, wherein the metal pads contact an upper surface of the protective layer, the first metal pad is located above the first aluminum-containing pad, the second metal The pad is located above the second aluminum-containing pad, and the third metal pad is located above the third aluminum-containing pad. The circuit component of claim 1, further comprising another semiconductor wafer, and the fourth metal pad of the metal pads is connected to the other semiconductor wafer. 20. A circuit component comprising: a glass substrate; a flexible board comprising a polymeric material; a passive component; and a semiconductor wafer comprising a semiconductor substrate, a wiring structure over the semiconductor substrate, located at the wiring structure 143038-1000922.doc 1376758 An upper dielectric layer, a plurality of aluminum-containing pads over the dielectric layer, a protective layer over the circuit structure and the dielectric layer, and a plurality of metals on the protective layer and the aluminum-containing pads a circuit and a plurality of metal pads on the metal lines, wherein the circuit structure comprises a metal circuit layer made of copper, the dielectric layer having a thickness of less than 3 micrometers, the protective layer contacting each of the aluminum-containing pads The upper surface and the sidewall, the protective layer containing a nitrogen cerium compound, a oxynitride compound or an oxonium compound, each of the metal lines comprising a first metal layer, each of the metal pads comprising a second metal layer, a first metal line in the metal line is connected to the first aluminum-containing pad in the aluminum-containing pads via a first opening in the protective layer, the metal lines The second metal line is connected to the second aluminum-containing pads of the aluminum-containing pads via a second opening in the protective layer, and the third metal line of the metal lines is via the first layer located in the protective layer a third opening is connected to the third aluminum-containing pads of the aluminum-containing pads, wherein the first metal pads of the metal pads are connected to the pads of the glass substrate, and the first metal is connected via the first metal line An aluminum pad, the first metal pad is located between the protective layer and the pad of the glass substrate, the top of the first metal pad does not include or contact tin metal, and the first metal pad does not pass through the tin metal 143038-1000922.doc 1376758 connecting the pad of the glass substrate, a second metal pad of the metal pads connecting the passive component, and connecting the second aluminum-containing pad via the second metal line, a third metal pad in the metal pad is connected to the flexible board, and the third aluminum-containing interface is connected via the third metal line. 21. The circuit component according to claim 20, wherein the metal Top of the pad Including gold. 2. The circuit component of claim 20, wherein each of the metal pads further comprises a third metal layer under the second metal layer, the second metal layer contacting the third metal layer The upper surface, the second metal layer has a thickness of between 8 micrometers and 35 micrometers, the third metal layer has a thickness of between 0.005 micrometers and 2 micrometers, and the third metal layer does not contact the second metal layer Side wall. 2. The circuit component of claim 20, wherein the first metal pad, the second metal pad, and the third metal pad have the same composition. 24. The circuit component of claim 20, wherein the semiconductor wafer further comprises a polymer layer on the protective layer, and the metal lines are further on the polymer layer. 25. The line component as described in claim 20, 143038-1000922.doc 1376758 26. 27. 28. 29.27. 28. 29. 30. 3 1 . 32. 中該半導體晶片還包括位於該保護層及該等 金屬線路上方的聚合物層,該聚合物層接觸 該等金屬線路的上表面及側壁。 如申請專利範圍第20項所述之線路元件,其 中該第一金屬線路經由位於該保護層内的第 四開口連接該等含鋁接墊中的第四含鋁接 墊,該第一金屬接墊經由該第一金屬線路連 接該第四含鋁接墊,該第一含鋁接墊經由該 第一金屬線路連接該第四含鋁接墊。 如申請專利範圍第2 0項所述之線路元件,其 中該第三金屬線路為電源匯流排,且該電源 匯流排連接該保護層下的電源線路。 如申請專利範圍第2 0項所述之線路元件,其 中該第二金屬層為銅層。 如申請專利範圍第2 8項所述之線路元件,其 中該銅層的厚度介於8微米至35微米之間。 如申請專利範圍第2 8項所述之線路元件,其 中每一該等金屬接塾還包括金層,且該金層 位於該銅層上方。 如申請專利範圍第3 0項所述之線路元件,其 中該金層的厚度介於0.1微米至2微米之間。 如申請專利範圍第3 0項所述之線路元件,其 中每一該等金屬接墊還包括鎳層,且該鎳層 143038-1000922.doc 1376758 位於該銅層與該金層之間。 33. 如申請專利範圍第20項所述之線路元 中該第二金屬層的厚度介於8微米至 之間。 34. 如申請專利範圍第20項所述之線路元 中該第二金屬層包括金層。 35. 如申請專利範圍第20項所述之線路元 中每一該等金屬接墊還包括位於該第 層下方的黏著/阻障層,該黏著/阻障 鈦、氮化鈦、鈦鶴合金、组、氮化組 鉻銅合金。 36. 如申請專利範圍第20項所述之線路元 包括含錫金屬,且該第二金屬接墊經 錫金屬連接該被動元件。 3 7 .如申請專利範圍第3 6項所述之線路元 中該等金屬接墊的頂端均包括金。 38. 如申請專利範圍第20項、第36項或第 述之線路元件,還包括異方性導電膠 第一金屬接墊經由該異方性導電膠内 粒子連接該玻璃基板的該接墊。 39. 如申請專利範圍第20項所述之線路元 中該玻璃基板的該接墊含有銦錫氧化」 40. 如申請專利範圍第20項所述之線路元 143038-1000922.doc 件,其 35微米 件,其 件,其 二金屬 層包括 、絡或 件,還 由該含 件,其 37項所 ,且該 的金屬 件,其 勿0 件,其 1376758 中該等金屬線路接觸該保護層的上表 41.如申請專利範圍第20項所述之線路元 中該第一金屬層的厚度介於2微米至 之間。 4 2.如申請專利範圍第20或41項所述之 件,其中該第一金屬層包括銅層。 4 3.如申請專利範圍第20項所述之線路元 中該第一金屬層包括金層。 44.如申請專利範圍第1項所述之線路元 包括另一半導體晶片,且該等金屬接 第四金屬接墊連接該另一半導體晶片 4 5 .如申請專利範圍第2 0項所述之線路元 中該第一金屬層包括銅層,該第二金 括金層^ 143038-1000922.doc 面。 件,其 20微米 線路元 件,其 件,還 墊中的 〇 件,其 屬層包30. 3 1 . 32. The semiconductor wafer further includes a polymer layer over the protective layer and the metal lines, the polymer layer contacting an upper surface and sidewalls of the metal lines. The circuit component of claim 20, wherein the first metal line is connected to the fourth aluminum-containing pad in the aluminum-containing pads via a fourth opening in the protective layer, the first metal connection The pad is connected to the fourth aluminum-containing pad via the first metal line, and the first aluminum-containing pad is connected to the fourth aluminum-containing pad via the first metal line. The circuit component of claim 20, wherein the third metal line is a power bus, and the power bus is connected to a power line under the protection layer. The circuit component of claim 20, wherein the second metal layer is a copper layer. The circuit component of claim 28, wherein the copper layer has a thickness of between 8 microns and 35 microns. The circuit component of claim 28, wherein each of the metal interfaces further comprises a gold layer, and the gold layer is located above the copper layer. The circuit component of claim 30, wherein the gold layer has a thickness of between 0.1 micrometers and 2 micrometers. The circuit component of claim 30, wherein each of the metal pads further comprises a nickel layer, and the nickel layer 143038-1000922.doc 1376758 is located between the copper layer and the gold layer. 33. The thickness of the second metal layer in the line element of claim 20 is between 8 microns and between. 34. The second metal layer of the line element of claim 20, comprising the gold layer. 35. Each of the metal pads of the circuit element of claim 20 further comprising an adhesion/barrier layer under the first layer, the adhesion/barrier titanium, titanium nitride, titanium alloy , group, nitrided group chrome-copper alloy. 36. The line element of claim 20, comprising a tin-containing metal, and the second metal pad is connected to the passive component via a tin metal. 3 7. The top of the metal pads in the line elements described in claim 36 of the patent application includes gold. 38. The circuit component of claim 20, claim 36 or claim, further comprising an anisotropic conductive paste. The first metal pad connects the pad of the glass substrate via the anisotropic conductive adhesive inner particle. 39. The contact pad of the glass substrate in the circuit element according to claim 20 of claim 20 contains indium tin oxide. 40. According to the line element 143038-1000922.doc according to claim 20, 35 a micro-piece, the member of which has two metal layers including, a network or a member, and also includes the member, the item 37, and the metal member, which does not have 0 pieces, wherein the metal wires of the 1376758 contact the protective layer The thickness of the first metal layer in the line element as described in claim 20 is between 2 micrometers and between. 4. The article of claim 20, wherein the first metal layer comprises a copper layer. 4. The first metal layer comprises a gold layer in the line element of claim 20 of the patent application. 44. The line element of claim 1 includes another semiconductor wafer, and the metal is connected to the fourth metal pad to connect the other semiconductor wafer 45. As described in claim 20 The first metal layer in the line element includes a copper layer, and the second gold layer includes a gold layer 143038-1000922.doc. Piece, its 20 micron line component, its components, and the components in the pad,
TW096107214A 2006-03-02 2007-03-02 Chip package and method for fabricating the same TWI376758B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US76708006P 2006-03-02 2006-03-02

Publications (2)

Publication Number Publication Date
TW200741922A TW200741922A (en) 2007-11-01
TWI376758B true TWI376758B (en) 2012-11-11

Family

ID=48087818

Family Applications (2)

Application Number Title Priority Date Filing Date
TW096107211A TWI427718B (en) 2006-03-02 2007-03-02 Chip package and method for fabricating the same
TW096107214A TWI376758B (en) 2006-03-02 2007-03-02 Chip package and method for fabricating the same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW096107211A TWI427718B (en) 2006-03-02 2007-03-02 Chip package and method for fabricating the same

Country Status (1)

Country Link
TW (2) TWI427718B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7615407B1 (en) * 2008-07-02 2009-11-10 National Semiconductor Corporation Methods and systems for packaging integrated circuits with integrated passive components

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI317548B (en) * 2003-05-27 2009-11-21 Megica Corp Chip structure and method for fabricating the same

Also Published As

Publication number Publication date
TW200741921A (en) 2007-11-01
TWI427718B (en) 2014-02-21
TW200741922A (en) 2007-11-01

Similar Documents

Publication Publication Date Title
TWI320219B (en) Method for forming a double embossing structure
US7964961B2 (en) Chip package
TWI449140B (en) Cu pillar bump with non-metal sidewall spacer and metal top cap
TWI312169B (en) Chip structure and process for forming the same
JP3771905B2 (en) Common ball restricted metal for input / output sites
TWI582930B (en) Integrated circuit device and packaging assembly
US6906429B2 (en) Semiconductor device and method of fabricating the same
US6787903B2 (en) Semiconductor device with under bump metallurgy and method for fabricating the same
US8836146B2 (en) Chip package and method for fabricating the same
TW200845254A (en) Chip assembly
US8148822B2 (en) Bonding pad on IC substrate and method for making the same
US7932169B2 (en) Interconnection for flip-chip using lead-free solders and having improved reaction barrier layers
US7501311B2 (en) Fabrication method of a wafer structure
TW201222752A (en) Packaging assembly, integrated circuit device and method of forming the same
TW200832643A (en) Under bump metallurgy structure of a package and method of making same
US20060214296A1 (en) Semiconductor device and semiconductor-device manufacturing method
JP4121542B1 (en) Manufacturing method of electronic device
TW200913103A (en) Chip assembly
TW200947509A (en) Circuit structure and fabrication method thereof
TW201142997A (en) Integrated circuit devices, fabrication method thereof and packaging assembly
TW201133748A (en) Integrated circuit devices and flip-chip assemblies
TW200828564A (en) Multi-chip package structure and method of forming the same
TW201128752A (en) Integrated circuit packages and fabrication method thereof
US20080230877A1 (en) Semiconductor package having wire redistribution layer and method of fabricating the same
JP5060038B2 (en) Electronic circuit device and manufacturing method thereof

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees