TWI375284B - Chip packaging structure and manufacturing process thereof - Google Patents

Chip packaging structure and manufacturing process thereof Download PDF

Info

Publication number
TWI375284B
TWI375284B TW95115973A TW95115973A TWI375284B TW I375284 B TWI375284 B TW I375284B TW 95115973 A TW95115973 A TW 95115973A TW 95115973 A TW95115973 A TW 95115973A TW I375284 B TWI375284 B TW I375284B
Authority
TW
Taiwan
Prior art keywords
layer
wafer
metal
tin
micrometers
Prior art date
Application number
TW95115973A
Other languages
Chinese (zh)
Other versions
TW200723416A (en
Inventor
Mou Shiung Lin
Shih Hsiung Lin
Hsin Jung Lo
Original Assignee
Megica Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/124,493 external-priority patent/US8232192B2/en
Application filed by Megica Corp filed Critical Megica Corp
Publication of TW200723416A publication Critical patent/TW200723416A/en
Application granted granted Critical
Publication of TWI375284B publication Critical patent/TWI375284B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83192Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)

Description

1375284 九、發明說明: 【發明所屬之技術領域】 本發明係有關一種晶片封裝結構,特別是有關一種使 晶片接點更密集且封裝結構之體積更為縮小的半導體接合 製程及其結構。 【先前技術】1375284 IX. Description of the Invention: The present invention relates to a chip package structure, and more particularly to a semiconductor bonding process and a structure for making a wafer contact denser and a package structure smaller in size. [Prior Art]

隨著半導體製程技術的進步,積體電路的密度不斷增 加,使構裝處理單元及功作愈來愈多,且對速度之要求亦 愈來愈快,使得製作體積小、速度快及高密度之構裝元件 已成趨勢。With the advancement of semiconductor process technology, the density of integrated circuits has been increasing, making the processing unit and work more and more, and the speed requirements are getting faster and faster, making the production volume small, fast and high density. The components of the assembly have become a trend.

Φ〇Ά 習知多晶片覆晶封裝製程,請參閱第一圖所 示’首先透過複數凸塊12將晶片14、16進行接 合’然後再進行填充底膠18(underfill)的製程, 此製程係藉由毛細現象將黏滯性低的底膠1 8流入 於二晶片14、1 6之間的空隙内,並包覆凸塊i 2, 接著在進行硬化(curing)的製程,使底膠18硬 化。然而此製程在填入黏滯性低的底膠1 8時,因 底膠1 8流動性高,而導致污染晶片14周緣的對 外接墊20’因此為了防止接墊20受到污染,而將 接墊2 0配置在遠離晶片14周緣的位置,在晶片 1 4設計有極大的限制,並使封裝的體積無法縮小。 上述習知技術之缺點,已在我國發明專利第 1 230989號之「晶片接合製程」獲得改善,然而此「晶 片接合製程」仍有許多問題’首先在此技術係使用的辉 修至 料凸塊進行接合’而此種銲料凸塊以目前技術所製造直徑 大約在3 0 0微米之間的球型體’而每一鲜料凸塊之間的間 距也係在300微米之間,如此才能在晶片接合(高溫)時有 足夠結構強度支撐’所以此封裝結構在設計時每一接塾之 間距離無法縮短,進而導致封裝體積上並無法大幅縮小, 對於。 有鑑於此’本發明係針對上述之問題,提出一種晶片 封裝製程及其結構’有效解決上述習知技術之問題。 【發明内容】 本發明之主要目的,係在提供一種晶片封裝製程及其 結構,藉由金凸塊或金屬柱體取代習知的銲料凸塊,使每 一凸塊之間的間距減小,進而使整個覆晶結構體積大幅縮 /J、〇 本發明之另一目的,係在提供一種晶片封裝製程及其 結構,利用金凸塊或金屬柱體取代習知的銲料凸塊,使晶 片上的接點更密集,並使晶片在設計上更為多元,進而使 整體的半導體接合結構更具經濟效益。 根據本發明,一種晶片封裝製程,包括提供一半導體 疋件及多數凸塊,這些凸塊係位在半導體元件上,每一凸 塊包括一金層;提供一線路元件;形成一圓案化聚合物層 在此線路元件上,圖案化聚合物層内之多數個開口暴露出 線路元件;接合該圖案化聚合物層及該半導體元件,及接 合這些凸塊及線路元件。 一種晶片封裝製程,包括提供一半導體元件及多數金Φ〇Ά The conventional multi-chip flip chip packaging process, please refer to the first figure, 'first join the wafers 14, 16 through the plurality of bumps 12' and then fill the underfill 18 process, the process is borrowed The viscous primer 8 8 is flown into the gap between the two wafers 14 and 16 by the capillary phenomenon, and the bump i 2 is coated, and then the curing process is performed to harden the primer 18 . . However, when the process is filled with the low-viscosity primer 8, the external adhesive pad 20' which pollutes the periphery of the wafer 14 is high due to the high fluidity of the primer 18. Therefore, in order to prevent the pad 20 from being contaminated, it is connected. The pad 20 is disposed at a position away from the periphery of the wafer 14, and the design of the wafer 14 is extremely limited, and the volume of the package cannot be reduced. The shortcomings of the above-mentioned prior art have been improved in the "wafer bonding process" of the Chinese Patent No. 1 230989. However, there are still many problems in the "wafer bonding process". First, the repairing bumps used in this technology system are used. Bonding 'and such solder bumps are made by the prior art to a spherical body having a diameter of about 300 microns, and the spacing between each fresh bump is also between 300 microns, so that When the wafer is bonded (high temperature), there is sufficient structural strength support. Therefore, the distance between each joint of the package structure cannot be shortened during design, and the package volume cannot be greatly reduced. In view of the above, the present invention addresses the above problems, and proposes a wafer packaging process and its structure to effectively solve the problems of the above-mentioned prior art. SUMMARY OF THE INVENTION The main object of the present invention is to provide a chip packaging process and a structure thereof, in which a gold bump or a metal pillar is substituted for a conventional solder bump to reduce the pitch between each bump. Further, the volume of the entire flip-chip structure is greatly reduced. Another object of the present invention is to provide a wafer packaging process and a structure thereof, in which a gold bump or a metal pillar is used instead of a conventional solder bump to be mounted on a wafer. The contacts are denser and the wafers are more versatile in design, making the overall semiconductor junction structure more economical. According to the present invention, a chip packaging process includes providing a semiconductor device and a plurality of bumps, the bumps being on a semiconductor component, each bump including a gold layer; providing a circuit component; forming a rounded polymer A layer on the line component, a plurality of openings in the patterned polymer layer exposing the circuit component; bonding the patterned polymer layer and the semiconductor component, and bonding the bumps and circuit components. A chip packaging process including providing a semiconductor component and a majority of gold

屬柱體,這些金屬柱體係位在該半導體元件上,每一金屬 柱體包括一銅層;提供一線路元件;形成一圖案化聚合物 層在此線路元件上,圖案化聚合物層内之多數個開口暴露 出該線路元件;接合此圖案化聚合物層及半導體元件,及 接合這些金屬柱體及線路元件。 而本發明之結構係包括一半導體元件及一線路元件, 在半導體元件及線路元件之間設置複數金屬柱體,在晶片 與該線路元件之間設置一聚合物層,以接合至此晶片與線 路元件表面。 而本發明之結構係包括一半導體元件及一線路元件, 在半導體元件及線路元件之間設置複數金屬柱體,且相鄰 之該些凸塊之間距係介於5微米至100微米之間,在晶片 與該線路元件之間設置一聚合物層,以接合至此晶片與線 路元件表面。 底下藉由具體實施例配合所附的圖式詳加說明,當更 容易瞭解本發明之目的、技術内容、特點及其所達成之功 效。 【實施方式】 本發明係為晶片封裝製程及其結構,其係利用金凸塊 或金屬柱體取代習知技術中的銲料凸塊,因此可大幅改善 習知技術中接點間距過大的缺點,而以下就二種不同實施 例分別解說,一者為以含金金屬層之凸塊為主的半導體接 合結構與製程,另一則為以金屬柱體為主的半導體接合結 構與製程。 • . 1 • . 1 第一實施例 補充 首先說明第一種以金凸塊為主的實施例結構,請參閱 第二圖及第三圖所示’此晶片封裝結構包括一半導體元件 及一線路元件24’在此實施例中半導體元件係以半導體晶 片22為例,而此半導體晶片22係經由一半導體晶圓23 切割而產生’並且在半導體晶圓23未切割時已先將金凸塊 設置,待金凸塊在半導體晶圓23上設置完成時,才進行切 割半導體晶圓23的步驟’而半導體晶片22包括位在最底 部之半導體基底,基底之形式比如是矽基底、砷化鎵基底 (GAAS )、矽化鍺基底、具有磊晶矽在絕緣層上 (silicon-on-insulator,SOI)之基底。 另外,此線路元件24之型式可為半導體晶圓或基板其 中之一,若線路元件24係為基板時,此線路元件比如 是玻璃基板、印刷電路板、陶瓷基板、軟板或含有玻璃纖 維之基板其中之一。而線路元件24若為半導體晶圓型式 時,線路元件24之材質同樣係包括矽基底、砷化鎵(GAAS) 基底、矽化鍺基底、具有磊晶矽在絕緣層上 (silic〇n-on-insulat〇r , s〇I)之基底,且此線路元件 μ 可在接合上半導體晶片22後,可以進行切割線路元件24 之步驟,在此實施例中線路元件24之型式係以半導體晶圓 為說明標的。 作為線路元件24之半導體晶圓23,與可以切割出半 導體晶片22之半導體晶圓23是具有相似的結構,如下所 述半導體晶圓23、23,具有一主動表面’在半導體晶圓 1375284a column, the metal pillar system is located on the semiconductor component, each metal pillar comprises a copper layer; a circuit component is provided; a patterned polymer layer is formed on the circuit component, and the patterned polymer layer is A plurality of openings expose the circuit components; bonding the patterned polymer layer and the semiconductor components, and bonding the metal pillars and the wiring components. The structure of the present invention comprises a semiconductor component and a circuit component. A plurality of metal pillars are disposed between the semiconductor component and the circuit component, and a polymer layer is disposed between the wafer and the circuit component to bond to the wafer and the circuit component. surface. The structure of the present invention includes a semiconductor component and a circuit component. A plurality of metal pillars are disposed between the semiconductor component and the circuit component, and the distance between the adjacent bumps is between 5 micrometers and 100 micrometers. A polymer layer is disposed between the wafer and the line component to bond to the surface of the wafer and the line component. The purpose, technical contents, features, and effects achieved by the present invention will become more apparent from the detailed description of the embodiments and the accompanying drawings. [Embodiment] The present invention is a wafer packaging process and a structure thereof, which replaces solder bumps in the prior art by using gold bumps or metal pillars, thereby greatly improving the disadvantages of excessive joint pitch in the prior art. The following are two different embodiments, one is a semiconductor junction structure and a process mainly composed of a bump containing a gold metal layer, and the other is a semiconductor junction structure and a process mainly composed of a metal pillar. 1. 1 • . 1 First Embodiment Supplement First, the first embodiment of the gold bump-based embodiment will be described. Referring to the second and third figures, the chip package structure includes a semiconductor component and a line. In this embodiment, the semiconductor device is exemplified by the semiconductor wafer 22, and the semiconductor wafer 22 is cut by a semiconductor wafer 23 to generate 'and the gold bumps are first set when the semiconductor wafer 23 is not cut. The step of cutting the semiconductor wafer 23 is performed when the gold bump is disposed on the semiconductor wafer 23, and the semiconductor wafer 22 includes the semiconductor substrate at the bottom. The substrate is in the form of a germanium substrate or a gallium arsenide substrate. (GAAS), a germanium telluride substrate, and a substrate having an epitaxial germanium on a silicon-on-insulator (SOI). In addition, the type of the line component 24 can be one of a semiconductor wafer or a substrate. If the circuit component 24 is a substrate, the circuit component is, for example, a glass substrate, a printed circuit board, a ceramic substrate, a soft board, or a glass fiber. One of the substrates. When the circuit component 24 is of a semiconductor wafer type, the material of the circuit component 24 also includes a germanium substrate, a gallium arsenide (GAAS) substrate, a germanium telluride substrate, and an epitaxial germanium on the insulating layer (silic〇n-on- The substrate of insulat〇r, s〇I), and the circuit component μ can be subjected to the step of cutting the wiring component 24 after bonding the semiconductor wafer 22. In this embodiment, the type of the wiring component 24 is a semiconductor wafer. Explain the target. The semiconductor wafer 23 as the line component 24 has a similar structure to the semiconductor wafer 23 from which the semiconductor wafer 22 can be cut, as described below, having the semiconductor wafer 23, 23 having an active surface 'on the semiconductor wafer 1375284

23、23,的主動表面透過摻雜五價或三價的離子(例如硼離 子或磷離子等)形成多個電子元件26,此電子元件26例如 是金屬氧化物半導體或電晶體’金氧半導體元件(MOS devices),P通道金氧半導體元件(P-channel MOS devices),η通道金氧半導體元件(n-channel MOS devices),雙載子互補式金氧半導體元件(BiCMOS devices),雙載子連接電晶體(Bipolar Junction Transistor,BJT),擴散區(Diffusion area),電阻元件 (resistor),電容元件(capacitor)及互補金屬氧化半導體 (CMOS)等。 而在半導體晶圓23、23’的主動表面上各別設置複數 薄膜絕緣層28、30、32及線路層34、36,其中此薄膜絕 緣層28、30、32又稱為介電層,一般是利用化學氣相沉積 的方式所形成。此薄膜絕緣層28、30、32比如係為氧化矽、 化學氣相沈積之四乙氧基矽烷(TE0S)氧化物、SiwCxOyHz、 氮矽化合物或氮氧矽化合物,或是以旋塗方式形成之玻璃 (S0G)、氟化玻璃(FSG)、絲印層(SiLK)、黑鑽石薄膜(Black Diamond)、聚芳基酯(polyarylene ether)、聚苯 °惡吐 (polybenzoxazole,PBO)、多孔性氧化石夕(p〇rous silicon oxide)’上述薄膜絕緣層28、30、32係為低介電常數值(FPI 小於3 )之材質。 在形成複數線路層34、36的過程中,就金屬鑲嵌製程 而言,係先濺鍍一擴散阻絶層在一薄膜絕緣層28、30、32 之開口内的底部及側壁上及薄膜絕緣層28、30、32之上表 9 137528423, 23, the active surface is formed by doping a pentavalent or trivalent ion (such as boron ion or phosphorus ion, etc.) to form a plurality of electronic components 26, such as a metal oxide semiconductor or a transistor 'metal oxide semiconductor MOS devices, P-channel MOS devices, n-channel MOS devices, biCMOS devices, dual-load Bipolar Junction Transistor (BJT), diffusion area, resistor, capacitor, and complementary metal oxide semiconductor (CMOS). On the active surface of the semiconductor wafer 23, 23', a plurality of thin film insulating layers 28, 30, 32 and circuit layers 34, 36 are respectively disposed, wherein the thin film insulating layers 28, 30, 32 are also called dielectric layers, generally It is formed by means of chemical vapor deposition. The thin film insulating layer 28, 30, 32 is, for example, yttrium oxide, chemical vapor deposited tetraethoxy decane (TEOS) oxide, SiwCxOyHz, arsenide or oxynitride compound, or formed by spin coating. Glass (S0G), fluorinated glass (FSG), silk screen layer (SiLK), black diamond film (Black Diamond), polyarylene ether, polybenzoxazole (PBO), porous oxidized stone The thin film insulating layers 28, 30, and 32 are made of a low dielectric constant value (FPI of less than 3). In the process of forming the plurality of circuit layers 34, 36, in the damascene process, a diffusion barrier layer is first sputtered on the bottom and sidewalls of the opening of the thin film insulating layers 28, 30, 32 and the thin film insulating layer. 28, 30, 32 above Table 9 1375284

面上,接著再錢鐘一層例如是銅材質之種子屬在擴散阻絶 層上,接著再電鍍一銅層在此種子層上,接著再利用化學 機械研磨(chemical mechanical polishing,CMP)的方式 去除位在該薄膜絕緣層28、30、32之開口外的銅層、種子 層及擴散阻絶層,直到暴露出薄膜絕緣層28、30、32的上 表面為止。而另一種方式亦可以先滅錢一銘層或銘合金層 在一薄膜絕緣層28、30、32上,接著再利用微影蝕刻的方 式圖案化鋁層或鋁合金層。此線路層34及線路層36可透 過薄膜絕緣層28、30、32内的導通孔38相互連接,或連 接至電子元件26上。On the surface, a layer of copper, for example, a seed of copper is deposited on the diffusion barrier layer, and then a copper layer is electroplated on the seed layer, followed by chemical mechanical polishing (CMP). The copper layer, the seed layer and the diffusion barrier layer outside the openings of the thin film insulating layers 28, 30, 32 are exposed until the upper surfaces of the thin film insulating layers 28, 30, 32 are exposed. Alternatively, the carbon layer or the alloy layer may be patterned on a thin film insulating layer 28, 30, 32, followed by photolithographic etching of the aluminum or aluminum alloy layer. The wiring layer 34 and the wiring layer 36 may be connected to each other through the via holes 38 in the thin film insulating layers 28, 30, 32, or to the electronic component 26.

線路層34及線路層36 —般的厚度是在0.1微米到3 微米之間。在進行微影製程時,線路層34及線路層36之 細金屬線路是使用五倍(5X)之曝光機(steppers)或掃描機 (scanners)或使用更佳之儀器來製作,且所塗佈的光阻層 之厚度一般而言皆小於5微米。且在線路層34及線路層 36最上層部分區域分別定義為第一接墊40及第二接墊 42,可以用於連接打線導線(wi rebonded wires)、金凸塊、 錫紹凸塊或軟片自動貼合(Tape-Automated-Bonded,TAB) 等元件。第一接墊40、第二接墊42之最大橫向尺寸可以 縮小至5至40微米之間,在較佳的情況下,比如是縮小至 20至35微米之間,藉以減少第一接墊40、第二接墊42 與下方之金屬線路間的寄生電容。這些第一接墊40、第二 接墊42可以與位於半導體晶圓23、23’之表面上或表面 内之電晶體或其他電子元件26電性連接,且透過第一接墊 10 1375284 Γ *, — .…麵 40、第二接墊42可以使第一接墊40及第二接墊42與外界 電路互相電性連接》在此實施例中’線路元件24的部分第 二接墊42將預先定義為對外接墊44,此對外接墊44對於 整體結構是與外界連接之用。 接著在半導體晶圓23、23’的表面利用化學氣相沉積 (CVD)方式設置一保護層46’此保護層46具有複數缺口暴 露出第一接墊40及第二接墊42。保護層46可以保護半導 體晶圓23、23’内的電子元件26免於濕氣與外來離子污 染物(foreign ion contamination)的破壞,也就是說保護 層46可以防止移動離子(m〇bi le ions)(比如是鈉離子)、 水氣(moisture)、過渡金屬(transition metal)(比如是 金、銀、銅)及其他雜質(impurity)穿透,而損壞保護層 46下方之電晶體、多晶矽電阻元件或多晶矽_多晶矽電容 元件之電子元件26或細金屬線路。為了達到保護的目的, 保δ蔓層46通辛疋由氧化石夕(s丨1 i c〇n 〇χ丨心)、氧石夕化合物、 氮化矽(silicon nitride)、及氧氮化矽(siUc〇n oxy-nitride)等所組成。 而保護層46的第一種製作方式可以是先利用化學氣 相沉積之步驟形成厚度介於〇 2至12微米間的一氧化矽 層,接著再利用化學氣相沉積之步驟形成厚度介於〇 2至 1 · 2微米間的一氮化矽層在該氧化石夕層上。 第二種保護層46製作方式可以是先利用化 學氣相沉積之步驟形成厚度介於〇 2至12微米 間的-氧化矽丨’接著再利用電漿加強型化學氣 11 相沉積之步驟形成厚度介 ,3 的一氦、 .〇5至0.15微米間 學氡相—接 夕層上’接著再利用化 間的^ 〜战厚度介於0.2至1.2微米 間的一氮切層在該氮氧切層上。 微未 第三種保護層46製作 學枭4〇 表作方式可以是先利用化 予軋相沉積之步驟形 j用化 米間的一氣菡几 又1 ; 0.05至0.15微 u的一氮氧化矽層,垃装 之+ 接著再利用化學氣相沉積 之步驟形成厚度介於〇 匕積 石々® I 2微米間的一氧化 矽層在該氮氧化矽層上,桩# s 虱化 藉夕此 接著再利用化學氣相沉 積之步驟形成厚度介於〇 ,^ 丨於〇. 2至1.2微米間的一氮 化矽層在該氧化矽層上。 氮 _第四種保護層4"作方式可以是先利用化 予乳相沉積之步驟形成厚度介於。.21 0.5微米 間的一第一氧化矽層’ '、 a 接著再利用旋塗法 (SPin_C〇ating)形成厚度介於0.5至丨微米間的 第一氧化矽層在該第一氧化矽層上,接著再利 用化學氣相沉積之步驟形成厚度介於0.2至〇 5 微米間的-第三氧切層在該第二氧切層上, 接著再利用化學氣相沉積之步驟形i厚度介於 〇 2至1. 2微米間的一氮化矽層在該第三氧化矽層 上。 第五種保護層46製作方式可以是先利用言 密度電漿化學氣相沉積(HDP-CVD)之步驟形成2 度介於0_ 5至2微米間的一氧化矽層,接著再矛j 1375284 用化學氣相沉積之步驟形成厚度介於0 2至 微米間的一氮化矽層在該氧化矽層上。 第六種保護層46製作方式可以是先利用高 密度電漿化學氣相沉積(HDP-CVD)之步驟形成厚 度介於0. 5至2微米間的一氧化石夕層,接著再利 用化學氣相沉積之步驟形成厚度介於〇 2至1 2 微米間的一氮化矽層在該氧化矽層上。The thickness of the wiring layer 34 and the wiring layer 36 is generally between 0.1 micrometers and 3 micrometers. In the lithography process, the thin metal lines of the wiring layer 34 and the wiring layer 36 are fabricated using five times (5X) steppers or scanners or using a better instrument, and coated. The thickness of the photoresist layer is generally less than 5 microns. And the uppermost layer regions of the circuit layer 34 and the circuit layer 36 are respectively defined as a first pad 40 and a second pad 42, which can be used for connecting wi rebonded wires, gold bumps, tin slab bumps or films. Components such as Tape-Automated-Bonded (TAB). The maximum lateral dimension of the first pad 40 and the second pad 42 can be reduced to between 5 and 40 micrometers, and in the preferred case, for example, between 20 and 35 micrometers, thereby reducing the first pad 40. The parasitic capacitance between the second pad 42 and the underlying metal line. The first pads 40 and the second pads 42 can be electrically connected to the transistors or other electronic components 26 located on or in the surface of the semiconductor wafers 23, 23', and pass through the first pads 10 1375284 Γ * , the surface 40, the second pad 42 can electrically connect the first pad 40 and the second pad 42 to the external circuit. In this embodiment, the portion of the second pad 42 of the line component 24 will Pre-defined as an external pad 44, the external pad 44 is connected to the outside for the overall structure. A protective layer 46' is then disposed on the surface of the semiconductor wafer 23, 23' by chemical vapor deposition (CVD). The protective layer 46 has a plurality of gaps exposing the first pad 40 and the second pad 42. The protective layer 46 can protect the electronic components 26 within the semiconductor wafers 23, 23' from damage from moisture and foreign ion contamination, that is, the protective layer 46 can prevent moving ions (m〇bi le ions ) (such as sodium ions), moisture (moisture), transition metal (such as gold, silver, copper) and other impurities penetrate, and damage the transistor under the protective layer 46, polysilicon resistance Element or polycrystalline germanium - electronic component 26 of polycrystalline tantalum capacitive element or thin metal trace. In order to achieve the purpose of protection, the δ vine layer 46 is protected by osmium oxide (s丨1 ic〇n 〇χ丨 heart), oxygen oxysulfide compound, silicon nitride, and bismuth oxynitride ( SiUc〇n oxy-nitride) and so on. The first method of the protective layer 46 may be to first form a layer of germanium oxide having a thickness between 〇2 and 12 μm by a chemical vapor deposition step, and then use a chemical vapor deposition step to form a thickness between 〇. A layer of tantalum nitride between 2 and 1 μm is on the layer of oxidized stone. The second protective layer 46 can be formed by first forming a thickness by using a chemical vapor deposition step to form a germanium having a thickness between 〇2 and 12 μm and then using a plasma-enhanced chemical gas 11 phase deposition step. Interpretation, 3 氦, 〇 5 to 0.15 micron inter-study phase - on the eve layer, then re-use between the 〜 ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ On the floor. The method of making the third protective layer 46 can be a step of using the first step of the deposition of the phase deposition, and using a gas mixture between the rice and the rice; 0.05 to 0.15 micro-u of arsenic oxynitride. Layer, rinsing + then using the chemical vapor deposition step to form a layer of ruthenium oxide between the 〇匕 々 々 I I I I I I 在 在 在 在 在 在 在 在 在 在 在 在 在 在 在 在Then, a step of chemical vapor deposition is used to form a tantalum nitride layer having a thickness between 至2 and 1.2 μm on the yttrium oxide layer. The nitrogen_fourth protective layer 4" can be formed by first utilizing the steps of the pre-emulsion deposition to form a thickness between. .21 a first yttria layer between 0.5 micrometers ' ', a then using a spin coating method (SPin_C〇ating) to form a first yttria layer having a thickness between 0.5 and 丨 micron on the first ruthenium oxide layer And then using a chemical vapor deposition step to form a third oxygen cut layer having a thickness between 0.2 and 〇5 μm on the second oxygen cut layer, followed by a step of chemical vapor deposition. A tantalum nitride layer between 〇2 and 1.2 μm is on the third ruthenium oxide layer. The fifth protective layer 46 can be formed by first performing a high-density plasma chemical vapor deposition (HDP-CVD) step to form a layer of germanium oxide between 0 and 5 micrometers, and then using a sprinkle j 1375284. The step of chemical vapor deposition forms a tantalum nitride layer having a thickness of between 0 and 2 micrometers on the tantalum oxide layer. The sixth protective layer 46 may be formed by a high-density plasma chemical vapor deposition (HDP-CVD) step to form a layer of oxidized stone between 0.5 and 2 microns, followed by chemical gas. The phase deposition step forms a tantalum nitride layer having a thickness between 〇2 and 12 μm on the ruthenium oxide layer.

第七種保護層46製作方式可以是先形成厚 度介於0·2至3微米間的一未摻雜矽玻璃層 (undoped silicate glass,USG),接著形成比如 疋四乙氧基矽烷(TE0S)、硼填矽玻璃 (borophosphosilicate giass,BpSG)或磷矽玻璃 (phosphosilicate glass ’ PSG)等之厚度介於 〇· 5The seventh protective layer 46 may be formed by first forming an undoped silicate glass (USG) having a thickness between 0 and 2 micrometers, followed by formation of, for example, tetraethoxy decane (TE0S). , borophosphosilicate giass (BpSG) or phosphosilicate glass (PSG), etc.

至3微米間的一絕緣層在該未摻雜矽玻璃層上, 接著再利用化學氣相沉積之步驟形成厚度介於 0. 2至1. 2微米間的一氮化矽層在該絕緣層上。 第八種保護層46製作方式可以是選擇性地 先利用化學氣相沉積之步驟形成厚度介於〇 〇5至 0.15微米間的一第―备备几&旺 , 弟氮氧化矽層,接著再利用化 學氣相沉積之步驟形成厚度介於〇 2至微米 間的一氧化矽層在該第一氮氧化矽層上,接著可 以選擇性地利用化學痛知…接+止_ %予孔相 >儿積之步驟形成厚度介 於0.05至0.15微半門认 位 傲木間的一第二氮氧化矽層在該 氧化石夕層上,接著再去丨由&風# J用化干氣相沉積之步驟形 13And a layer of tantalum nitride between the layers of 0. 2 to 1.2 μm is formed in the insulating layer, and an insulating layer is formed on the undoped bismuth glass layer. on. The eighth protective layer 46 can be formed by selectively using a chemical vapor deposition step to form a first-prepared & ,, 氮 氮 氮 layer layer having a thickness between 〇〇5 and 0.15 μm, and then Reusing a chemical vapor deposition step to form a ruthenium oxide layer having a thickness between 〇2 and micron on the first ruthenium oxynitride layer, and then selectively utilizing chemical pain, ... > The step of the product is to form a second layer of bismuth oxynitride between 0.05 and 0.15 micro-half of the door, on the oxidized stone layer, and then go to the amp amp & Vapor deposition step shape 13

成厚度介於〇.2至12猫^ 二:沁 第-翁氫儿 未間的—氮化矽層在該 第一氮虱化矽層上或在該氧化 選擇性地利用化與毫相 層上,接著可以 〇 〇5 ? η 1,價之步驟形成厚度介於 υ. Μ至0. 15微米間的一 矽層上,& 弟—氮氧化矽層在該氮化 :二=·著再利用化學氣相 度介於。.2至U微米間 :驟“厚 ^ g: r. a 礼化石夕層在該第三 氮氧化矽層上或在該氮化矽層上。 第九種保護層46製作 ^ ^ , 装作方式可以是先利用化 學軋相沉積(PECVD)之步驟报士、陪― ,騍形成厚度介於0.2至 1 · 2微米間的一第一氧化々 虱化矽層,接著再利用旋塗法 (SPln —C〇ating)形成厚度介於〇.5至i微米間的 -第二氧化矽層在該第一氧化矽層上,接著再利 用化學氣相沉積之步驟形成厚度介於〇 2至】2 微来間的-第三氧化矽層在該第二氧化矽層上., 接著再利用化學氣相沉積之步驟形成厚度介於 〇· 2至1. 2微米間的一氮化矽層在該第三氧化矽層 上,接著再利用化學氣相沉積之步㈣成厚度# 於〇. 2 i 1. 2微米間的一第四氧化矽層在該氮化 石夕層上。 第十種保護層46製作方式可以是先利用高 密度電漿化學氣相沉積(HDP_CVD)之步驟形成厚 度介於0. 5至2微米間的一第一氧化矽層,接著 再利用化學氣相沉積之步驟形成厚度介於〇 2至 1. 2微米間的一氮化矽層在該第一氧化矽層上,接 14 著再利田古 s'一^補充 ^ 问密度電漿化學氣相沉積(HDP-CVD)之 成厚度介於。.…微米間的一第二氧化 石二在該氮切層上。保護層“的厚度一般係大 摘.35微米,在較佳的情況下,氮化石夕層之厚度 通常大於0.3微米。 著可以形成凸塊在半導體晶圓23上,此凸塊含有 厚度大於5微米、1G微米<20微米且炫點大於於攝氏185 度或大於攝氏350度之-金屬層,在本實施例中,此金屬 層比如是金’如下所述;在其他實施例中,此金屬層的材 質亦可以是銅、銀、鈀、鉑、鍺、釕、銖或鎳。請參閱第 四A圖至第四㈣、第五A圖至第u圖及第六圖至第八 圖所示,首先,請參照第四B圖,在半導體晶圓23的第一 接墊40及保護層46上利用無電解電鍍、化學氣相沉積 (CVD)、濺鍍或是蒸鍍之方式形成厚度介於〇 1至1微米之 間的一擴散阻絶層48,此擴散阻絶層48係為鈦金屬、氮 化鈦、鈦鶴合金層、组金屬、氮化组所組成之群組的至少 其中之一者,擴散阻絶層48有助於改善接下來沉積之金屬 的接著能力,且可用於避免連接金屬擴散至鄰近的介電層 中0 接著,請參照第四C圖,利用濺鍍、蒸鍍或無電電鍵 (electroless plating)的方式形成比如是金且厚度介於 〇. 05至1微米之間的一種子層50在擴散阻絶層48上,種 子層50可使用濺鍍金屬反應室或是解離金屬電漿(IMP: Ion Metal Plasma)金屬反應室來形成,其製程溫度範圍大 1375284 小為0至300度。C,壓力範圍大小為1至100 mT〇rr。 接著’利用旋塗(spin_on_coat ing)的方式形成—光阻 層52在種子層50上,此光阻層52之厚度係介於5微米至 400微米之間,而較佳厚度係介於5微米至1〇〇微米之間。 透過曝光(eXpQsing)、㈣(develQping)等步驟,光阻層 52形成多個圖案化開口,並經由這些圖案化開口暴露出第 一接墊40上的種子層5〇’如第四D圖所示。此圖案化開 口之間距係介於5微米至4〇〇微米之間,此間距係為二開 口中心之間的距離,其中此圖案化開口之較佳間距係為5 微米至50微米之間。 接著,請參照第四D圖,利用電鍍的方式在圖案化開 口所暴露出的種子層50上形成厚度(Ha)介於5微米至4〇〇 微米之間的金層54’而此金層54的較佳厚度㈤係介於5 微米至100微米之間,另外此金層54的橫向最大尺寸⑽) 係介於3微米至500微米之間,在較佳的情況下,橫向最 大尺寸(Hb)係為3微米至50微米之間。 接著,請參照第四E圖,去除此光阻層52,接著利用 姓刻的方式去除未在金層54下的種子層50,接著再利用 蝕刻的方式去除未在金層54下的擴散阻絶層48,使未在 金層54下的保護層46外露,接著進行半導體晶圓23的切 割,使半導體晶圓23切割產生為多數個半導體晶片22(繪 示在第六圖中),而每一半導體晶片22上皆已設有複數個 含金層54之凸塊。 此外當在圖案化開口所暴露出的種子層5〇上是形成 16 j 厚度介於5微米至働^之間的#,而種子岸5〇 之材料係以銅為佳;當要電鍍厚度介於5微米至侧 之間的銀層在種子層5G上時,種子層50之材料係以銀為 佳,當要電鑛厚度介於5微米至權微米之間的把層在種 子層50上時’種子層5〇之材料係以鈀為佳當要電铲厚 度介於5微米至400微米之間的始層在種子層5〇上時:種 子層50之材料係以始為佳;當要電鐘厚度介於5微米至 _微米之間的錄層在種子層別上時,種子層μ之材料 係以錢為佳;當要電鐘厚度介於5微米至微来之間的 釕層在種子層50上時’種子層5G之材料係骑為佳;當 要電鍍厚度介於5微米至4〇〇微米之間的鍊層在種子層5〇 上時,種子層50之材料係以銖為佳;當要電鍍厚度介於5 微米至400微米之間的鎳層在種子層5〇上時,種子層5〇 之材料係以鎳為佳。 請參照第五A圖,在將半導體晶片22接合半導體晶圓 23’之前’可以先形成一金屬層55在第二接墊42上,藉 以增加接合凸塊與第二接墊42之可靠度,此金屬層之材質 必須配合凸塊的材質;在此實施例中,此金屬層比如包括 厚度介於0.05微米至1〇微米之間的一金層、或厚度介於 5微米至50微米之間的含錫的銲料層。 接著’請參照第五B圖,在半導體晶圓23,之保護層 46上設置一圖案化聚合物層56,此圖案化聚合物層56之 材質比如為熱塑性塑膠、熱固性塑膠、聚醯亞胺 (polyimide ’ Pi)、苯基環丁稀(b.enzo-Cycl〇-butene, 17 Ί1^ 補充丨 BCB)、聚氨脂(polyurethane)或環氧樹脂,此圖案化聚合 物層56具有橫向最大距離(He)比如介於5微米到500微米 之間的多個開口 58,這些開口 58暴露出第二接墊42上的 金屬層55,在較佳的情況下,這些開口 58之橫向最大距 離(He)係為5微来至50微米之間;每相鄰二開口 58之間 距(Hd)比如係介於5微米至400微米之間,此5微米至400 微米之間的距離(Hd)係為二開口 58中心之間的距離,在較 佳的情況下’相鄰二開口 58之間距(Hd)係介於5微求至 100微米之間,此圖案化聚合物層56之厚度(He)係介於5 微米至400微米之間,在較佳的情況下,此圖案化聚合物 層56之厚度(He)係介於5微米至50微米之間。金層54 之厚度(Ha)可大於或小於此圖案化聚合物層56之厚度 (He),其中此厚度差異必須取決於圖案化聚合物層56材質 特性’考此圖案化聚合物層56硬化後之體積係呈現縮小情 況,則此圖案化聚合物層56之厚度(He)須大於金層54之 厚度(Ha)’若圖案化聚合物層56硬化後之體積係呈現增加 隋況,則此圖案化聚合物層56之厚度(He)須小於金層54 之厚度(Ha)’其中金層54之厚度(Ha)與圖案化聚合物層 56之厚度(He)比如相差1〇微米以内,此外圖案化聚合物 層56内摻雜有助銲劑(fiux),以利於半導體晶片22與 線路元件24的接合;此外,圖案化聚合物層56亦可. 以含有填充劑(filler),或者亦可以不含有填充劑。 而此圖案化聚合物層56的製作有許多種方式可完 成’第一種方式係將一已預先圖案化之乾膜(dry film)利 1375284a thickness of between 〇.2 and 12 cats^2: 沁------------------------------------------------------------------------------------------ Upper, then 〇〇5 ? η 1, the price of the step to form a thickness between υ. Μ to 0. 15 microns on a layer of ,, &; — 氮 氮 氮 在 在 : : : : : : Reuse chemical vapority between. Between .2 and U micron: a step "thickness ^ g: r. a lithographic layer on the third yttria layer or on the tantalum nitride layer. The ninth protective layer 46 is made ^ ^, pretending The method may be first to use a step of chemical rolling phase deposition (PECVD) to form a first cerium oxide layer with a thickness between 0.2 and 1.2 micrometers, and then to use a spin coating method. SPln—C〇ating) forming a second yttrium oxide layer having a thickness between 〇.5 and i μm on the first ruthenium oxide layer, followed by a step of chemical vapor deposition to form a thickness of 〇2 to 】 2 Between the third and the third yttrium oxide layer on the second yttrium oxide layer. Then, the step of chemical vapor deposition is used to form a tantalum nitride layer having a thickness between 〇·2 and 1.2 μm. The third ruthenium oxide layer is then subjected to chemical vapor deposition step (4) to a thickness of # 〇. 2 i 1. A layer of a fourth ruthenium oxide layer between 2 microns is on the layer of nitriding. The first layer is formed by a high-density plasma chemical vapor deposition (HDP_CVD) step to form a first thickness between 0.5 and 2 microns. a layer of ruthenium oxide, followed by a step of chemical vapor deposition to form a layer of tantalum nitride having a thickness between 〇2 and 1.2 μm on the first layer of ruthenium oxide, followed by Litian ancient s'-^ Supplementation ^Density Plasma Chemical Vapor Deposition (HDP-CVD) is formed by a thickness of ..... between a micrometer and a second oxide on the nitrogen layer. The thickness of the protective layer is generally large. 35 Micron, in preferred cases, the thickness of the nitride layer is typically greater than 0.3 microns. A bump can be formed on the semiconductor wafer 23, the bump containing a metal layer having a thickness greater than 5 microns, 1G micron < 20 microns, and a smear point greater than 185 degrees Celsius or greater than 350 degrees Celsius, in this embodiment The metal layer is, for example, gold' as described below; in other embodiments, the material of the metal layer may also be copper, silver, palladium, platinum, rhodium, ruthenium, iridium or nickel. Please refer to the fourth A to fourth (four), the fifth A to the u and the sixth to the eighth. First, please refer to the fourth B, the first pad 40 of the semiconductor wafer 23. And a diffusion barrier layer 48 having a thickness between 〇1 and 1 μm formed by electroless plating, chemical vapor deposition (CVD), sputtering or evaporation on the protective layer 46, the diffusion barrier layer The 48 series is at least one of a group consisting of titanium metal, titanium nitride, titanium alloy layer, group metal, and nitride group, and the diffusion barrier layer 48 contributes to improving the adhesion ability of the subsequently deposited metal. And can be used to prevent the diffusion of the connection metal into the adjacent dielectric layer. Next, please refer to the fourth C diagram, using sputtering, evaporation or electroless plating to form, for example, gold and the thickness is between 〇 A sub-layer 50 between 05 and 1 micron is formed on the diffusion barrier layer 48, and the seed layer 50 can be formed using a sputtering metal reaction chamber or an Ion Metal Plasma metal reaction chamber. The process temperature range is from 1375284 to 0 to 300 degrees. C, the pressure range is from 1 to 100 mT 〇rr. Then, the photoresist layer 52 is formed on the seed layer 50 by a spin_on_coating method. The thickness of the photoresist layer 52 is between 5 micrometers and 400 micrometers, and the thickness is preferably 5 micrometers. Between 1 〇〇 micron. Through the steps of exposure (eXpQsing), (d) (develQping), etc., the photoresist layer 52 forms a plurality of patterned openings, and exposes the seed layer 5' on the first pad 40 via the patterned openings. Show. The distance between the patterned openings is between 5 microns and 4 microns, which is the distance between the centers of the two openings, wherein the preferred spacing of the patterned openings is between 5 microns and 50 microns. Next, referring to the fourth D figure, a gold layer 54' having a thickness (Ha) between 5 micrometers and 4 micrometers is formed on the seed layer 50 exposed by the patterned opening by electroplating. The preferred thickness (five) of 54 is between 5 micrometers and 100 micrometers, and the lateral maximum dimension (10) of the gold layer 54 is between 3 micrometers and 500 micrometers. In the preferred case, the lateral maximum dimension ( Hb) is between 3 microns and 50 microns. Next, referring to FIG. 4E, the photoresist layer 52 is removed, and then the seed layer 50 not under the gold layer 54 is removed by a surname, and then the diffusion barrier not under the gold layer 54 is removed by etching. The insulating layer 46 is not exposed under the gold layer 54 and then the semiconductor wafer 23 is diced to cut the semiconductor wafer 23 into a plurality of semiconductor wafers 22 (shown in the sixth figure). Each of the semiconductor wafers 22 has a plurality of bumps including a gold-containing layer 54. In addition, when the seed layer 5 暴露 exposed on the patterned opening is formed with a thickness of 16 μ between 5 μm and 働 ^, and the material of the seed bank 5 以 is preferably copper; When the silver layer between 5 micrometers and the side is on the seed layer 5G, the material of the seed layer 50 is preferably silver, and when the thickness of the electrode is between 5 micrometers and the weight micron, the layer is on the seed layer 50. When the material of the seed layer 5 is palladium, when the thickness of the shovel is between 5 μm and 400 μm on the seed layer 5: the material of the seed layer 50 is preferred; When the recording layer with a thickness of between 5 micrometers and _micrometers is on the seed layer, the material of the seed layer μ is preferably money; when the thickness of the clock is between 5 micrometers and micrometers When the layer is on the seed layer 50, the material of the seed layer 5G is preferred; when the layer of the layer between 5 micrometers and 4 micrometers is to be plated on the seed layer 5, the material of the seed layer 50 is Preferably, when a nickel layer having a thickness of between 5 micrometers and 400 micrometers is to be plated on the seed layer 5, the material of the seed layer 5 is preferably nickel. Referring to FIG. 5A, a metal layer 55 may be formed on the second pad 42 before the semiconductor wafer 22 is bonded to the semiconductor wafer 23', thereby increasing the reliability of the bonding bump and the second pad 42. The material of the metal layer must match the material of the bump; in this embodiment, the metal layer includes, for example, a gold layer having a thickness between 0.05 micrometers and 1 micrometer, or a thickness between 5 micrometers and 50 micrometers. Tin-containing solder layer. Then, referring to FIG. 5B, a patterned polymer layer 56 is disposed on the protective layer 46 of the semiconductor wafer 23. The patterned polymer layer 56 is made of a thermoplastic plastic, a thermosetting plastic, or a polyimide. (polyimide 'Pi), phenylcyclobutylene (b.enzo-Cycl〇-butene, 17 Ί1^ supplement 丨BCB), polyurethane or epoxy resin, the patterned polymer layer 56 has a lateral maximum The distance (He) is, for example, a plurality of openings 58 between 5 microns and 500 microns, the openings 58 exposing the metal layer 55 on the second pads 42, preferably in the lateral maximum distance of the openings 58 (He) is between 5 micrometers and 50 micrometers; the distance between each adjacent two openings 58 (Hd) is, for example, between 5 micrometers and 400 micrometers, and the distance between 5 micrometers and 400 micrometers (Hd) It is the distance between the centers of the two openings 58. In the preferred case, the distance between adjacent two openings 58 (Hd) is between 5 micro and 100 micrometers, and the thickness of the patterned polymer layer 56 ( The He) system is between 5 microns and 400 microns, and in the preferred case, the patterned polymer layer 56 Degree (He) line is between 5 to 50 microns. The thickness (Ha) of the gold layer 54 may be greater or less than the thickness (He) of the patterned polymer layer 56, wherein the thickness difference must depend on the material properties of the patterned polymer layer 56. The thickness of the patterned polymer layer 56 is greater than the thickness (Ha) of the gold layer 54. If the volume of the patterned polymer layer 56 is hardened, then the volume is increased. The thickness (He) of the patterned polymer layer 56 must be less than the thickness (Ha) of the gold layer 54. The thickness (Ha) of the gold layer 54 and the thickness (He) of the patterned polymer layer 56 are, for example, within 1 μm. In addition, the patterned polymer layer 56 is doped with a flux to facilitate bonding of the semiconductor wafer 22 to the line component 24; in addition, the patterned polymer layer 56 may also contain a filler, or It may also contain no filler. The patterned polymer layer 56 can be fabricated in a number of ways. The first way is to have a pre-patterned dry film 1375284

用熱壓合方式設置在半導體晶圓23’上;第二種方式則係 將一感光性膠膜熱壓谷在半導體晶圓23’上,並利用微影 方式將感光性膠膜進行圖案化步驟;第三種方式則係將一 非感光性膠膜熱壓合在半導體晶圓23’上,並利用微影银 刻方式將感光性膠膜進行圖案化步驟;第四種方式係利用 旋塗方式將一感光性膠體塗抹在半導體晶圓23,上,並利 用微影方式將感光性膠體進行圖案化步驟,而此感光性谬 體之黏度係大於90000cP;第五種方式同樣係藉由旋塗方 式將一非感光性膠體塗抹在半導體晶圓23’上,並利用微 影蝕刻方式將此非感光性膠體進行圖案化步驟,而此非感 光性膠體之黏度同樣係大於9〇〇〇〇cp;第六種方式則係藉 由網版印刷方式設置在半導體晶圓23,上。 圖案化聚合物層56在未加熱前,比如為在常 溫下不流動且黏滞性高的材質,因此可以避免圖 案化聚合物層56任意地流動到半導體晶圓23,上 之其他地方,以免沾污到半導體晶圓23,之金屬層 55,其中在常溫下,圖案化聚合物層之黏滯性比 如是大於 90,000cP(lcP= 1〇-2 g/cm*s)。另外當 在^熱時’圖案化聚合物層56比如會隨著溫度的 增高而降低其黏滯性。 接著,請參照第六圖及第七圖,將每—半導體晶片22 設置在半導體晶圓23,上,使半導體晶片Μ上的金層 54(凸塊)刀別置入每一開口 58内並且使每一金層μ抵 #在金屬層55上’此時可藉由二對外接整44先行進行一 19 電性檢驗步騾,檢查每一金層54是否與每一第二接墊42 確實電性連接,假如其中部分的金層未確實電連接,則 可進行修復步驟,待檢測結果良好時再進行下一步驟如 此可大幅增加封裝製程的良率’此外若是在圖案化聚合物 層56之厚度(He)大於金層54之厚度(Ha)情況時,此電性 檢驗步驟則須在每-半導體晶片22下進行輕塵動作’使每 —金層54抵靠在金屬層55上以完成電性檢驗。 待電性檢驗完成時,接著請參照第八圖,進行熱處理 製程,此熱處理係藉由供烤加熱方式、微波加熱方式或紅 外線加熱方式進行,其處理溫度係在攝氏8〇度至攝氏4⑽ 1進行在進行熱處理製程時助銲劑會從圖案化聚合 物層56流出,藉以促進金層54與位在第二㈣42上之含 金或3錫之金屬層55接合,且可以促進圖案化聚合物層 56與保護層46之接合,使圖案化聚合物層56可以穩固地 接。在半導體晶片22與線路元件24的保護層Μ表面上。 接著,進行半導體晶圓23,的㈣步驟,使半導體晶圓 23’切割成複數個獨立之線路元件^(半導體晶片),而每 個線路元件24上已配置有相對應之半導體晶片22,以 上為第—種半導體接合結構及其製程的解說。 第二實施% =續介紹第二種實施例結構與第_種實施例結構相 、,參閱第一圖及第三圖所示’同樣包括半導體晶圓 23 23半導體晶圓23可切割成複數半導體晶片22, 20 1^75284 而半導體晶圓23’則可切割成元f24,而此線路 元件24除了由此半導體晶圓23’切割產生外.,也可選自 基板型式,而基板型式則包括玻璃基板、印刷電路板、陶 堯基板、軟板或含有玻璃纖維之基板其中之一,而在此第 二實施例的線路元件24也同樣係以半導體晶圓為解說的 標的,在半導體晶圓23、23,的主動表面亦形成多個電子 π件26,其形成電子元件26的步驟及型式與上述之第一 實施例相同,在此則不加以詳述。 而在半導體晶圓23、23,的主動表面上分別以化學沉 積方式設置薄膜絕緣層28、30、32,並且在半導體晶圓23、 23’的薄膜絕緣層28、30、32上以鑲嵌銅製程或濺鍍鋁製 程分別形成多層的薄膜金屬層34、36、複數第一接墊4〇 及複數第二接墊42,而在形成的這些第二接墊42中,部 刀第一接墊42預先疋義為對外接墊44,此對外接塾對 於整體結構是與外界連接之用。接著在半導體晶片22及線 路元件24的表面利用化學氣相沉積(CVD)方式設置—保護 層46,此保護層46具有複數缺口,且這些缺口暴露出第 —接墊40及第二接墊42,而保護層46的製作方式如同第 -實施例所介紹的十種方式製造。在本實施例及第—實施 例中’相同標號係代表雷同的構件。 接著,可以形成凸塊在半導體晶圓23上此 凸塊含有厚度大於5微米、10微米或2〇微米且炫 點大於於攝氏185度或大於攝氏35〇度之—金屬 層,在本實施例中,此金屬層比如是銅,如下所 21 1375284 月城 補充1The photo-adhesive film is hot-pressed on the semiconductor wafer 23', and the photosensitive film is patterned by lithography. In the third method, a non-photosensitive adhesive film is thermocompression-bonded onto the semiconductor wafer 23', and the photosensitive adhesive film is patterned by a lithography method; the fourth method uses a spin The coating method applies a photosensitive colloid to the semiconductor wafer 23, and the photosensitive colloid is patterned by a lithography method, and the viscosity of the photosensitive body is greater than 90,000 cP; the fifth mode is also A non-photosensitive colloid is applied to the semiconductor wafer 23' by a spin coating method, and the non-photosensitive colloid is patterned by a photolithographic etching method, and the viscosity of the non-photosensitive colloid is also greater than 9〇〇〇. 〇 cp; The sixth mode is set on the semiconductor wafer 23 by screen printing. The patterned polymer layer 56 is made of a material that does not flow at a normal temperature and has high viscosity before being heated, so that the patterned polymer layer 56 can be prevented from flowing arbitrarily to the semiconductor wafer 23, thereby avoiding The metal layer 55 is stained to the semiconductor wafer 23, wherein the viscosity of the patterned polymer layer is, for example, greater than 90,000 cP (lcP = 1 〇 -2 g / cm * s) at normal temperature. In addition, the patterned polymer layer 56, if heated, decreases its viscosity as the temperature increases. Next, referring to the sixth and seventh figures, each semiconductor wafer 22 is disposed on the semiconductor wafer 23, so that a gold layer 54 (bump) of the semiconductor wafer is placed in each opening 58 and Each of the gold layers is abutted on the metal layer 55. At this time, a 19 electrical inspection step can be performed by two external wirings 44, and it is checked whether each gold layer 54 is positively associated with each of the second pads 42. Electrical connection, if some of the gold layers are not electrically connected, the repairing step can be performed, and the next step is performed when the detection result is good, so that the yield of the packaging process can be greatly increased'. Further, if the patterned polymer layer 56 is used. When the thickness (He) is greater than the thickness (Ha) of the gold layer 54, the electrical inspection step is to perform a light dust action under each of the semiconductor wafers 22 to cause each of the gold layers 54 to abut against the metal layer 55. Complete the electrical test. When the electrical test is completed, please refer to the eighth figure for the heat treatment process. The heat treatment is carried out by heating, microwave heating or infrared heating. The processing temperature is 8 degrees Celsius to 4 (10) Celsius. The flux flows out of the patterned polymer layer 56 during the heat treatment process to facilitate bonding of the gold layer 54 to the gold or 3 tin metal layer 55 located on the second (four) 42 and to promote the patterned polymer layer. The bonding of 56 to the protective layer 46 allows the patterned polymer layer 56 to be securely attached. On the surface of the protective layer of the semiconductor wafer 22 and the line component 24. Next, the (fourth) step of the semiconductor wafer 23 is performed to cut the semiconductor wafer 23' into a plurality of independent circuit elements (semiconductor wafers), and each of the circuit elements 24 is provided with a corresponding semiconductor wafer 22, It is an explanation of the first semiconductor junction structure and its process. Second Embodiment %=Continued to introduce the structure of the second embodiment and the structure of the first embodiment, as shown in the first and third figures, 'the semiconductor wafer 23 is also included. 23 The semiconductor wafer 23 can be cut into a plurality of semiconductors. The wafer 22, 20 1^75284 and the semiconductor wafer 23' can be cut into the element f24, and the line element 24 can be selected from the substrate type except for the semiconductor wafer 23', and the substrate type can be selected. One of the glass substrate, the printed circuit board, the ceramic substrate, the soft board, or the glass fiber-containing substrate, and the circuit element 24 of the second embodiment is also labeled with a semiconductor wafer, in the semiconductor wafer. The active surface of 23, 23 also forms a plurality of electronic π members 26, and the steps and patterns for forming the electronic components 26 are the same as those of the first embodiment described above, and will not be described in detail herein. Thin film insulating layers 28, 30, 32 are respectively disposed on the active surfaces of the semiconductor wafers 23, 23 by chemical deposition, and are embedded in copper on the thin film insulating layers 28, 30, 32 of the semiconductor wafers 23, 23'. The process or the aluminum sputtering process respectively forms a plurality of thin film metal layers 34, 36, a plurality of first pads 4A and a plurality of second pads 42, and among the formed second pads 42, the first pads of the blade 42 is pre-existing as an external pad 44, and the external connection is for the whole structure to be connected to the outside world. Next, a protective layer 46 is disposed on the surface of the semiconductor wafer 22 and the line component 24 by chemical vapor deposition (CVD). The protective layer 46 has a plurality of notches, and the notches expose the first pad 40 and the second pad 42. The protective layer 46 is fabricated in the same manner as the ten embodiments described in the first embodiment. In the present embodiment and the first embodiment, the same reference numerals denote the same components. Then, a bump can be formed on the semiconductor wafer 23. The bump has a metal layer having a thickness greater than 5 micrometers, 10 micrometers, or 2 micrometers and a bright point greater than 185 degrees Celsius or greater than 35 degrees Celsius, in this embodiment. In this metal layer, such as copper, as follows 21 1375284 Yuecheng Supplement 1

述。請參閱第九A圖至第九e圖、第十a圖至第 十F圖及第十一圖至第十三圖所示,首先,請參 照第九B圖,在半導體晶圓23的第一接墊40及 保護層46上’利用濺鑛(SpUttering)或蒸鐘 (evaporating)的方式形成厚度介於〇1至i微米 之間的一擴散阻絶層60,此擴散阻絶層6〇係為鈦 金屬層、氮化鈦層、鈦鎢合金層、鈕金屬層、氮 化组層、絡金屬層、路銅合金層,或是至少一上 述材料所構成的複合層所形成。Said. Please refer to the ninth to fifth ninth, tenth to tenth, and eleventh to thirteenth. First, please refer to the ninth B, in the semiconductor wafer 23 A diffusion barrier layer 60 having a thickness between 〇1 and i micrometers is formed on a pad 40 and a protective layer 46 by means of SpUttering or evaporating, and the diffusion barrier layer 6〇 It is formed by a titanium metal layer, a titanium nitride layer, a titanium tungsten alloy layer, a button metal layer, a nitrided layer, a metal layer, a copper alloy layer, or a composite layer composed of at least one of the above materials.

接著’請參照第九C圖,利用濺鍍、蒸鑛或 無電電鍍(electroless plating)的方式形成厚度 介於0· 05至1微米之間且材質為銅金屬的種子層 62在擴散阻絶層60上,此設置種子層62的製程 係使用銅或是銅合金為耙材,使用氬氣並控制其 流量10至40 0 sccm ’而且當使用銅金屬為種子 層62時,位在銅金屬下方的擴散阻絶層6〇材料 之選擇即變得相當重要’因為銅對氧化矽及石夕而 言,鋼之擴散係數相當大’當鋼擴散至氧化矽層 時,會造成介電材料層變為導電,降低其介電強 度或絕緣強度。 接著’利用旋塗(Spin-on-c〇at ing)的方式形 成~光1^•層64在種子層62上’此光阻層64之厚 度係介於5微米至400微米之間,而較佳厚度係 介於5微米至100微米之間。透過曝光 22 ”咖“⑻、顯影wevekpind等步驟,光阻層 I4形成多個圖案化開口,並經由這些圖案化開口 暴路出第-接塾40上的種子層62,如第9〇圖所 不。此圖案化開口之間距係介於5微米至4〇〇微 + H _㈣為^^中心之間的距離,其 中此圖案化開口之較佳間距係為5微米至5〇微米 之間。 接著,請參照第九D圖,利用電鍍的方式在 圖案化開口所暴露出的種子層62上形成一金屬凸 塊66此金屬凸塊66係為複合金屬層其中先在 光阻層64内之圖案化開口所暴露出之種子層62 上電鍍形成厚度(Hg)介於1〇微米至15〇微米之間 的柱狀銅金屬層68,接著在銅金屬層68上電鍍形 成厚度(Hi)介於1微米至2〇微米之間的鎳金屬層 7〇,最後在鎳金屬層70上電鍍形成厚度(Hj)介於 300埃(A)至5微米之間的金層72或厚度(Hj·)介於 5微米至1〇〇微米之間的含錫的銲料層Η,其中 此銲料層72比如為錫鉛合金層、錫銀合金層、錫 銀銅合金層或無鉛焊料層等。 此金屬凸塊66之柱狀銅金屬層68的橫向最 大尺寸(Hf)比如是介於3微米至5〇〇微米之間’ 在較佳較佳的情況下,橫向最大尺寸(Hf)是介於3 微米至50微米之間。此柱狀銅金屬層68之最大 截面積與最小截面積比如相差在1〇%之内。 23 1375284Then, please refer to the ninth C diagram, using a sputtering, steaming or electroless plating method to form a seed layer 62 having a thickness of between 0.05 and 1 micron and a material of copper metal in the diffusion barrier layer. At 60, the process for setting the seed layer 62 uses copper or a copper alloy as a coffin, uses argon gas and controls its flow rate of 10 to 40 0 sccm 'and when copper metal is used as the seed layer 62, it is located under the copper metal. The choice of the diffusion barrier layer 6 变得 material becomes quite important 'because copper has a relatively large diffusion coefficient for yttrium oxide and shi shi, 'when the steel diffuses to the yttrium oxide layer, it will cause the dielectric material layer to change. To conduct electricity, reduce its dielectric strength or dielectric strength. Then, by spin-on-c- ing, a layer 64 is formed on the seed layer 62. The thickness of the photoresist layer 64 is between 5 micrometers and 400 micrometers. A preferred thickness is between 5 microns and 100 microns. Through the steps of exposing 22" coffee" (8), developing wevekpind, etc., the photoresist layer I4 forms a plurality of patterned openings, and through these patterned openings, the seed layer 62 on the first interface 40 is violently exited, as shown in FIG. Do not. The distance between the patterned openings is between 5 microns and 4 〇〇 micro + H _ (4), and the preferred spacing of the patterned openings is between 5 microns and 5 microns. Next, referring to FIG. 9D, a metal bump 66 is formed on the seed layer 62 exposed by the patterned opening by electroplating. The metal bump 66 is a composite metal layer which is first in the photoresist layer 64. The seed layer 62 exposed by the patterned opening is plated to form a columnar copper metal layer 68 having a thickness (Hg) between 1 μm and 15 μm, and then electroplated on the copper metal layer 68 to form a thickness (Hi). The nickel metal layer 7〇 between 1 micrometer and 2 micrometers is finally plated on the nickel metal layer 70 to form a gold layer 72 or thickness (Hj·) having a thickness (Hj) of between 300 angstroms (A) and 5 micrometers. a tin-containing solder layer between 5 micrometers and 1 micrometer, wherein the solder layer 72 is, for example, a tin-lead alloy layer, a tin-silver alloy layer, a tin-silver-copper alloy layer, or a lead-free solder layer. The lateral maximum dimension (Hf) of the columnar copper metal layer 68 of the metal bump 66 is, for example, between 3 micrometers and 5 micrometers micron. In the preferred case, the lateral maximum dimension (Hf) is Between 3 microns and 50 microns. The maximum cross-sectional area of the columnar copper metal layer 68 is, for example, within 1% of the smallest cross-sectional area. 23 1375284

接著,請參照第九E圖,去除此光阻層64, 接著利用蝕刻的方式去除未在銅金屬層68下的種 子層62’接著再利用蝕刻的方式去除未在銅金屬 層68下的擴散阻絶層60,使未在金屬凸塊66下 的保護層46外露。當位在此金屬凸塊66頂端之 金屬層72係由銲料層所構成時’接下來可以選擇 性地進行一加熱製程’當加熱達到銲料層72的熔Next, referring to FIG. 9E, the photoresist layer 64 is removed, and then the seed layer 62' not under the copper metal layer 68 is removed by etching, and then the diffusion under the copper metal layer 68 is removed by etching. The barrier layer 60 is exposed such that the protective layer 46 that is not under the metal bumps 66 is exposed. When the metal layer 72 located at the top end of the metal bump 66 is composed of a solder layer, 'a heating process can be selectively performed next'. When the heating reaches the melting of the solder layer 72

點時’此銲料層72則會變形成球體的樣式。接著, 進行半導體晶圓23的切割’使半導體晶圓23切 割產生為多數個半導體晶片22(繪示在第十一圖 中)而每一半導體晶片22上皆已設有複數個金 屬凸塊66。 請參照第十A圖及第十B圖所示,在將半導體晶片22 接合半導體晶圓23,之前,在半導體晶圓23,的第二接墊When this is done, the solder layer 72 is deformed into a spherical pattern. Next, the dicing of the semiconductor wafer 23 is performed to cut the semiconductor wafer 23 into a plurality of semiconductor wafers 22 (shown in FIG. 11), and each of the semiconductor wafers 22 is provided with a plurality of metal bumps 66. . Referring to FIGS. 10A and 10B, before the semiconductor wafer 22 is bonded to the semiconductor wafer 23, the second pad on the semiconductor wafer 23 is used.

42及保護層46上利用無電解電鍍化學氣相沉積(cvd)、 濺鍍或是蒸鐘之方式形成厚度介於〇1至W米之間的一 擴散阻絶層60,此擴散阻絶層6〇係為鈦金屬、氮化鈦、 鈦鎢合金層、紐金屬、氮化纽、路金屬及鉻銅合金層所組 成之群組的至少其中之—者,擴散阻絶層有助於改善接 :來沉積之金屬的接著能力,且可用於避免連接金屬擴散 鄰近的介電層卜接著,形成厚度介於^⑽至丨微米之 :且材質為金或銅的種子層(圖中未示)在擴散阻絶層60 上0 接著在種子層上形成 請參閱第十C圖及第十d所示 24 1375284 一光阻層64,並將此光阻層6ΓΪ条形成多數開口暴 露出位在第二接墊42上的種子層;接著,在開口所^露出 的種子層上以電鍍的方式形成一金屬層65,藉以增加接合 金屬凸塊66與第二接墊42之可靠度,此金屬層防之材質 必須配合金屬凸塊66的材質;在此實施例中,此金屬層 65比如包括厚度介於〇〇5微米至1〇微米之間的一金層, 此時種子層的材質比如為金;或者,在其他實施例中此 金屬層65的形成方法比如是先電鍍厚度介於丨微米至1〇 微米之間的一銅層在光阻層64之開口所暴露出的種子層 上,接著電鍍厚度介於1微米至5微米之間的一鎳層在該 銅層上’接著電鍍厚度介於5微米至5〇微米之間的含錫的 銲料層’此時種子層的材質比如為銅。 接著,請參照第十Ε圖及第十F圖所示,接著去除此 圖案化光阻層64及去除未在金屬層65下的種子層及擴散 阻絶層60,使保護層46外露,如第十Ε圖所示^接著在 半導體晶圓23’的保護層46上設置圖案化聚合物層56, 此圖案化聚合物層56利用第一種實施例所述之六種製作 圖案化聚合物層56的方式製作,此圖案化聚合物層56之 材質同樣係為熱塑性塑膠、熱固性塑膠、聚醯亞胺 (polyimide,ΡΙ)、苯基環丁烯(benz〇_cycl〇_butene, BCB)、聚氨脂(p〇iyUrethane)或環氧樹脂,此圖案化聚合 物層56具有橫向最大距離(Hk)比如介於5微米到5〇〇微米 之間的多個開口 58’這些開口 58暴露出第二接墊42上的 金屬層65,在較佳的情況下,這些開口 58之橫向最大距 25 離(Hk)係介於5微米至50微米之間;每相鄰二開口 58之 間距(H1)係介於5微米至400微米之間,此5微米至400 微米之間的距離(H1)係為二開口 58中心之間的距離,在較 佳的情況下,相鄰二開口 58間之間距(H1)係介於5微米至 100微米之問;圖案化聚合物層56的厚度(Hn)比如是介於 5微米至400微米之間,在較佳的情況下,圖案化聚合物 層56之厚度(Hn)係介於5微米至50微米之間;金屬凸塊 66之厚度(Hg+Hi+Hj)可大於或小於此圖案化聚合物層56 之厚度(Hn),其中此厚度差異必須取決於圖案化聚合物層 56材質特性,若此圖案化聚合物層56硬化後之體積係呈 現縮小情況,則此圖案化聚合物層56之厚度(Hn)須大於金 屬凸塊66之厚度(Hg+Hi+Hj),若圖案化聚合物層56硬化 後之體積係呈現增加情況,則此圖案化聚合物層56之厚度 (Hn)須小於金屬凸塊66之厚度(Hg+Hi+Hj),且金屬凸塊 66之厚度(Hg+Hi+Hj)與圖案化聚合物層56之厚度(Hn)比 如相差10微米之内,此外圖案化聚合物層56内摻雜有助 銲劑(f 1 ux ),以利於半導體晶片22與線路元件24的接 合;此外,圖案化聚合物層 56亦可以含有填充劑 (filler),或者亦可以不含有填充劑。 圖案化聚合物層56在未加熱前,比如為在常 溫下不流動且黏滯性高的材質,因此可以避免圖 案化聚合物層5 6任意地流動到半導體晶圓2 3,上 之其他地方,以免沾污到半導體晶圓23’之金屬層 65,其中在常溫下,圖案化聚合物層之黏滯性比 1375284 如是大於 90,000cp(lcp = ΙΟ·2 g/cm*s)。另外,當 在加熱時,圖案化聚合物層56比如會隨著溫度的 增高而降低其黏滯性。 接著’請參照第十·一圖及第十·一圖’將每一 半導體晶片22設置在半導體晶圓23’上,使半導 體晶片22上的金屬凸塊66分別置入每一開口 58 内’並且使每一金屬凸塊66抵靠在金屬層65上,42 and the protective layer 46 are formed by a non-electrolytic plating chemical vapor deposition (cvd), sputtering or a steaming clock to form a diffusion barrier layer 60 having a thickness between 〇1 and W meters, and the diffusion barrier layer 6〇 is at least one of a group consisting of titanium metal, titanium nitride, titanium-tungsten alloy layer, neon metal, nitrided metal, road metal and chrome-copper alloy layer. The diffusion barrier layer helps to improve Connect: the bonding ability of the deposited metal, and can be used to avoid the diffusion of the adjacent metal diffusion layer, and then form a seed layer having a thickness of ^(10) to 丨micron: and the material is gold or copper (not shown) On the diffusion barrier layer 60, 0 is then formed on the seed layer. Please refer to the photo resist layer 64 shown in the tenth C and tenth d, and the photoresist layer 6 is formed in the majority of the opening. a seed layer on the second pad 42; then, a metal layer 65 is formed by electroplating on the seed layer exposed by the opening, thereby increasing the reliability of bonding the metal bump 66 and the second pad 42, the metal The material of the layer must be matched with the material of the metal bump 66; in this embodiment, the gold The layer 65 includes, for example, a gold layer having a thickness of between 〇〇5 μm and 1 μm. The material of the seed layer is, for example, gold; or, in other embodiments, the metal layer 65 is formed by electroplating. a copper layer having a thickness between 丨 micrometers and 1 〇 micrometer is deposited on the seed layer exposed by the opening of the photoresist layer 64, and then a nickel layer having a thickness of between 1 micrometer and 5 micrometers is plated in the copper layer. The upper layer is then plated with a tin-containing solder layer having a thickness between 5 micrometers and 5 micrometers. The material of the seed layer is, for example, copper. Next, referring to the tenth and tenth F, the patterned photoresist layer 64 is removed and the seed layer and the diffusion barrier layer 60 not under the metal layer 65 are removed to expose the protective layer 46, such as The patterned polymer layer 56 is then disposed on the protective layer 46 of the semiconductor wafer 23'. The patterned polymer layer 56 is patterned using the six types described in the first embodiment. The layer 56 is made by the same method. The material of the patterned polymer layer 56 is also thermoplastic, thermosetting plastic, polyimide, and phenylcyclobutene (BCB). Polyurethane (p〇iyUrethane) or epoxy resin, the patterned polymer layer 56 having a lateral maximum distance (Hk) such as a plurality of openings 58' between 5 microns and 5 microns. These openings 58 are exposed Out of the metal layer 65 on the second pad 42, in the preferred case, the lateral maximum distance 25 (Hk) of the openings 58 is between 5 microns and 50 microns; the distance between each adjacent two openings 58 (H1) is between 5 microns and 400 microns, this distance between 5 microns and 400 microns (H1) is the distance between the centers of the two openings 58. In the preferred case, the distance between the adjacent two openings 58 (H1) is between 5 micrometers and 100 micrometers; the patterned polymer layer 56 The thickness (Hn) is, for example, between 5 micrometers and 400 micrometers. In the preferred case, the thickness (Hn) of the patterned polymer layer 56 is between 5 micrometers and 50 micrometers; the metal bumps 66 The thickness (Hg+Hi+Hj) may be greater or less than the thickness (Hn) of the patterned polymer layer 56, wherein the thickness difference must depend on the material properties of the patterned polymer layer 56 if the patterned polymer layer 56 is hardened. After the volume is reduced, the thickness (Hn) of the patterned polymer layer 56 must be greater than the thickness of the metal bumps 66 (Hg+Hi+Hj). If the patterned polymer layer 56 is hardened, the volume is presented. In the case of increase, the thickness (Hn) of the patterned polymer layer 56 must be smaller than the thickness of the metal bumps 66 (Hg+Hi+Hj), and the thickness of the metal bumps 66 (Hg+Hi+Hj) and the patterned polymerization. The thickness (Hn) of the layer 56 is within 10 micrometers, for example, and the patterned polymer layer 56 is doped with a flux (f 1 ux ), In order to facilitate the bonding of the semiconductor wafer 22 to the line component 24; in addition, the patterned polymer layer 56 may also contain a filler or may not contain a filler. The patterned polymer layer 56 is made of a material that does not flow at a normal temperature and has high viscosity before being heated, so that the patterned polymer layer 56 can be prevented from flowing arbitrarily to the semiconductor wafer 23, and other places thereon. In order to avoid contamination to the metal layer 65 of the semiconductor wafer 23', the viscosity of the patterned polymer layer at room temperature is greater than 90,000 cp (lcp = ΙΟ·2 g/cm*s). In addition, when heated, the patterned polymer layer 56 may, for example, decrease its viscosity as the temperature increases. Then, please refer to FIG. 1 and FIG. 1 and FIG. 1 to place each semiconductor wafer 22 on the semiconductor wafer 23' so that the metal bumps 66 on the semiconductor wafer 22 are respectively placed in each opening 58' And each metal bump 66 is abutted against the metal layer 65,

此時可藉由二對外接墊44先行進行一電性檢驗步 称’檢查每一金屬凸塊66是否與每一第二接墊42 確實電性連接,假如其中部分的金屬凸塊66未確 實電連接’則可進行修復步驟,待檢測結果良好 時再進行下一步驟,如此可大幅增加封裝製程的 良率;此外若是在圖案化聚合物層56之厚度(Hn) 大於金屬凸塊66之厚度(Hg + Hi + H j)情況時,此電 性檢驗步驟則須在每一半導體晶片22下進行輕壓At this time, an electrical inspection step can be performed by the two external pads 44 to check whether each of the metal bumps 66 is electrically connected to each of the second pads 42 if some of the metal bumps 66 are not The electrical connection can be repaired, and the next step is performed when the detection result is good, so that the yield of the packaging process can be greatly increased; and if the thickness (Hn) of the patterned polymer layer 56 is larger than that of the metal bump 66 In the case of thickness (Hg + Hi + H j), this electrical inspection step must be lightly pressed under each semiconductor wafer 22.

動作’使每一金屬凸塊66抵靠在金屬層65上以 完成電性檢驗。 接著,請參照第十三圖 此熱處理係藉由烘烤加熱方式、微波加熱方式 紅外線加熱方式進行,其處理溫度係在攝氏 至攝氏400度之間進行,在進行熱處理製程時 銲劑會從圖案化聚合物層56流出,藉以促進金 或銲料層72與位在第二接墊42上之含金或含 之金屬層65接合,且可以促進圖案化聚合物層 27 1375284 與保護層46之接合,使圖案化聚合物層56可以 穩固地接合在半導體晶片22與線路元件24的保 護層46表面上。接著進行半導體晶圓23’的切割 步驟,使半導體晶圓23’切割成複數個線路元件 24 (半導體晶片),而每一個線路元件24上已接合 有相對應之半導體晶片2 2。以上為第二種半導體 接合結構及其製程的解說。 因此本發明藉由金凸塊或金屬柱體取代習知的解料凸 塊,使每一凸塊之間的間距減小,進而使整個覆晶結構體 積大幅縮小’並且因為金凸塊或金屬柱體取代習知的銲料 凸塊,使晶月上的接點更密集’並使晶片在設計上更為多 元,進而使整體的半導體接合結構更具經濟效益。 以上所述係藉由實施例說明本發明之特點,其目的在 使熟習該技術者能暸解本發明之内容並據以實施,而非限 定本發明之專利範圍,故,凡其他未脫離本發明所揭示之 精神所完成之等效修飾或修改,仍應包含在以下所述之申 請專利範圍中。 【圖式簡單說明】 圖式說明: 第一圖為習知技術之半導體接合結構剖面示意圖。 第二圖為本發明半導體元件之局部放大剖面示意圖。 第二圖為本發明線路元件之局部放大剖面示意圖。 第四Α圖至第四Ε圖為本發明第一種實施例之半導體元件 結構製程剖面示意圖。 28 1375284The action 'resistes each metal bump 66 against the metal layer 65 to complete the electrical inspection. Next, referring to the thirteenth diagram, the heat treatment is performed by a baking heating method or a microwave heating method, and the processing temperature is performed between Celsius and 400 degrees Celsius, and the flux is patterned from the heat treatment process. The polymer layer 56 flows out to facilitate bonding of the gold or solder layer 72 to the gold or metal containing layer 65 on the second pad 42 and to facilitate bonding of the patterned polymer layer 27 1375284 to the protective layer 46, The patterned polymer layer 56 can be securely bonded to the surface of the protective layer 46 of the semiconductor wafer 22 and the line component 24. Next, the dicing step of the semiconductor wafer 23' is performed to cut the semiconductor wafer 23' into a plurality of line elements 24 (semiconductor wafers), and the corresponding semiconductor wafers 2 2 are bonded to each of the line elements 24. The above is a description of the second semiconductor junction structure and its process. Therefore, the present invention replaces the conventional disintegration bumps with gold bumps or metal pillars, so that the spacing between each bumps is reduced, thereby greatly reducing the volume of the entire flip-chip structure' and because of gold bumps or metals. The replacement of the conventional solder bumps by the pillars makes the junctions on the crystal moon denser and makes the wafer more versatile in design, thereby making the overall semiconductor junction structure more economical. The above description of the embodiments of the present invention is intended to be understood by those skilled in the art, and the invention may be practiced without departing from the scope of the invention. Equivalent modifications or modifications made by the spirit of the invention should still be included in the scope of the claims described below. BRIEF DESCRIPTION OF THE DRAWINGS: The first figure is a schematic cross-sectional view of a semiconductor junction structure of the prior art. The second figure is a partially enlarged cross-sectional view of a semiconductor device of the present invention. The second figure is a partially enlarged cross-sectional view of the circuit component of the present invention. 4 to 4 are schematic cross-sectional views showing a process of fabricating a semiconductor device according to a first embodiment of the present invention. 28 1375284

第五A圖至第五B圖為本發明第一種實施例之線路元件結 構製程剖面示意圖。 第六圖至第八圖為本發明第一種實施例半導體元件結合線 路元件之製程剖面示意圖。 第九A圖至第九E圖為本發明第二種實施例之半導體元件 結構製程剖面示意圖。 第十A圖至第+F圖為本發明第二種實施例之線路元件結 構製程剖面示意圖。 第十一圖至第十三圖為本發明笛t 月第一種實施例半導體元件姓 合線路元件之製程剖面示意圖。 兀件° 【主要元件符號說明】 12 凸塊 14 晶片 16 晶片 18 底膠 20 對外接墊 22 半導體晶片 23 半導體晶圓 23, 半導體晶圓 24 線路疋件 26 電子元件 28 薄膜絕緣層 30 薄膜絕緣層 32 薄膜絕緣層 34 線路層 36 線路層 38 導通孔 40 第一接墊 42 第二接墊 44 對外接墊 46 保護層 48 擴散阻絶層 50 種子層 52 光阻層 54 金層 55 金屬層 56 w案化聚合物層 29 1375284Figs. 5A to 5B are schematic cross-sectional views showing the process of the circuit element structure according to the first embodiment of the present invention. 6 to 8 are schematic cross-sectional views showing a process of a semiconductor device in combination with a line member according to a first embodiment of the present invention. 9A to IXE are schematic cross-sectional views showing a process of fabricating a semiconductor device in accordance with a second embodiment of the present invention. 10A to +F are schematic cross-sectional views showing the structure of a circuit component according to a second embodiment of the present invention. 11 to 13 are schematic cross-sectional views showing the process of the semiconductor component surname circuit component of the first embodiment of the present invention. °°[Main component symbol description] 12 bump 14 wafer 16 wafer 18 underfill 20 external pad 22 semiconductor wafer 23 semiconductor wafer 23, semiconductor wafer 24 circuit component 26 electronic component 28 thin film insulating layer 30 thin film insulating layer 32 Thin film insulating layer 34 Circuit layer 36 Circuit layer 38 Via 40 First pad 42 Second pad 44 External pad 46 Protective layer 48 Diffusion barrier 50 Seed layer 52 Photoresist layer 54 Gold layer 55 Metal layer 56 w Case polymer layer 29 1375284

58 開口 60 62 種子層 64 65 金屬層 66 68 銅金屬層 70 72 接合層 β …丨 V 4 ! —、· 擴散阻絶層 光阻層 金屬凸塊 錄金屬層58 Opening 60 62 Seed layer 64 65 Metal layer 66 68 Copper metal layer 70 72 Bonding layer β ...丨 V 4 ! —·· Diffusion barrier layer Photoresist layer Metal bump Recording metal layer

3030

Claims (1)

1375284 ‘· 095115973號專利申請案I 巧炉·小 中文申請專利範圍替換本(9f年H0消/Cf '申請專利範圍·1 H 1、一種晶片連接晶圓的製程,包括下列步爾: 提供一半導體晶圓與一半導體晶片,其中該半導體晶片 包括一種子層與一第一金屬凸塊,該種子層位於該第一金 屬凸塊與該半導體晶片的一接墊之間,且該第一金屬晶塊 包括一鎳層與一含錫金屬’該鎳層位於該種子層與該含錫 金屬之間,該鎳層的厚度介於i微米至20微米之間; 形成-聚合物層在該半導體晶圓上,且位於該聚合物層 内的-第-開口暴露出該半導體晶圓的—金屬I,該聚合 物層的厚度介於5微米至4〇〇微米之間;以及 在形成該聚合物層的牛眺+ & ,A . _ A ㈢的步驟之後,接合該含錫金屬與該第一 開口所暴露出之該金屬M ^ Sx X , I屬層,並使该汆合物層接觸該半導 晶片以及接觸該第—金屬凸塊。 申月專利圍第1項所述之晶片連接晶圓的製程,1 中該金屬層的材質包括金或錫。 '、 3、 如申清專利範圖 第1項所述之晶片連接晶圓的製程,盆 中在接合該含錫合厘八 、屬與該第一開口所暴露出之該金屬 步驟之前,該第一厶思 忒金屬層的 度。 、屬凸塊的厚度大於該聚合物層的厚 4、 如申請專利範阇 中在接合該含锡金Λ項所述之晶片連接晶圓的製程,其 步驟之前,該第=該第一開口所暴露出之該金屬層的 差在10微米之内。 切增的7子度相 5、 如申請專利範 第1項所述之晶片連接晶圓的製程,其 143023-991027.doc 中在接合該含錫金屬與該第一開口所暴露出1f該金屬層的 步驟之前’還包括-電性檢驗步驟。 6、 如申請專利範圍第1項所述之晶片連接晶圓的製程,其 中該半導體晶片更包括位於該接墊與該種子層之間的一含 鈦金屬層。 7、 如申请專利範圍第6項所述之晶片連接晶圓的製程,其 中5亥含欽金屬層為一氮化鈦層或是一鈦鎢合金層。 8、 如申請專利範圍第1項所述之晶片連接晶圓的製程,其 中該半導體晶片更包括位於該接墊與該種子層之間的一含 组金屬層。 9、 如申請專利範圍第8項所述之晶片連接晶圓的製程,其 中該含鈕金屬層為一氮化钽層。 1〇、如申凊專利範圍第1項所述之晶片連接晶圓的製程,其 中該含錫金屬的厚度介於5微米至1〇〇微米之間。 11、 如申請專利範圍第丨項所述之晶片連接晶圓的製程,其 中s亥種子層的形成方式包括一濺鍍製程。 12、 如申請專利範圍第]項所述之晶片連接晶圓的製程,其 中在形成該聚合物層的步驟中,位於該聚合物層内的一第 二開口暴露出該半導體晶圓的一接點,且該第一開口與該 第二開口的間距係介於5微米至100微米之間,並於接合該 含錫金屬與該第一開口所暴露出之該金屬層的步驟中,使 該半導體晶片的一第二金屬凸塊接合該第二開口所暴露出 之該接點。 ^ 13、如申請專利範圍第丨項所述之晶片連接晶圓的製程其 143023-99J027.doc 1375284 , ,· 包括形成含有助銲劑(Πιιχϋ^ 中形成該聚合物層的步驟 物在該半導體晶圓上。 程,其 cP的該 14、如申請專利範圍第1項所述之晶片連接晶圓的製 中形成該聚合物層的步驟包括形成黏滯性大於90_ 聚合層。 15、 如申請專利範圍第丨項 W Μ ^炙日0月連接晶圓的製程,其 中5亥含錫金屬的材質未含有錯。 16、 如_請專利範圍第旧所述之晶片連接晶圓的製程,其 中該第一開口的最大橫向距離係介於5微米至5〇微米之間。 17、 如中請專利範圍第i項所述之晶片連接晶圓的製程其 中形成該聚合物層之步驟包括熱壓合—乾膜㈣在該 半導體晶圓上。 18、 如申請專利範圍第丨項所述之晶片連接晶圓的製程其 中形成s亥聚合物層的步驟包括一網版印刷步驟。 19、 如申請專利範圍第i項所述之晶片連接晶圓的製程其 中該接墊是利用鑲嵌銅製程或是濺鍍鋁製程的方式形成。 20、 如申請專利範圍第1項所述之晶片連接晶圓的製程,其 中該種子層的材質包括銅金屬。 21、 如申請專利範圍第丨項所述之晶片連接晶圓的製程其 中該鎳層是利用電鍍的方式形成。 22、 如申請專利範圍第丄項所述之晶片連接晶圓的製程,其 中該含錫金屬是利用電鍍的方式形成。 23、 如申請專利範圍第i項所述之晶片連接晶圓的製程其 中接合該含錫金屬與該第一開口所暴露出之該金屬層的步 143023-991027.doc 1375284 I ‘·· — _ m 驟是在溫度介於攝氏80度至攝氏400度之間進行f ' T- - 1 - · 24、 一種晶片連接晶圓的製程,包括下列步驟: 提供一半導體晶圓與一半導體晶片,其中該半導體晶圓 包括一金屬層,該半導體晶片包括一第一金屬凸塊,且該 第一金屬凸塊包括一銅層與一含錫金屬,該鋼層位於該含 錫金屬與該半導體晶片的一接墊之間,該鋼層的厚度介於 10微米至150微米之間; 形成一聚合物層在該半導體晶圓上,且位於該聚合物層 内的一第一開口暴露出該金屬層,該聚合物層的厚度介於5 微米至400微米之間;以及 在形成該聚合物層的步驟之後,接合該含錫金屬與該第 一開口所暴露出之該金屬層,並使該聚合物層接觸該半導 體晶片以及該第一金屬凸塊。 25、 如申請專利範圍第24項所述之晶片連接晶圓的製程, 其中在接合該含錫金屬與該第一開口所暴露出之該金屬層 的步驟之前,該第一金屬凸塊的厚度大於該聚合物層的厚 度。 26、 如申請專利範圍第24項所述之晶片連接晶圓的製程, 其中在接合該含錫金屬與該第一開口所暴露出之該金屬層 的步驟之前,該第一金屬凸塊的厚度與該聚合物層的厚度 相差在10微米之内。 27、 如申請專利範圍第24項所述之晶片連接晶圓的製程, 其中形成該聚合物層的步驟包括形成含有助銲劑(flux)的聚 合物在該半導體晶圓上。 143023-991027.doc 1375284 28、如申請專利範圍第24項所述之晶片連接 其中形成該聚合物層的步驟包括形成黏滯性 該聚合物層。1375284 '· 095115973 Patent Application I Skillful Furnace·Small Chinese Application Patent Range Replacement (9f year H0 Consumer/Cf 'Application Patent Range·1 H 1 , a wafer-connected wafer process, including the following steps: Provide one a semiconductor wafer and a semiconductor wafer, wherein the semiconductor wafer includes a sub-layer and a first metal bump, the seed layer is between the first metal bump and a pad of the semiconductor wafer, and the first metal The ingot includes a nickel layer and a tin-containing metal between the seed layer and the tin-containing metal, the nickel layer having a thickness between i microns and 20 microns; forming a polymer layer in the semiconductor a -first opening on the wafer and located within the polymer layer exposing the metal I of the semiconductor wafer, the polymer layer having a thickness between 5 microns and 4 microns; and forming the polymerization After the step of the layer of burdock + & A. _ A (3), bonding the tin-containing metal to the metal M ^ Sx X , I genus layer exposed by the first opening, and constituting the chelating layer Contacting the semiconductor wafer and contacting the first metal bump The process of wafer-connecting wafers described in the first paragraph of Shenyue Patent, in which the material of the metal layer comprises gold or tin. ', 3. The wafer-connected wafer as described in the first paragraph of the patent application diagram. The process of the first metal layer of the metal layer in the pot before joining the metal containing the tin and the first opening. The thickness of the bump is greater than the polymer. The thickness of the layer 4, as in the patent application, in the process of bonding the wafer-connected wafer described in the tin-containing metal article, before the step, the difference of the metal layer exposed by the first opening is 10 Within the micron. The cut-in 7-degree phase 5, as in the wafer-attached wafer process described in claim 1, the 143023-991027.doc is bonded to the tin-containing metal and exposed to the first opening The step of the step of extracting the metal layer is further included in the process of the wafer-attached wafer according to claim 1, wherein the semiconductor wafer further comprises the pad and the seed layer. A layer of titanium containing metal. 7. If applied The process for wafer-bonding wafers according to item 6, wherein the metal layer of the 5H is a titanium nitride layer or a titanium-tungsten alloy layer. 8. The wafer connection as described in claim 1 The process of the wafer, wherein the semiconductor wafer further comprises a group of metal layers between the pad and the seed layer. 9. The process of wafer bonding wafers according to claim 8 of the patent application, wherein the The metal layer of the button is a tantalum nitride layer. The process of wafer-bonding wafers according to claim 1, wherein the tin-containing metal has a thickness of between 5 micrometers and 1 micrometer. 11. The process of wafer-attached wafers as described in the scope of the patent application, wherein the formation of the seed layer comprises a sputtering process. 12. The process of wafer bonding a wafer according to claim 4, wherein in the step of forming the polymer layer, a second opening in the polymer layer exposes a connection of the semiconductor wafer And the distance between the first opening and the second opening is between 5 micrometers and 100 micrometers, and in the step of bonding the tin-containing metal and the metal layer exposed by the first opening, A second metal bump of the semiconductor wafer engages the contact exposed by the second opening. ^13. The process of wafer-attached wafers as described in the scope of the patent application, 143023-99J027.doc 1375284, includes forming a step containing a flux (the step of forming the polymer layer in Πιιχϋ^ in the semiconductor crystal The process of forming the polymer layer in the process of wafer-bonding wafers as described in claim 1 includes forming a viscous layer greater than 90 _ a polymeric layer. The scope of the second item W Μ ^ 炙 0 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接The maximum lateral distance of the first opening is between 5 micrometers and 5 micrometers. 17. The process of wafer-connecting wafers as described in claim i, wherein the step of forming the polymer layer comprises thermocompression bonding - a dry film (4) on the semiconductor wafer 18. The process of forming a wafer-bonded wafer as described in the scope of the patent application, wherein the step of forming a polymer layer comprises a screen printing step. The process of connecting a wafer to a wafer according to the item i, wherein the pad is formed by using a damascene process or a sputtering process. 20. The process of wafer bonding wafers as described in claim 1 The material of the seed layer comprises copper metal. 21. The process of connecting a wafer to a wafer according to the above-mentioned claim, wherein the nickel layer is formed by electroplating. The process of connecting a wafer to a wafer, wherein the tin-containing metal is formed by electroplating. 23. The process of wafer bonding a wafer according to claim i, wherein the tin-containing metal and the first opening are bonded The step of exposing the metal layer is 143023-991027.doc 1375284 I '·· _ m is performed at a temperature between 80 ° C and 400 ° C for f ' T- - 1 - 24, a wafer The process of connecting a wafer includes the steps of: providing a semiconductor wafer and a semiconductor wafer, wherein the semiconductor wafer comprises a metal layer, the semiconductor wafer includes a first metal bump, and the semiconductor wafer a metal bump includes a copper layer and a tin-containing metal, the steel layer being between the tin-containing metal and a pad of the semiconductor wafer, the steel layer having a thickness of between 10 micrometers and 150 micrometers; forming a a polymer layer on the semiconductor wafer, and a first opening in the polymer layer exposing the metal layer, the polymer layer having a thickness between 5 microns and 400 microns; and forming the polymer After the step of bonding, the tin-containing metal and the metal layer exposed by the first opening are bonded, and the polymer layer contacts the semiconductor wafer and the first metal bump. 25. The process of wafer bonding a wafer according to claim 24, wherein the thickness of the first metal bump is prior to the step of bonding the tin-containing metal and the metal layer exposed by the first opening. Greater than the thickness of the polymer layer. 26. The process of wafer-attaching a wafer according to claim 24, wherein the thickness of the first metal bump is prior to the step of bonding the tin-containing metal and the metal layer exposed by the first opening The difference from the thickness of the polymer layer is within 10 microns. 27. The process of wafer bonding wafers of claim 24, wherein the step of forming the polymer layer comprises forming a polymer containing a flux on the semiconductor wafer. 143023-991027.doc 1375284 28. The wafer connection of claim 24, wherein the step of forming the polymer layer comprises forming a viscous polymer layer. 晶圓的製一, 大於9〇〇〇0(^的 29、如申請專利範圍第24項所述之晶片連接晶圓的製程, 其中在接合該含錫金屬與該第一開口所暴露出之該金屬層 的步驟之前,還包括一電性檢驗步驟。 3〇、如申請專利範圍第24項所述之晶片連接晶圓的製程, 其中該第一開口的最大橫向距離係介於5微米至5〇微米之 31、 如申請專利範圍第24項所述之晶片連接晶圓的製程, 其中該銅層的橫向最大尺寸介於3微米到5〇〇微米之間。 32、 如申請專利範圍第24項所述之晶片連接晶圓的製程, 其中該銅層的橫向最大尺寸介於3微米至5〇微米之間。 33、 如申請專利範圍第24項所述之晶片連接晶圓的製程, 其中該第-金屬凸塊更包括一鎳層,且該錄層位於該銅層 與該含錫金屬之間。 34、 如申請專利範圍第24項所述之晶片連接晶圓的製程, 其中該含錫金屬的厚度介於5微米至1〇〇微米之間。 35、 如申請專利範圍第24項所述之晶片連接晶圓的製程, 其中形成該聚合物層之步驟包括熱壓合一乾膜(dry⑴以)在 該半導體晶圓上。 36'如申請專利範圍第24項所述之晶片連接晶圓的製程, 其中开^成3亥聚合物層的步驟包括一網版印刷步驟。 37、如申請專利範圍第24項所述之晶片連接晶圓的製程, 143023-99I027.doc 1375284 其中該含錫金屬的材質未含有錯 38、如申清專利範圍第24項所述之晶片連接晶圓的製程, 其中該半導體晶片更包括位於該第一金屬凸塊與該接墊之 間的一含鈕金屬層》 39、 如f請專利範圍第38項所述之晶片連接晶圓的製程, 其中該含组金屬層為一氮化组層。 40、 如申印專利範圍第24項所述之晶片連接晶圓的製程, 其中該半導體晶片更包括位於該第—金屬凸塊與該接塾之 間的一含鈦金屬層。 41、 如申請專利範圍第4〇項所述之晶片連接晶圓的製程, 其中該含鈦金屬層為一鈦鎢合金層或一氮化鈦層。 42、 如申請專利範圍第24項所述之晶片連接晶圓的製程, 其中該接墊是利用鑲嵌鋼製程或是濺鍍鋁製程的方式形 成。 4'3、如申請專利範圍第24項所述之晶片連接晶圓的製程, 其中s亥金屬層的材質包括金或錫。 44、 如申請專利範圍第24項所述之晶片連接晶圓的製程, 其中該半導體晶片還包括位於該第一金屬凸塊與該接墊之 間的一種子層’且該種子層包括銅金屬。 45、 如申請專利範圍第24項所述之晶片連接晶圓的製程, 其中該銅層是利用電鍍的方式形成。 46、 如申請專利範圍第24項所述之晶片連接晶圓的製程, 其中該含錫金屬是利用電鍍的方式形成。 47、 如申請專利範圍第24項所述之晶片連接晶圓的製程, 143023-99I027.doc 1375284 * · 其中接合該含錫金屬與The fabrication of a wafer, the process of wafer-bonding wafers as described in claim 24, wherein the bonding of the tin-containing metal to the first opening is performed The step of the metal layer further includes an electrical inspection step. The process of wafer-bonding wafers according to claim 24, wherein the maximum lateral distance of the first opening is between 5 micrometers and The process of wafer-bonding wafers according to claim 24, wherein the lateral maximum dimension of the copper layer is between 3 micrometers and 5 micrometers. 32. The process of connecting the wafer to the wafer according to the above, wherein the lateral maximum dimension of the copper layer is between 3 micrometers and 5 micrometers. 33. The wafer bonding wafer process according to claim 24 of the patent application scope, Wherein the first metal bump further comprises a nickel layer, and the recording layer is located between the copper layer and the tin-containing metal. 34. The wafer bonding wafer process according to claim 24, wherein The thickness of tin-containing metals ranges from 5 microns to 1 35. The process of wafer bonding wafers of claim 24, wherein the step of forming the polymer layer comprises thermally pressing a dry film (dry (1)) onto the semiconductor wafer. The process of wafer-bonding wafers as described in claim 24, wherein the step of opening the polymer layer comprises a screen printing step. 37. The wafer connection as described in claim 24 The process of the wafer, 143023-99I027.doc 1375284, wherein the material of the tin-containing metal does not contain the process of the wafer-attached wafer according to claim 24, wherein the semiconductor wafer further includes the A process for bonding a wafer to a wafer as described in claim 38, wherein the group-containing metal layer is a nitride layer. 40. The process of wafer-connecting a wafer according to claim 24, wherein the semiconductor wafer further comprises a titanium-containing metal layer between the first metal bump and the interface. Patent scope The process of connecting a wafer to a wafer according to the above, wherein the titanium-containing metal layer is a titanium-tungsten alloy layer or a titanium nitride layer. 42. The process of wafer-connecting wafers according to claim 24 The pad is formed by using a damascene process or a sputtered aluminum process. 4'3. The process of wafer-connecting a wafer according to claim 24, wherein the material of the s-metal layer comprises gold. The process of wafer-connecting a wafer according to claim 24, wherein the semiconductor wafer further comprises a sub-layer between the first metal bump and the pad and the seed layer A process for wafer-bonding wafers as described in claim 24, wherein the copper layer is formed by electroplating. 46. The process of wafer bonding wafers according to claim 24, wherein the tin-containing metal is formed by electroplating. 47. The process of connecting a wafer to a wafer according to claim 24, 143023-99I027.doc 1375284 * · wherein the tin-containing metal is bonded 該第一開口所暴露出之該金屬層的 V螺疋在/IHL度介於攝氏8〇度至攝氏4〇〇度之間進行。 48、如申請專利範圍第24項所述之晶片連接晶圓的製程’ 其中在形成該聚合物層的步财,位於該聚合物層内的一 第,開口暴露出該半導體晶圓的一接點,且該第一開口與 該第一開口的間距係介於5微米至100微米之間,並於接合 該3錫金屬與該第一開口所暴露出之該金屬層的步驟中, 使該半導體晶片的一第二金屬凸塊接合該第二開口所暴露 出之該接點。 49、一種晶片封裝結構,包括: 一線路元件,包括一第一介電層、一第一線路層與一絕 緣層,其中該第一線路層位於該第一介電層之上,該第一 線路層的材質包括電鍍銅,該絕緣層位於該第一介電層之 上以及位於該第一線路層之上’一第—缺口位於該絕緣層The V-thread of the metal layer exposed by the first opening is performed at an /IHL degree between 8 degrees Celsius and 4 degrees Celsius. 48. The process of wafer-attached wafers according to claim 24, wherein in the step of forming the polymer layer, a portion of the polymer layer is exposed, and the opening exposes a connection of the semiconductor wafer And the distance between the first opening and the first opening is between 5 micrometers and 100 micrometers, and in the step of bonding the metal metal layer exposed by the metal and the first opening, A second metal bump of the semiconductor wafer engages the contact exposed by the second opening. 49. A chip package structure comprising: a circuit component comprising a first dielectric layer, a first circuit layer and an insulating layer, wherein the first circuit layer is above the first dielectric layer, the first The material of the circuit layer comprises electroplated copper, the insulating layer is located above the first dielectric layer and above the first circuit layer, a first gap is located in the insulating layer 内以及位於該第一線路層的一第一接點之上,該第一接點 位於該第一缺口的底端; 牛導體晶片,位於該線路元件 包括一矽基底、多個電晶體、一第二介電層、一第二線路 層與一保護層,其中該第二介電層位於該些電晶體之下, 該第二線路層位於該第二介電層之下,該保護層位於該第 二線路層之下以及位於該第二介電層之下,該第二線:層 的材質包括電鍍銅,該保護層包括厚度介於〇2微米至^微 米之間的一氮化石夕(silicon nitride)層,—筮-从 第一缺口位於該保 護層内以及位於該第二線路層的一第二接點之下,該第二 143023-991027.doc 1375284Inside and on a first contact of the first circuit layer, the first contact is located at a bottom end of the first notch; a cattle conductor chip, the circuit component comprises a substrate, a plurality of transistors, and a a second dielectric layer, a second circuit layer and a protective layer, wherein the second dielectric layer is located under the plurality of dielectric layers, the second circuit layer is located under the second dielectric layer, the protective layer is located Under the second circuit layer and under the second dielectric layer, the material of the second line: layer comprises electroplated copper, and the protective layer comprises a nitriding layer having a thickness between 〇2 μm and ^μm. (silicon nitride) layer, - 筮 - from the first gap in the protective layer and below a second junction of the second circuit layer, the second 143023-991027.doc 1375284 接點位於該第二缺口的頂端; 一銅柱體,位於該第一接點與該第二接點之間,且該鋼 柱體的高度介於10微米至15〇微米之間,該銅柱體的橫向最 大尺寸介於3微米至5〇〇微米之間,該銅柱體經由該第一缺 口連接該第一接點以及經由該第二缺口連接該第二接點; 一含欽金屬’位於該銅柱體與該第二接點之間; 一含錫金屬,位於該銅柱體與該第一接點之間; 一錦層’位於該含錫金屬與該第一接點之間,且該第一 接點經由該鎳層、該含錫金屬、該銅柱體及該含鈦金屬連 接該第二接點;以及 一聚合物層,位於該絕緣層與該保護層之間,且該聚合 物層接觸該銅柱體以及接觸該絕緣層。 50、如申請專利範圍第49項所述之晶片封裝結構,其中該 半導體晶片的一組對邊之間的距離小於該線路元件的一組 對邊之間的距離。 5 1、如申請專利範圍第49項所述之晶片封裴結構其中該 絕緣層的材質包括石夕。 52、 如申請專利範圍第49項所述之晶片封裝結構,其令該 保護層更包括一氧化石夕(silicon 〇xide)層。 53、 如申請專利範圍第49項所述之晶片封裝結構更包括 位於該含錫金屬與該銅柱體之間的一含鎳金屬。 54、 如申請專利範圍第49項所述之晶片封裝結構,其中該 含鈦金屬的材質包括氮化鈦。 55、 如申請專利範圍第49項所述之晶片封裴結構其中該 143023-991027.doc 1375284 • · 結構,其中該 含鈦金屬的材質包括鈦鎢合金。 56、如申請專利範圍第49項所述之晶片封| 含鈦金屬的厚度介於0.1微米至1微米之間。 57、 如申請專利範圍第49項所述之晶片封裝結構,其中該 含錫金屬的材質包括錫鉛合金。 58、 如申請專利範圍第49項所述之晶片封裝結構,其中γ 含錫金屬的材質包括錫銀合金。 59、 如申請專利範圍第49項所述之晶片封裝結構,其中該 含錫金屬的材質包括錫銀銅合金。 60、 如申請專利範圍第49項所述之晶片封裝結構,其中該 含錫金屬的材質沒有包括鉛。 61、 如申請專利範圍第49項所述之晶片封裝結構,其中該 聚合物層的材質包括環氧樹脂。 62 '如申請專利範圍第49項所述之晶片封裝結構,其中該 錄層的厚度介於1微米至5微米之間。 63、 如申凊專利範圍第49項所述之晶片封裝結構,其中該 線路元件更包括一電容元件。 64、 如申請專利範圍第49項所述之晶片封裝結構,其中該 線路元件更包括一電阻元件。 65、 一種晶片封裝結構,包括: 一線路元件,包括一第一介電層、一第一線路層與一絕 緣層’其中該第一線路層位於該第一介電層之上’該第一 線路層的材質包括電鍍鋼,該絕緣層位於該第一介電層之 上以及位於s玄第一線路層之上,/第一缺口位於該絕緣層 143023-991027.doc 内以及位於該第一線路層 L. -^ 第一接點之上,該第一接 位於該第-缺口的底端,接點 位於該第一線路層的一第二接點之厂Ά緣層内以及 第二缺口的底端; ,該第一接點位於該 -半導體晶片’位於該線路元件上方 包括-石夕基底、多個電晶體、— #導體-片 β飯一徂嗜& 弟一"電層、一第二線路 二、:護層’其中該第二介電層位於該些電晶體之下, 路層位於該第二介電層之下,該保護層位於該第 =路層之下以及位於該第二介電層之下,該第二線路層 的材質包括電鍍銅,該保護声 米之間的-氮化㈣,一第广介於°·2微米至微 層 第二缺口位於該保護層内以及位 於該第二線路層的一第三接點之下,該第三接點位於該第 二缺口的頂端,一第四缺口位於該保護層内以及位於該第 二線路層的一第四接點之下,該第四接點位於該第四缺口 的頂端; 第一銅柱體,位於該第—接點與該第三接點之間且 該第一銅柱體的高度介於10微米至15〇微米之間,該第一銅 柱體的橫向最大尺寸介於3微米至5〇〇微米之間,該第一銅 柱體經由該第一缺口連接該第一接點以及經由該第三缺口 連接該第三接點; 第一銅柱體,位於該第二接點與該第四接點之間,且 該第二銅柱體的高度介於10微米至15〇微米之間,該第二銅 柱體的橫向最大尺寸介於3微米至500微米之間,該第二銅 柱體經由該第二缺口連接該第二接點以及經由該第四缺口 143023-991027.doc •10· U/5284 «· · 連接該第四接點; 一金屬層,位於該第—銅柱體與該第三接點之間; —含錫金屬,位於該第一銅柱體與該第一接點之間; -鎳層,位於該含錫金屬與該第一接點之間,且該第一 接點經由該錦層、該含錫冬虽 3錫金屬、該第一銅柱體及該金屬層 連接該第三接點;以及a contact is located at a top end of the second notch; a copper cylinder between the first contact and the second contact, and the height of the steel cylinder is between 10 micrometers and 15 micrometers, the copper The lateral maximum dimension of the cylinder is between 3 micrometers and 5 micrometers, the copper pillar is connected to the first joint via the first gap and the second joint is connected via the second gap; 'between the copper pillar and the second joint; a tin-containing metal between the copper pillar and the first joint; a gold layer 'located between the tin-containing metal and the first joint And the first contact connects the second contact via the nickel layer, the tin-containing metal, the copper pillar and the titanium-containing metal; and a polymer layer between the insulating layer and the protective layer And the polymer layer contacts the copper pillar and contacts the insulating layer. 50. The wafer package structure of claim 49, wherein a distance between a pair of opposite sides of the semiconductor wafer is less than a distance between a pair of opposite sides of the line component. The wafer sealing structure according to claim 49, wherein the material of the insulating layer comprises Shi Xi. 52. The chip package structure of claim 49, wherein the protective layer further comprises a silicon germanium xide layer. 53. The wafer package structure of claim 49, further comprising a nickel-containing metal between the tin-containing metal and the copper pillar. 54. The wafer package structure of claim 49, wherein the titanium-containing metal material comprises titanium nitride. 55. The wafer package structure of claim 49, wherein the material of the titanium-containing metal comprises a titanium-tungsten alloy. 56. The wafer seal of claim 49, wherein the titanium-containing metal has a thickness of between 0.1 micrometers and 1 micrometer. 57. The chip package structure of claim 49, wherein the tin-containing metal material comprises a tin-lead alloy. 58. The wafer package structure of claim 49, wherein the material of the gamma-containing metal comprises a tin-silver alloy. 59. The chip package structure of claim 49, wherein the tin-containing metal material comprises a tin-silver-copper alloy. 60. The chip package structure of claim 49, wherein the tin-containing metal material does not include lead. The wafer package structure of claim 49, wherein the material of the polymer layer comprises an epoxy resin. The wafer package structure of claim 49, wherein the recording layer has a thickness of between 1 micrometer and 5 micrometers. The chip package structure of claim 49, wherein the circuit component further comprises a capacitor element. 64. The chip package structure of claim 49, wherein the circuit component further comprises a resistive component. 65. A chip package structure comprising: a circuit component comprising a first dielectric layer, a first circuit layer and an insulating layer 'where the first circuit layer is above the first dielectric layer' The material of the circuit layer comprises galvanized steel, the insulating layer is located above the first dielectric layer and above the first circuit layer, and the first notch is located in the insulating layer 143023-991027.doc and is located at the first The circuit layer L.-^ is above the first contact, the first connection is located at the bottom end of the first gap, and the contact is located in the factory edge layer of the second contact of the first circuit layer and the second gap a bottom end; the first contact is located on the semiconductor wafer above the line element including - a stone substrate, a plurality of transistors, - #conductor - sheet β rice 徂 徂 &< a second line 2: a protective layer 'where the second dielectric layer is under the plurality of dielectric layers, and the circuit layer is under the second dielectric layer, the protective layer is located under the second layer and Located under the second dielectric layer, the material of the second circuit layer includes electroplated copper. Protecting the nitriding (four) between the sound meters, a first between 0.2 micrometers and the second layer of the microlayer is located in the protective layer and below a third junction of the second circuit layer, the third a contact is located at a top end of the second notch, a fourth notch is located in the protective layer and under a fourth contact of the second circuit layer, the fourth contact is located at a top end of the fourth notch; a copper pillar between the first junction and the third junction and the height of the first copper pillar is between 10 micrometers and 15 micrometers, and the lateral maximum dimension of the first copper pillar is between Between 3 micrometers and 5 micrometers, the first copper pillar is connected to the first joint via the first gap and the third joint is connected via the third gap; a first copper pillar is located at the second Between the junction and the fourth junction, and the height of the second copper pillar is between 10 micrometers and 15 micrometers, and the lateral maximum dimension of the second copper pillar is between 3 micrometers and 500 micrometers. The second copper pillar is connected to the second joint via the second gap and via the fourth gap 143023-991027. Doc •10· U/5284 «· · connect the fourth joint; a metal layer between the first copper pillar and the third joint; - tin-containing metal, located in the first copper pillar Between the first contacts; a nickel layer between the tin-containing metal and the first contact, and the first contact is via the layer, the tin-containing winter 3 tin metal, the first copper a pillar and the metal layer connecting the third joint; 一聚合物層’位於該絕緣層與該保護層之間以及位於該 第-銅柱體與該第二銅柱體之間,且該聚合物層接觸該第 銅柱體、接觸該第二銅柱體以及接觸該絕緣層。 66、如申請專利範圍第65項所述之晶片封裂結構,其中該 半導體晶片的-組對邊之間的距離小於該線路元件的一= 對邊之間的距離。 67'如申請專利範圍第65項所述之晶片封裝結構,其中該 絕緣層的材質包括石夕。 其中該 其中該 68、 如申請專利範圍第65項所述之晶片封裝結構 保護層更包括一氧化石夕層。 69、 如申請專利範圍第65項所述之晶片封裝結構 金屬層的材質包括鈦金屬。 70、 如申請專利範圍第65項所述之晶片封骏結構,其中該 金屬層的材質包括欽鎢合金。 71、 如申請專利範圍第65項所述之晶片封襄結構其中該 金屬層的厚度介於〇·1微米至丨微米之間。 72、 如申請專利範圍第65項所述之晶片封裝結構,其中該 143023-991027.doc 1375284a polymer layer Between the insulating layer and the protective layer and between the first copper pillar and the second copper pillar, and the polymer layer contacts the second copper pillar and contacts the second copper a cylinder and contacting the insulating layer. 66. The wafer chipping structure of claim 65, wherein the distance between the pair of sides of the semiconductor wafer is less than the distance between a pair of opposite sides of the line component. The wafer package structure of claim 65, wherein the material of the insulating layer comprises Shi Xi. Wherein, wherein the protective layer of the chip package structure as described in claim 65 further comprises a layer of oxidized stone. 69. The chip package structure as claimed in claim 65, wherein the metal layer comprises titanium metal. 70. The wafer sealing structure according to claim 65, wherein the material of the metal layer comprises a tungsten alloy. The wafer package structure of claim 65, wherein the metal layer has a thickness of between 1 μm and 丨 micron. 72. The chip package structure according to claim 65, wherein the 143023-991027.doc 1375284 結構’其中該 結構’其中該 結構’其中該 其中該 其中該 其中該 含錫金屬的材質包括錫鉛合金。 73、 如申請專利範圍第65項所述之晶片封裝 含錫金屬的材質包括錫銀合金。 74、 如申請專利範圍第65項所述之晶片封裝 含锡金屬的材質包括錫銀銅合金。 75、 如申請專利範圍第65項所述之晶片封穿r 含錫金屬的材質沒有包括鉛》 封裝結構, 封裝結構, 封裝結構, 76、 如申請專利範圍第65項所述之晶片 聚合物層的材質包括環氧樹脂。 77、 如申請專利範圍第65項所述之晶片 金屬層的材質包括氮化鈥。 78、 如申請專利範圍第65項所述之晶片 金屬層的材質包括鈕金屬。 79、 .如 申請專 利範圍第65項 所 述之 晶 片 封裝 結構 金屬層 的材質 包括氮化钽。 80、 .如 申請專 利範圍第65項 所 述之 晶 片 封骏 結構 金屬層 的材質 包括鉻金屬。 81、 '如 申請專 利範圍第65項 所 述之 晶 片 封裝 結構 其中該 其中該 其中該 金屬層的材質包括鉻銅合金。 其中該 更包括 其中該 82、如申請專利範圍第65項所述之晶片封 衣、、·〇構 鎳層的厚度介於1微米至5微米之間。 83、如申請專利範圍第65項所述之晶片封裝择構 位於該含錫金屬與該第一銅柱體之間的一含八 碎金屬。 84 '如申請專利範圍第65項所述之晶片 J衣結構, 143023-991027.doc 12 -LJ/JZ04 •’ 厂----------~二. 第二銅柱體的橫向最大尺寸介於3微米至一廠ζ之g。 “、如申請專利範圍第65項所述之晶片封裝結構 第-銅柱體之中心點到該第二銅柱體2 於5微米至400微米之間。 系" %、如申請專利範圍第65項所述之晶片封裝結構其中a 第-銅柱體之中心點到該第二銅柱體之中心點的距離: 於5微米至1〇〇微米之間。 。 封裝結構,其中該 寸裝結構,其中該The structure 'where the structure' is the structure' wherein the material of the tin-containing metal comprises a tin-lead alloy. 73. The wafer package of claim 65, wherein the tin-containing metal material comprises a tin-silver alloy. 74. The wafer package of claim 65, wherein the tin-containing metal material comprises a tin-silver-copper alloy. 75. The wafer encapsulation as described in claim 65 of the patent scope r material containing tin metal does not include lead package structure, package structure, package structure, 76, the wafer polymer layer as described in claim 65 The material includes epoxy. 77. The material of the metal layer of the wafer according to claim 65 of the patent application includes tantalum nitride. 78. The material of the metal layer of the wafer as described in claim 65, comprising a button metal. 79. The material of the wafer package structure as described in claim 65 of the patent scope includes the material of the metal layer including tantalum nitride. 80. The material of the wafer seal structure metal layer as described in claim 65 of the patent scope includes chrome metal. 81. The wafer package structure as described in claim 65, wherein the material of the metal layer comprises a chrome-copper alloy. Further, the method further comprises: wherein the wafer sealing material according to claim 65, wherein the nickel layer has a thickness of between 1 μm and 5 μm. 83. The wafer package of claim 65, wherein the wafer package is between the tin-containing metal and the first copper pillar. 84 'The wafer J structure as described in claim 65, 143023-991027.doc 12 -LJ/JZ04 • 'Factory----------~ II. Horizontal of the second copper cylinder The maximum size ranges from 3 microns to one g. "The center point of the first copper pillar of the chip package structure as described in claim 65 to the second copper pillar 2 is between 5 micrometers and 400 micrometers." "%, as claimed in the patent scope The chip package structure of claim 65, wherein a distance from a center point of the first copper pillar to a center point of the second copper pillar is between 5 micrometers and 1 micrometer; a package structure, wherein the package is Structure, where 87、 如申請專利範圍第65項所述之晶片 線路元件更包括一電容元件。 88、 如申請專利範圍第65項所述之晶片 線路元件更包括一電阻元件。87. The wafer circuit component of claim 65, further comprising a capacitor component. 88. The wafer circuit component of claim 65, further comprising a resistive component. 143023-991027.doc 13143023-991027.doc 13
TW95115973A 2005-05-05 2006-05-05 Chip packaging structure and manufacturing process thereof TWI375284B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/124,493 US8232192B2 (en) 2004-05-05 2005-05-05 Process of bonding circuitry components

Publications (2)

Publication Number Publication Date
TW200723416A TW200723416A (en) 2007-06-16
TWI375284B true TWI375284B (en) 2012-10-21

Family

ID=48094536

Family Applications (1)

Application Number Title Priority Date Filing Date
TW95115973A TWI375284B (en) 2005-05-05 2006-05-05 Chip packaging structure and manufacturing process thereof

Country Status (1)

Country Link
TW (1) TWI375284B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8653658B2 (en) * 2011-11-30 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Planarized bumps for underfill control
US10103095B2 (en) * 2016-10-06 2018-10-16 Compass Technology Company Limited Fabrication process and structure of fine pitch traces for a solid state diffusion bond on flip chip interconnect
US11069606B2 (en) 2016-10-06 2021-07-20 Compass Technology Company Limited Fabrication process and structure of fine pitch traces for a solid state diffusion bond on flip chip interconnect
US10923449B2 (en) 2016-10-06 2021-02-16 Compass Technology Company Limited Fabrication process and structure of fine pitch traces for a solid state diffusion bond on flip chip interconnect

Also Published As

Publication number Publication date
TW200723416A (en) 2007-06-16

Similar Documents

Publication Publication Date Title
US10854567B2 (en) 3D packages and methods for forming the same
US20220246581A1 (en) Stacked Integrated Circuit Structure and Method of Forming
TWI470756B (en) Semiconductor structure and method forming semiconductor device
US9013037B2 (en) Semiconductor package with improved pillar bump process and structure
TWI582930B (en) Integrated circuit device and packaging assembly
US6107120A (en) Method of making semiconductor devices having protruding contacts
US8399989B2 (en) Metal pad or metal bump over pad exposed by passivation layer
US6784543B2 (en) External connection terminal and semiconductor device
US8344493B2 (en) Warpage control features on the bottomside of TSV die lateral to protruding bottomside tips
TWI429040B (en) Semiconductor structure and method of fabricating semiconductor device
US7713782B2 (en) Fusible I/O interconnection systems and methods for flip-chip packaging involving substrate-mounted stud-bumps
US7960269B2 (en) Method for forming a double embossing structure
US6326701B1 (en) Chip size package and manufacturing method thereof
US20070205520A1 (en) Chip package and method for fabricating the same
US8723330B2 (en) Protective layer for protecting TSV tips during thermo-compressive bonding
JP2002134658A (en) Semiconductor device and its manufacturing method
KR20150006757A (en) Interconnect structure and method of fabricating same
TW201725636A (en) Connector structure and method of forming same
US20190164920A1 (en) Semiconductor device with bump structure and method of making semiconductor device
TWI375284B (en) Chip packaging structure and manufacturing process thereof
KR102210802B1 (en) Semiconductor device and method for manufacturing the same
TWI419285B (en) Bump structure on a substrate and method for manufacturing the same
US9530757B2 (en) Single mask package apparatus
JP2000195862A (en) Semiconductor device and method of producing the same
TW201324639A (en) Chip package and method for fabricating the same

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees