TWI364081B - Failure analysis vehicle for yield enhancement with self test at speed burnin capability for reliability testing - Google Patents

Failure analysis vehicle for yield enhancement with self test at speed burnin capability for reliability testing Download PDF

Info

Publication number
TWI364081B
TWI364081B TW94124738A TW94124738A TWI364081B TW I364081 B TWI364081 B TW I364081B TW 94124738 A TW94124738 A TW 94124738A TW 94124738 A TW94124738 A TW 94124738A TW I364081 B TWI364081 B TW I364081B
Authority
TW
Taiwan
Prior art keywords
cell
integrated circuit
test
cells
layer
Prior art date
Application number
TW94124738A
Other languages
Chinese (zh)
Other versions
TW200625493A (en
Inventor
Richard Schultz
Michael Schmidt
Original Assignee
Lsi Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/921,538 external-priority patent/US7129101B2/en
Application filed by Lsi Corp filed Critical Lsi Corp
Publication of TW200625493A publication Critical patent/TW200625493A/en
Application granted granted Critical
Publication of TWI364081B publication Critical patent/TWI364081B/en

Links

Landscapes

  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)

Description

1364081 九、發明說明: 【發明所屬之技術領域】 本發月和積體電路製造有關,更明碟地說’係關於用 來驗證一項新製程的測試樣本。 【先前技術】 方'積祖電路新製程的開發中會創造出特定的設計規 則,^以界定該項製程的能力。隨著製造能力的開發,設 :十人貝便會開始設計新的積體電路。新製程開發與產品設 计的並行結果讓該項製程能否利用該些設計規則來生產積 體電路的能力變得非常重要。 4等叹核則包含如下:最小線路寬度、線路間的最 小距離、可彼此互相堆疊於頂端之上的通孔的最大數量、 乂及其匕此類參數。—般而言,製造商會保證只要部件符 。某項製程的該等設計規則’便可製造出良好的部件,從 而讓該等設計人員於製程備妥待㈣數個月便可開始進行 積體電路設計。 於首次生產某種异斤的積體電路設計之後,㉟常奋有一 段失效分析時期,目為必須調整該設計與該等製程I便生 產出成功的產品。某些積體電路的根本失效原因分析可能 非常耗時,有時候需要耗費數天甚至數週,方能隔離單: 片上的單項缺陷。 曰 開發工程師可用的失效分析技術包含如下:機械式4 測、光束誘發電流(OBIC)、光束誘發電阻變化(〇birch) 6 1^64081 微微秒成像電路PTr A、 ^ 析(PICA)、光誘發電壓改變(LIVA)、電 荷誘發電塵改變(CIVA、、欠# 4a & A(dVA)各種知描電子顯微術(SEM)技術、 主動式與被動式電塵對比、電子束(E-Beam)、以及本技術 領域中熱知的发夕枯你 "匕技術此外,亦可使用破壞性測試(例如 蝕刻法及研磨法)來隔離及確認問題。 於δ午多情況中,積體電路設計可能會限制或阻礙用於 查明缺陷的特定技術。舉例來說,為利用雷射技術來探測 某條特定路徑,於該條感興趣的路徑的正上方便不可以有 籲另-條金屬線路。另外,該等各式各樣技術可能僅能隔離 某項問題於該電路系統中某個特定部份,但無法限定到某 條特定線路或通孔。 ^配合主動式與被動式電壓對比技術來使用的E-Beam 铋測法可對通常無法採用其它檢視技術的電路板來進行有 效分析。利用主動式電壓對比技術便可以視覺方式來確認 一積體電路晶圓結構目前的電氣狀態。該結構外觀的相對 明暗便會顯示出某一結構究竟係處於VDD狀態、接地狀 ® 或疋某種中間狀態。一般而言,接地者會呈現暗色, 而處方、VDD者則會呈現明亮態。必要時,亦可將該明暗 外觀效果顛倒。被動式電壓對比技術的運作方式雷同,不 ^並不會有任何電源被施加至該電路。該基板會被接地, 而來自SEM或E-Beam的電子則會對未接地的結構進行充 電’而已接地的結構則不會接受電荷。於製作晶圓期間, 在產生該晶圓的每一層時可使用被動式電壓對比技術來檢 視每一層’而且於已經研磨某一晶圓的數層之後可使用被 7 13640811364081 IX. Description of the invention: [Technical field to which the invention pertains] This month is related to the manufacture of integrated circuits, and it is more clearly stated that it is a test sample used to verify a new process. [Prior Art] In the development of the new process of the 'product circuit', specific design rules will be created to define the process capability. With the development of manufacturing capabilities, the design: Ten people will begin to design new integrated circuits. The parallel results of new process development and product design make it important for the process to use these design rules to produce integrated circuits. The 4th sling core includes the following: minimum line width, minimum distance between lines, maximum number of through holes that can be stacked on top of each other, 乂 and its parameters. In general, the manufacturer will guarantee that as long as the part is . These design rules for a process can produce good components, allowing the designers to start the integrated circuit design in a few months after the process is ready. After the first production of a certain integrated circuit design, 35 Changfen had a period of failure analysis, and it was necessary to adjust the design and the process to produce a successful product. The analysis of the root cause of failure of some integrated circuits can be very time consuming, sometimes taking days or even weeks to isolate the single: single defect on the chip. The failure analysis techniques available to development engineers include the following: Mechanical 4 measurement, beam induced current (OBIC), beam induced resistance change (〇birch) 6 1^64081 picosecond imaging circuit PTr A, ^ (PICA), light induced Voltage change (LIVA), charge induced electric dust change (CIVA, ow #4a & A(dVA) various scanning electron microscopy (SEM) techniques, active and passive electric dust comparison, electron beam (E-Beam) ), as well as the well-known techniques in the art, can also use destructive testing (such as etching and grinding) to isolate and confirm the problem. In the case of more than δ, the integrated circuit Design may limit or hinder specific techniques used to pinpoint defects. For example, to use laser technology to detect a particular path, it is convenient to have a different metal in the direction of the path of interest. In addition, these various technologies may only isolate a problem from a particular part of the circuit system, but cannot be limited to a particular line or via. ^Compatible with active and passive voltage comparison techniques The E-Beam method can be used to effectively analyze boards that are not normally available with other viewing technologies. Active voltage contrast technology allows visual confirmation of the current electrical state of an integrated circuit wafer structure. The relative brightness of the structure will show whether a structure is in VDD state, grounded state, or some intermediate state. Generally, the grounder will appear dark, while the prescription and VDD will be bright. If necessary, the effect of the light and dark appearance can also be reversed. Passive voltage contrast technology works in the same way, no power supply is applied to the circuit. The substrate will be grounded, and the electrons from SEM or E-Beam The ungrounded structure will be charged' and the grounded structure will not accept the charge. During the fabrication of the wafer, each layer of the wafer can be used to view each layer using a passive voltage contrast technique. A number of layers of a wafer can be used after being 7 1364081

動式電壓對比技術來更精宓砧烚P 尺两在地檢視杈糊層。該等接地結構 通常會呈現暗色,而該箄去技祕砝> X寻禾接地結構則會呈現明亮態。和 使用主動式電壓對比技術鈿印,y Λ ^ 文何相同必要時亦可將接地結構與 未接地結構的明暗效果顛倒。 於開發及驗證過程期簡,舌至认^ ^間重要的工作係將缺陷隔離至 精確的位置處。舉例來說,诵礼可泸 > 遇扎j此具有非常咼的電阻率。 為能修正該製程’必須確實地找出該通孔的位置。僅能隔 離電路徑中其中-部份的失效分析技術並不足以對製程進 行微調。 容 内 明 發 [ 本發明提供-種執行積體電路製程的系統與方法, 可克服先前技術的缺點與限制,同時可讓失效分析人員 可能近接最多的個別連接線與組件。快速確認有缺陷之 體電路胞的列與行可加速進行失效分析處理,從而可進 更有效的製程測試。另外’本發明可用來測試使用直流 靜態效能,以及測試具有高速運作頻率的動態效能。許 製程限制下所設計的積體電路會提供完整且快速的失效 析’俾使能夠快速地找到製造缺陷且能夠改良製程。 所以,本發明#實施例可包括一用於積體電路的測1 載’、纟包括.複數個單元延遲胞’其中每個單元延遲, 句c括4元胞輸入、一單元胞輸出、一庫驅動胞(仙⑶ dnv】ng ceU)、以及一互連模組其中該單元胞輸入會被玉 接至該庫驅動胞,該庫驅動胞會進—步被連接至該 8 1364081 組’該互連模組會進一步被連接至該單元胞輸出,該等複 數個軍7〇延遲胞會從該單元延遲胞輸出至該單元延遲胞輸 入彼此互相串連’從而產生一串單元延遲胞;一輸入信號 線路’其會被連接至該串單元延遲胞中首位單元延遲胞的 單元胞輸入;以及一輸出信號線路,其會被連接至該串單 凡延遲胞中末位單元延遲胞的單元胞輸出。 本發明的實施例可進一步包括一用於測試一積體電路 測試載具之製程的方法’其包括下面的步驟:設計該積體 φ 電路測試載具;利用該製程來製造該積體電路測試載具; 將一測試信號施加至該積體電路測試載具的輸入信號線 路’從該積體電路測試載具的輸出信號線路中讀取一生成 ^號,將該生成信號與一預設的參考信號作比較;以及倘 若該生成信號不匹配該預設的參考信號的話便推斷該項製 程有缺陷。其中該積體電路測試載具包括複數個單元延遲 胞’其中每個單元延遲胞均包括一單元胞輸入、一單元胞 輸出、一庫驅動胞、以及一互連模組,其中該單元胞輸入 會被連接至这庫驅動胞,該庫驅動胞會進一步被連接至該 互連模組’該互連模組會進一步被連接至該單元胞輸出, 該等複數個單元延遲胞會從該單元延遲胞輸出至該單元延 遲胞輸入彼此互相串連,從而產生一串單元延遲胞;一輸 入號線路’其會被連接至該串單元延遲胞中首位單元延 遲胞的單元胞輸入;以及一輸出信號線路,其會被連接至 該串單元延遲胞中末位單元延遲胞的單元胞輸出。 本發明的實施例可進一步包括一用於積體電路的測試 9 1364081 載具,其包括:複數個單元延遲胞,其中每個單元延遲胞 均包括複數個單元延遲胞輸入、複數個單元延遲胞輸出、 複數個並排的庫驅動胞 '以及被排列在重疊層之上的複數 個互連模組’其中該等複數個單元延遲胞輸入中的單一單 元延遲胞輸入會被連接至該等複數個庫驅動胞中的單一庫 驅動胞,該單一庫驅動胞會被連接至該等複數個互連模組 中的單一互連模組,該單一互連模組會被連接至該等複數 個單元延遲胞輸出中的單一單元延遲胞輸出;該等複數個 φ 單元延遲胞會從該等複數個單元延遲胞輸出至該等複數個 單元延遲胞輸入彼此互相串連,從而產生一串單元延遲 胞;複數條輸入信號線路’它們會被連接至該串單元延遲 胞中首位單元延遲胞的複數個單元胞輸入;以及複數條輸 出信號線路,它們會被連接至該串單元延遲胞中末位單元 延遲胞的複數個單元胞輸出。 本發明的實施例可進一步包括一用於測試一積體電路 測試載具之製程的方法,其包括下面的步驟:設計該積體 鲁電路測試載具;利用該製程來製造該積體電路測試載具; 將複數個測試信號施加至該積體電路測試載具的該等複數 條輸入信號線路;從該積體電路測試載具的該等複數條輸 出信號線路中讀取複數個生成信號;將該等複數個生成信 號與複數個預設的參考信號作比較;以及倘若該等複數個 生成彳5谠不匹配該等複數個預設的參考信號的話便推斷該 、衣%有缺。其中该積體電路測試載具包括複數個單元 延遲胞,其中每個單元延遲胞均包括複數個單元延遲胞輸 入'複數個單元延遲胞輸出、複數個並排的庫驅動胞、以 及被排列在重疊層之上的複數個互連模组,其中該等複數 個單元延遲胞輸入中的單一單元延遲胞輸入會被連接至該 等複數個庫驅動胞中的單一庫驅動胞,該單一庫驅動胞會 被連接至該等複數個互連模組中的單一互連模組,該單— 互連模組會被連接至該等複數個單元延遲胞輪出中的單一 單元延遲胞輸出;該等複數個單元延遲胞會從該等複數個 單元延遲胞輸出至該等複數個單元延遲胞輸入彼此互相串 連’從而產生一串單元延遲胞;複數條輸入信號線路,它 們會被連接至該串單元延遲胞中首位單元延遲胞的複數個 單元胞輸入;以及複數條輸出信號線路,它們會被連接至 該串單元延遲胞中末位單元延遲胞的複數個單元胞輸出。 本發明的實施例可進一步包括一用於積體電路的測試 載具,其包括:複數個積體電路胞,其中可利用該積體電 路所有金屬層上的列行編號以視覺方式來識別該等複數個 積體電路胞中的每個積體電路胞。 本發明的實施例可進一步包括一用於檢視一積體電路 的方法,其包括下面的步驟:設計一測試載具;利用一積 體電路製程來製造該測試載具;以視覺方式來檢視該測試 載具;以及藉由審視該等金屬層上的列行編號來識別一積 體電路胞。其中該測試載具包括複數個積體電路胞’其中 可利用該積體電路所有金屬層上的列行編號以視覺方式來 識別該等複數個積體電路胞中的每個積體電路胞° 本發明的實施例可進一步包括一用於積體電路的測試 1304081 . 載八其包括.一被置放於一積體電路晶圓中其中一層之 .上的測忒電路圖案;複數條通孔’用以將該測試電路圖案 連接至S玄積體電路晶圓中的第| .Dynamic voltage contrast technology to more precise anvil 烚 P 两 two in-situ inspection of the paste layer. These grounded structures are usually dark, and the 箄 技 砝 X X X 寻 接地 接地 接地 接地 接地 接地 接地 接地 接地 接地 接地 接地 接地 接地 接地 接地And use the active voltage contrast technology to print, y Λ ^ can also reverse the shading effect of the grounded structure and the ungrounded structure if necessary. During the development and verification process, the important work between tongue and tongue is to isolate defects to precise locations. For example, 诵礼可泸 > encounter j has a very high resistivity. In order to be able to correct the process, the position of the through hole must be reliably found. Failure analysis techniques that only isolate part of the electrical path are not sufficient to fine tune the process. The present invention provides a system and method for performing an integrated circuit process that overcomes the shortcomings and limitations of the prior art while allowing the failure analyst to potentially access the most individual connections and components. Quickly confirming the columns and rows of defective circuit cells accelerates the failure analysis process for more efficient process testing. In addition, the present invention can be used to test the use of DC static performance and to test dynamic performance with high speed operating frequencies. The integrated circuit designed under the constraints of the process provides a complete and fast failure analysis, enabling rapid identification of manufacturing defects and improved process. Therefore, the embodiment of the present invention may include a test for the integrated circuit, including: a plurality of unit delay cells, each of which is delayed, a sentence c including 4 cell inputs, a cell output, and a a library driver cell (sin (3) dnv) ng ceU), and an interconnect module in which the cell input is connected to the bank driver cell, and the bank driver cell is connected to the 8 1364081 group. The interconnect module is further connected to the unit cell output, and the plurality of delay cells from the unit delay cell output to the unit delay cell input are connected to each other 'to generate a string of unit delay cells; An input signal line 'which is connected to the cell input of the first cell delay cell in the string cell delay cell; and an output signal line that is connected to the cell of the string delay cell in the last cell delay cell Output. Embodiments of the present invention may further include a method for testing a process of an integrated circuit test carrier, which includes the steps of: designing the integrated φ circuit test carrier; using the process to fabricate the integrated circuit test Carrying a test signal to the input signal line of the integrated circuit test carrier', reading a generated signal from the output signal line of the integrated circuit test carrier, and generating the signal with a predetermined The reference signal is compared; and if the generated signal does not match the predetermined reference signal, the process is inferred to be defective. The integrated circuit test carrier includes a plurality of unit delay cells, wherein each of the unit delay cells includes a unit cell input, a unit cell output, a bank driver cell, and an interconnect module, wherein the cell input Will be connected to the library driver cell, the library driver cell will be further connected to the interconnect module 'the interconnect module will be further connected to the cell output, the plurality of cells delay cells from the cell Delaying cell output to the cell delay cell inputs are connected to each other in tandem to generate a string of cell delay cells; an input number line 'which is connected to the cell input of the first cell delay cell in the string cell delay cell; and an output A signal line that is connected to the cell output of the last cell delay cell in the string cell delay cell. Embodiments of the invention may further include a test 9 1364081 carrier for an integrated circuit comprising: a plurality of unit delay cells, wherein each unit delay cell comprises a plurality of unit delay cell inputs, a plurality of cell delay cells Outputting, a plurality of side-by-side library driver cells 'and a plurality of interconnect modules arranged above the overlap layer' wherein a plurality of unit delay cell inputs in the plurality of cell delay cell inputs are connected to the plurality of cells a single bank driver cell in the bank driver cell, the single bank driver cell being connected to a single interconnect module of the plurality of interconnect modules, the single interconnect module being connected to the plurality of cells Delaying single cell delay cell output in the cell output; the plurality of φ cell delay cells outputting from the plurality of cell delay cell outputs to the plurality of cell delay cell inputs in series with each other to generate a string of cell delay cells a plurality of input signal lines 'they are connected to a plurality of cell inputs of the first cell delay cell of the string cell delay cell; and a plurality of output signals Lines, which are connected to the complex cell outputs of the last cell delay cell in the string cell delay cell. Embodiments of the present invention may further include a method for testing a process of an integrated circuit test carrier, comprising the steps of: designing the integrated circuit test carrier; using the process to fabricate the integrated circuit test a plurality of test signals are applied to the plurality of input signal lines of the integrated circuit test carrier; and the plurality of generated signals are read from the plurality of output signal lines of the integrated circuit test carrier; Comparing the plurality of generated signals with a plurality of predetermined reference signals; and inferring that the plurality of generated signals do not match the plurality of predetermined reference signals. The integrated circuit test carrier includes a plurality of unit delay cells, wherein each unit delay cell includes a plurality of unit delay cell inputs, a plurality of cell delay cell outputs, a plurality of side-by-side bank driver cells, and are arranged in an overlap a plurality of interconnect modules above the layer, wherein a single unit delay cell input of the plurality of unit delay cell inputs is coupled to a single bank driver cell of the plurality of bank driver cells, the single bank driver cell Will be connected to a single interconnect module of the plurality of interconnect modules, the single-interconnect module being coupled to a single unit delay cell output of the plurality of unit delay cell rounds; A plurality of unit delay cells are outputted from the plurality of unit delay cells to the plurality of unit delay cell inputs being serially connected to each other to generate a series of unit delay cells; a plurality of input signal lines that are connected to the string The unit delays the first unit of the cell to delay the plurality of cell inputs of the cell; and the plurality of output signal lines that are connected to the last bit of the string of cells The delay cell outputs a plurality of unit cells. Embodiments of the present invention may further include a test carrier for an integrated circuit including: a plurality of integrated circuit cells, wherein the column row numbers on all metal layers of the integrated circuit are utilized to visually identify the And each of the plurality of integrated circuit cells. Embodiments of the present invention may further include a method for viewing an integrated circuit including the steps of: designing a test carrier; manufacturing the test carrier using an integrated circuit process; visually viewing the Testing the carrier; and identifying an integrated circuit cell by examining the column number on the metal layers. Wherein the test carrier includes a plurality of integrated circuit cells, wherein each of the plurality of integrated circuit cells can be visually identified by using column row numbers on all metal layers of the integrated circuit. Embodiments of the present invention may further include a test 1304081 for an integrated circuit. The eighth embodiment includes a test circuit pattern placed on one of the integrated circuit wafers; a plurality of through holes 'Used to connect the test circuit pattern to the S-spindle circuit wafer.

Mi 叼弟一層,一位於該積體電路晶 圓中的第二層之上該等複數條 ^ 炅数條通孔間的電連接線;以及該 等複數條通孔會於該積體電路晶圓的該測試電路圖案層之 .上被電隔離,致使僅於該積體電路晶圓中的第二層之上才 、會於該測試電路圖案之該等複數條通孔間完成—電連接 線。 • I發明的實施例可進一步包括-用於測試-積體電路 測試載具之製程的方法,其包括下面的步驟:設計該積體 電路測試載具:利用該製程來製造該積體電路測試载具; 於產生該測試電路圖案層時利用被動式電壓對比來檢查該 測试電路圖案層以便找出缺陷;將複數個被動式電壓對比 影像與複數個預設的參考被動式電壓對比影像作比較來判 斷該測試電路圖案是否有缺陷;以及倘若該等複數個被動 式電壓對比影像不匹配該等複數個預設的參考被動式電壓 • Φ對比影像的話便推斷該項製程有缺陷。其中該積體電路測 试載具包括-被置放於一積體電路晶圓中其中一層之上的 .測試電路圖案;複數條通孔,用以將該測試電路圖案連接 。玄積粗電路日日圓中的第二層;—位於該積體電路晶圓中 勺第一層之上α亥等複數條通孔間的電連接線;以及該等複 數條通孔會於該積體電路晶圓的該測試電路圖案層之上被 電隔離,致使僅於該積體電路晶圓中的第二層之上才會於 .該測試電路圖案之該等複數條通孔間完成一電連接線。 12 本發明的貫施例可進一步包 I#恭牧… ι括一用於檢查一製程之積 體电路測試載具的方法,其包 預 雷技.Β1 β 匕括下面的步驟··設計該積體 包路列成载具;利用該製程 施加雷馮a I w该積體-电路測試载具; 該剩心且 冑式與被動式電壓對比來檢查 〆列忒载具,以便找出缺陷;將兮 對比影像與複數個預設的來考動式電壓 動式及被動式電壓對比影 乍Μ乂來判斷該測試電路圖案是否有缺陷;倘若該等主 及i動=式電壓對比影像不匹配該等預設的參考主動式 t式雷:’壓對比影像的話便移除該積體電路測試載具中 =電路圖案層以外的所有層;利用被動式電壓對比來檢 查違測試電路圖案層;將該等 邊寺測试電路圖案破動式電壓對 與複數個預設的參考測試電路被n電壓對比影像 "交;以及在該測試電路圖案中於該等測試電路圖案被 币式電壓對比影像不匹配該等預設的參考測試電路被動式 =對比影像的地方處確定某個缺陷。其中該積體電路測 π具包括一被置放於一積體電路晶圓中其中一層之上的 馨测試電路㈣;複數條通孔,用以將該測試電㈣宰連接 1該積體電路晶圓中的第二層;—位於該積體電路晶圓中 白第一層之上該等複數條通孔間的電連接線;以及該等複 $條通孔會於該積體電路晶圓的該測試電路圓案層之上被 ^隔離,致使僅於該積體電路晶圓中的第二層之上才會於 »亥測4電路圖案之該等複數條通孔間完成—電連接線。 去本發明的優點係可用以製造突顯製程有許多設計限制 的積體電路。另外,完整且無約束地測試近接許多條信號 13 1364081 線路則可讓工程師或技師快速地找出破實的根本失效原 因’從而可快速地確定必須對該項製程進行特定的改良或 改變。另外’可藉由週期地製造與測試該測試載具來監視 與驗證某項製程。 【實施方式】 圖1所示的係在一積體電路之兩個庫胞102與1〇4之 間的梯級互連實施例100的示意圖。有兩條電源匯流排106 與丨08會提供電源給該等庫胞1〇2與1〇4。離開庫胞⑺2 的信號線路始於金屬2>t 110之上並且會藉由通孔114傳 达至金屬112。接|,該條信號線路便會藉由通孔118 傳送至金屬4層116。該條信號線路會繼續以婉虫延的形式 傳迗至金屬5層120、金屬6 I 122、金屬7層124、以及 $屬8層12“該條信號線路會繼續傳送至金屬9層12卜 攸至屬9層128至今屬? Mt 1 α λ ,. 主盔屬2層13〇,该蜿蜒圖案會以雷同的 方式反覆出現並且會進入第二庫胞104之中。 a 於每個蜿蜒圖荦之巾,ώ 兩,江 ™ '、甲/原自一電源匯流排的線路合祐 =放。舉例來說,於金屬4層116之上,信號線路: ^ =於被連接至電源匯流排⑽的線路13〇類似地, 電源匯流排線路136、138 描级由‘ 140、142 '以及144亦會於該 '、中父錯排列。此夕卜,於部^ I + 、伤貫施例中,源自其中一雷 源匯流排的線路可逕行 妨 置攻&該6號線路的下方。該黧结 路可在製程參數許可範圍内意了& ^ 4 4線 路可禊# / 3 盡可此地彼此靠近。該信號線 J裸路在该積體電路的頂 , 貝而,饮而可利用各種的失效分 14 1364081 析技術來進行探測。於部份實施例中,可將一電源線路逕 行置放於該信號線路的下方。 於許多情況中,積體電路的每一層均必須含有特定最 少量的金屬,以最小化於該積體電路晶粒中所誘發出來的 應力。熟習本技術的人士運用本設計便可滿足此等情況。 於部份情況中,每一層内的額外線路的建構方式可能必須 符合最少金屬的要求。於其它實施例中,以基礎的梯級設 計便可滿足最少量金屬的要求。 駐留在金屬9層之上的裸露測試觸點會被連接至每一 金屬層中的信號線路。因此,觸點丨46會被連接至金屬2 層110、觸點M8會被連接至金屬3層U2、觸點丨5〇會 被連接至金屬4層116、觸點152會被連接至金屬5層120、 觸點154會被連接至金屬6層122、觸點156會被連接至 金屬7層124。觸點可能還會出現在該梯級的下行部份之 中。 該梯級互連線100係—種可用來突顯製程重要性的積 ,電路設計。所有的信號線路寬度可能均為最小尺寸,而 寬度之間亦具有最小間隔。另外,於兩個庫胞102與104 間的信號線路中有大量的通孔。在典型的㈣電路製程 中’通孔為局失效率的項3 午叼項目,因而會突顯製程的重要性。 梯級互連線1 〇〇係針科I , 卞封了測性與缺陷隔離所設計。每 一層之上的每條信號線路均罝古 % 1具有一對應的測試觸點,從金 屬9層便可近接該測試觸點 4 如此便可使用許多測試技術 來找出且隔離單一斷裂的通孔。 札為判斷某項失效確實的根 15 1364081 本原因,吾人會希望找到發生失效的確實通孔或線路。舉 幻來達,倘若於金屬5層中有一通孔發生故障的話,便可 針對該特定層來檢查光罩、晶粒、或是其它處理設備。倘 右4缺陷未與某特定層及該層之中的特定通孔隔離的話, 那麼便無法徹底地檢查該項製程,因此,製程開發的腳步 便將會減緩。 本實施例可讓製程開發工程師產生難以製造的設計, 同時提供工程師非常多的機制來評估失效。利用一群梯級 互連線100來製造積體電路,不僅可遵照製程限制來生產 、J式樣本,還可利用許多必要的失效分析技術來進行快速 評估以找出任何的缺陷。 熟習本技術的人士便可設計出具有各種金屬層編號及 各種最小路徑寬度或信號路徑間各種最小間隔的梯級互連 線’同時還可保有本發明的精神與意圖。 圖2所示的係該梯級互連線2〇〇之高度的代表電路示 思圖,其中使用到堆疊通孔與非堆疊通孔。信號路徑2〇2 會攸金屬1層204上的某一邏輯胞中進入該梯級。通孔2〇6 會將信號傳輸至金屬2層2〇7。通孔2〇6的正上方有三個 堆疊通孔208、210、以及212<}該信號路徑還會於通孔214 處傳达至金屬3層209。同樣地,通孔214的正上方置放 著三個堆疊通孔218、220、以及222。通孔224的下方有 通孔226,上方則有兩個通孔228與23〇。通孔232的 下方具有通孔234與236,而通孔232上方則有通孔238。 通孔242、244、以及246係位於通孔240的丁方。通孔248、 16 1364081 250、252、254、256、以及258則不具有任何的堆疊通孔。 該梯級互連線200可測試單—梯級内許多可能的通孔 幾何形狀。於該梯級的下方部份中(也就是通孔248、25〇、 252、254、256、以及258),該積體電路中的每__層間的 獨立通孔並沒有㈣的堆疊通孔。於該梯級的上方部份 中,該信號傳送通孔係内含於每個堆疊通孔組合之中。於 部份實施例中,堆疊通孔可能同時存在於該梯級的兩個部 份之中。此實施例可用於評估堆疊通孔的問題特別嚴重且 欲評估其製造參數的製程。 有許多積體電路製程在堆疊通孔數量方面會有限制。 該項限制可能部份肇因於因該等堆疊通孔的關係而施加在 =積體電路中的應力。於梯級互連線2〇〇的實施例中堆 ^孔的最大數量可能為四。因此,可設計每種堆疊通孔 f :或佈置。熟習本技術的人士便能夠於該積體電路内設 2複數條梯級互連線,其中堆疊通孔的最大數量範圍介於 令至總金屬層數量。於部份實施例中,該等 _能並非設計在該梯級之中。 則7 ▲於多種具體實施例中,該積體電路中的層數可能不同。 :。亥積,電路的每一層來說,晶粒與&罩均必須要製造, 心而會提向成本。因此,對早期的製程開發來說,可能會 ;構-具有三至五層的實施例來實行初期開[接著才; 針=最終的製程開發階段利用該製程來建構具有最大層數 的貫施例。對每種積體電路製程來說,可能會有不同的最 大層數。 17 1364081 圖3所示的係一單元延遲電路的實施例300示意圖。 資料輸入302會經過一電路抵達資料輸出3〇4。該電路包 括一緩衝306、一通孔梯級308、一反或(n〇rm 31〇、 —第二梯級312、一反及(NAND)閘314、一第三梯級316、 一反向器3 1 8、以及一第四梯級3丨9。電源匯流排包括vdd 320與VCC 322’兩者會被連接至反或閘3丨〇與反及閘314, 致使可經由該電路來傳送一正信號^吾人可以知道該信號 傳導經過該電路所花費的時間。 | 於一典型實施例中,電路300可以端對端的方式重複 連接許多次,於單一積體電路中可能會重複連接數百次或 數千次。該單元延遲電路300可使用於數種不同的實用實 施例之中。 圖4所示的係圖3中所示的單元延遲胞的實體佈置的 實施例400的示意圖。該電路包括緩衝器4〇6 '一第一梯 級408、一反或閘410、一第二梯級412、一反及閘414、 —第三梯級416、一反向器418、以及一第四梯級419。圖 •中還顯示出電源匯流排VDD 420及VCC 422。 該等單元延遲胞可排列成讓該等電源匯流排互相對 齊°此種配置可輕易地達到該等電路的機械交錯效果,用 以檢視問題區域。於該等交錯區中,因為實施例4〇〇的重 複圖案的關係,所以已知良好的線路便可與疑似不良的線 路作比較。 圖5所示的係固著缺陷(stuck at fault)測試的實施例 5〇〇的示意圖。資料輸入線502會傳導經過一連串的單元 18 1364081 延遲胞504並且離開成為資料輸出線5()6。可_ 量的單元延遲胞504。部份實施例可能含有數 萬個單元延遲胞。 ^双十 當資料輸入線502 I帶至高位準時,該信號便會 經過每個單元延遲胞直到抵達某個缺陷為止。舉例^ 假使某條單-通孔已經開路或是呈現高阻值的話,該信號 便會傳導至抵達該條有缺陷的通孔為止。因為該梯級 該等測試觸點可用,所以測試工程師便能夠輕易且快速地 決定該通孔的確實位置,包含該通孔所在的金屬層的位置 在内。 每個單元延遲胞均包含四個梯級,每個梯級則含有許 多條通孔。於* 一典型的激鞋Φ 1的I紅中,製程開發期間之通孔或1 它積體電路組件的失效率範圍可能為1:100,000或更高了 因此’讓電路具有至少_,_或1,_,GGG條易於進行失 效分析的通孔可能相當實用。該項製程突顯的係必須製造 非常大量的通孔或是其它難以製造的特徵。只要將某_電 塵施加至資料輸入502處並且於資料輸出5〇6處讀取該電 壓便可輕易地測試該項製程。 ,有許多不同的測試技術可用來判斷某#問題的所在。 X梯、及已.、.二路出複數個測試觸點,該等測試觸點可供進行 機械探測,亦可供下面技術來使用:正面或背φ ac雷射 探測法、正面或DC發射顯微術、用於電阻式缺陷的 DC電流監視〇BIC與〇BIRCH、piCA ac發射獲取法、 DC缺陷隔離法、Ε·ΒΕΑΜ AC信號獲取法、e_beam圖案 19 Ϊ364081 相依DC被動式電㈣比法、㈣被動式電麼對比法、以 及機械式探測法(包含AC主動式微微探測法、DC電壓探 測法、以及DC主動式控制探測法。 圓人中所示的單元延遲胞的設計方式可讓人從頂端直 接近接4梯級内部的所有線路,完整地涵蓋各種失效分析 技術。舉例來說,因為可從頂端看見該等信號線路,所以 便可使用各種雷射激發失效分析技術來隔離任何 信號㈣之任㈣份上㈣題。於並未針對可職性而^ 別設計的其它積體電路進行除錯中,某條信號路徑 部份可能會因線路重疊而被混淆。 圖6所示的係—移位暫存器的實施例_的示意圖, 其中該等皁凡延錢602會被配置成可輕易地實施高速洌 试。該貧料輸A 604會行經-正反器608、經過一串單元 延遲胞602抵達一第-η:应突 逹第一正反斋610。該信號會移出該第二 正反器㈣、經過第二串單元延遲胞6〇2抵達一第三正: 器612。該信號會移出該第三正反_ 612、經過第 元延遲胞602抵達一第四正反器61[所有的正反琴二 享一條共同的時脈線。 °曰刀 藉由每個時脈循環’資料必須同時傳導經過該等單元 延遲胞602各列。倘若該等許多單元延遲胞其中一 部出現問題的話,該資料便將無法正確地傳導且將會 毁。當時脈速度很高時,此等問題將越加明顯。 貝 本實施例係針對積體電路高速測試,而實施例5〇〇則 係針對該電路系統的靜態測試。當以高速進行測試時,本 20 丄北4〇81 實施例將會偵測到介於亓 70件間更多細微的電阻變化,並且 可更徹底地測試該項製程。 於不同的實施例中,兮由oo — μ串早το延遲胞602可具有不同 的長度且正反器的數量亦 J把不同。舉例來說,當使用到 很多單元延遲胞時,傳藤 導4間便將會很長,時脈速度便因 此將會較慢。當可用的測試設備的速度較慢而不足以測試 k紐的延遲胞串時’此範例便可能相當實用。於部份實施 ”中延遲胞的數里範圍可能從一個至數百個甚至更高。 另移位暫存器的列數亦可能更多或較丨,端視能充份 測试s玄項製程所需要的單元延遲胞數量以及該積體電路的 可用晶粒空間而定。 於部份實施例中,移位暫存器實施例600及固著缺陷 測试κ轭例500可存在於單一積體電路之上。熟習本技術 的人士便可產生其它的實施例,併入其它的測試電路同時 保有本發明的精神與意圖。 圖7所示的係一串單元延遲胞710的實施例700示意 圖。該實施例700和依據圖3及4的說明所揭示的單元延 遲胞雷同《最小可定義的單元延遲胞71〇係由單一庫驅動 胞704及單一互連模組7〇8所組成。如圖5的說明所揭示 者’可將該等單元延遲胞710連接在一起以產生可能由數 千個或數十萬個單元延遲胞7丨〇所組成的各種實施例。一 輸入/輸出(10)資料輸入信號702會被發送至第一庫驅動胞 704 ’接著便會被傳送至該互連模組7〇8。必要時,可對該 庫驅動胞704(其會被連接至互連模組708)進行序列組合以 21 1364081 重複許多次’用以產生足以測試該項製程的庫驅動胞串。 於該單元延遲胞串的終點處’會利用一 1〇資料輸出信號7〇6 來表現該串單元延遲胞710的結果。可將該1〇資料輸出 ^咸706與預期的結果作比較,用以判斷該測試載具令是 否有任何的缺陷。對該串中的每個單元延遲胞71〇來說’ 每個庫驅動胞704均會配合一單層互連模組708來使用。 該單一個庫驅動胞7〇4可能係數個邏輯裝置中的其中一 者’ δ玄專邏輯裝置包含但不限於:反向器、反及閘、反或 閘、緩衝益…等。該單一層互連模組7〇8可能係由數個測 试電路圖案所組成’該等測試電路圖案包含但不限於:電 谷器金屬梳(metal comb)、婉蜒部件、端子/通孔串…等。 。亥鸲子/通孔串可運用一層以上來產生該互連模組。 圖8所不的係一串單元延遲胞8 12的實施例8〇〇示意 圖,其申於具有對應之多個庫驅動胞804的多層上會置放 二们互連杈組8 1 0,其會被配置成可更有效地使用該積體 電路晶圓的所有層。® 9的說明中將更詳細地揭示該等多 #層的庫驅動胞804及該等互連模组81〇的重疊層。現在將 針對圖8來讨論該等多層的庫驅動月包綱及該等互連模組 8 1 0的重豐層以便更完整地瞭解該實施例。每個庫驅動胞 8〇二可使用積體電路晶圓的六層至七層。該等互連模組以〇 k吊曰使用層,或者於端子或通孔串的情況中則僅需要 數層因為5亥等互連模組8 j 〇出現在必須產生該庫驅㈣ 8〇4的六層至七層晶圓令的其中-部份上,所以實施例800 可並排置放兩個甚至更多個庫駆動胞謝,俾使該等對應 22 1364081 . 的互連模組810可互相層疊在彼此的頂端上。該等庫驅動 . 胞804會連接至不同的、相應的互連模組810,該等互連 模組810可能係置放在該積體電路晶圓的不同層之上,因 此便可使用該等多個庫驅動胞804的整個寬度。相較於使 用單層的互連模組810時單一測試圖案所使用的積體電路 . 晶圓面積,使用該等互連模組的多重層可讓熟習本技術的 人士於相同的積體電路晶圓面積中置放更多的測試圖案。 每個庫驅動胞804均具有一隔離輸入8〇2、8〇8。實施例8㈧ •可以和圖7說明中所揭示者雷同的方式來串接該等庫驅動 胞804及該等互連模組81〇。對使用多重互連模組層8ι〇 及多個庫驅動胞804之本發明的實施例8〇〇來說,最小可 定義的單元延遲胞812係定義為被連接至單一互連模組81〇 的單一庫驅動胞804。圖中所示之實施例8〇()的單元延遲 胞812係由兩個分離的單元延遲胞812所組成,而由於多 ( ' 層互連模組8 1 〇的關係,該等兩個單元延遲胞$ 12會重疊。 該等互連模組810會互相層疊在彼此的頂端上,致使該單 •元延遲胞8 1 2係由單一庫驅動胞8〇4以及含有被連接至該 選定庫驅動胞804之互連模組8 1 0的互連模組層8丨〇所組 • 成。1〇資料輛入802、808通常會被隔離,不過必要時, 熟習本技術的人士亦可將兩者連接在—起。於該單元延遲 胞812串的末端有多個1〇資料輸出信號8〇6、814,該等 輸出信號係用來表示該等單元延遲胞81 2串的結果。該等 10資料輸出信號806、814可與該等單元延遲胞812串的 預期數值作比較,用以判斷是否有任何製程缺陷。和圖7 23 1364081 說明中所揭示的實施例雷同的係,於一串中使用較多或較 少的單元延遲胞8丨2以及不同類型的互連模組81〇,便可 將實施例800(其具有多個驅動月包8〇4及多個互連模組層81〇) 排列成各種組態。該等庫驅動胞8〇4可能係數個邏輯裝置 中的其中-者’該等邏輯裝置包含但不限於:反向器、反 及閘反或閘·緩衝器…等。該等互連模组8〇可能係由 數個測試電路圖案所組成,該等測試電路圖案包含但不限 於:電容器、金屬梳、蜿蜒部件、端子/通孔串…等。 Ώ 9所示的分圖8中所示之實施例的單元延遲胞的實 體佈置900的三維示意圖,其中於具有對應之多個庫驅動 胞y06、908的多層之上會置放多個互連模組910、912。 。玄等庫驅動胞906、908會用到多個積體電路層。於實施 例900中,該等庫驅動胞9〇6、9〇8為反向器,不過該等 庫驅動胞906、908亦可能係數個邏輯裝置中的其中一者, ,等邏輯裝置包含但不限於:反向器、反及間、反或閑、 綾衝= 等。每個互連模組9】0' 912通常會使用該積體 電路晶圓的單一層,並且可能係由數個測試電路圖案所組 成°亥等測試電路圖案包含但不限於:電容器、金屬梳' 、P件糕子/通孔串…等。實施例900所示者為一碗蜒 互連枳組9 1 0及一金屬梳互連模組91 2,每個互連模組均 、D亥積體電路晶圓的單一層之上。利用多個驅動胞'a layer of Mi, one of the plurality of electrical connections between the plurality of through holes on the second layer of the integrated circuit wafer; and the plurality of through holes are formed in the integrated circuit The upper portion of the test circuit pattern layer is electrically isolated so that only the second layer in the integrated circuit wafer is completed between the plurality of via holes of the test circuit pattern - electrical connection line. An embodiment of the invention may further comprise - a method for testing a process of an integrated circuit test carrier, comprising the steps of: designing the integrated circuit test carrier: using the process to fabricate the integrated circuit test a carrier; detecting the test circuit pattern layer to detect a defect by using a passive voltage contrast when generating the test circuit pattern layer; comparing a plurality of passive voltage contrast images with a plurality of preset reference passive voltage contrast images Whether the test circuit pattern is defective; and if the plurality of passive voltage contrast images do not match the plurality of predetermined reference passive voltages • Φ contrast images, the process is inferred to be defective. The integrated circuit test carrier includes a test circuit pattern placed on one of the layers of the integrated circuit wafer, and a plurality of via holes for connecting the test circuit pattern. a second layer in the daytime circle of the thickened circuit; - an electrical connection line between the plurality of through holes of the alpha layer above the first layer of the scoop of the integrated circuit wafer; and the plurality of through holes are The test circuit pattern layer of the integrated circuit wafer is electrically isolated, such that only the second layer in the integrated circuit wafer is completed between the plurality of vias of the test circuit pattern An electrical cable. 12 The embodiment of the present invention may further include I#Kongmu... A method for inspecting an integrated circuit test carrier of a process, which includes a pre-throttle technique. Β1 β includes the following steps. The integrated package is listed as a carrier; the process is applied to the von von a I w integrated circuit-circuit test carrier; the residual and 胄-type and passive voltage comparison are used to check the 忒 忒 carrier to find the defect; Determining whether the test circuit pattern is defective by comparing the 兮 contrast image with a plurality of preset test voltage and passive voltages; if the main and i motion= voltage contrast images do not match And other preset reference active t-type lightning: 'When pressing the contrast image, remove all layers except the circuit pattern layer in the integrated circuit test carrier; use passive voltage comparison to check the test circuit pattern layer; The equilateral temple test circuit pattern broken voltage pair and a plurality of preset reference test circuits are compared with the n voltage contrast image; and in the test circuit pattern, the test circuit pattern is not compared with the coin voltage image match Preset reference test circuit like a passive contrast image = place at a defect determination. The integrated circuit measuring π includes a singular test circuit (4) placed on one of the integrated circuit wafers; and a plurality of through holes for connecting the test to the integrated body. a second layer in the circuit wafer; an electrical connection line between the plurality of via holes on the white first layer of the integrated circuit wafer; and the plurality of via holes are formed in the integrated circuit The test circuit of the wafer is isolated on the round layer so that only the second layer in the integrated circuit wafer is completed between the plurality of vias of the circuit pattern. Electrical connection line. The advantages of the present invention can be used to create an integrated circuit that highlights a number of design constraints in the process. In addition, complete and unconstrained testing of a number of closely connected signals 13 1364081 lines allows engineers or technicians to quickly identify the root cause of failure—to quickly determine that specific improvements or changes must be made to the process. In addition, a process can be monitored and verified by periodically manufacturing and testing the test vehicle. [Embodiment] FIG. 1 is a schematic diagram of a step interconnection embodiment 100 between two bank cells 102 and 1-4 of an integrated circuit. There are two power busses 106 and 丨08 that provide power to the bank cells 1〇2 and 1〇4. The signal line leaving the bank (7) 2 begins above metal 2 > t 110 and is passed through via 114 to metal 112. The signal line is transmitted to the metal 4 layer 116 through the via 118. The signal line will continue to be transmitted in the form of aphid to the metal 5 layer 120, the metal 6 I 122, the metal 7 layer 124, and the $8 layer 12". The signal line will continue to be transmitted to the metal 9 layer 12攸 9 9 9 9 9 9 9 9 9 M M M M M M M M M M M M M M M M M M M M M M M M M M M M M M M M M M M M M M M M M M蜒 荦 荦 , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , Similarly, the line 13 of the bus bar (10), the power bus lines 136, 138 are drawn by '140, 142' and 144 will also be arranged in the ', the father is wrong. This evening, in the Department ^ I +, injury In the example, the line originating from one of the lightning source busbars can be placed below the line of the line 6. The circuit can be used within the scope of the process parameters. ^ 4 4 Lines can be 禊# / 3 You can get close to each other here. The signal line J is bare at the top of the integrated circuit, and you can use various failure points 14 1364081 In some embodiments, a power line path can be placed below the signal line. In many cases, each layer of the integrated circuit must contain a specific minimum amount of metal to minimize The stress induced in the die of the integrated circuit. Those skilled in the art can use this design to satisfy such situations. In some cases, the additional lines in each layer may be constructed in a manner that meets the minimum metal Requirement. In other embodiments, the minimum number of metal requirements can be met with a basic step design. The bare test contacts residing on the metal 9 layer are connected to the signal lines in each metal layer. The dot 46 will be connected to the metal 2 layer 110, the contact M8 will be connected to the metal 3 layer U2, the contact 5 will be connected to the metal 4 layer 116, and the contact 152 will be connected to the metal 5 layer 120, The contact 154 will be connected to the metal 6 layer 122, and the contact 156 will be connected to the metal 7 layer 124. The contact may also appear in the lower portion of the step. The step interconnect 100 is available To highlight the process weight The product of the nature, the circuit design. All the signal line widths may be the minimum size, and the minimum spacing between the widths. In addition, there are a large number of through holes in the signal lines between the two cells 102 and 104. In the typical (four) circuit process, the 'through-hole is the item 3 of the PM project, which highlights the importance of the process. The step interconnect line 1 is the needle-type I, and the design of the test and defect isolation is sealed. Each signal line on each layer has a corresponding test contact, and the test contact 4 can be accessed from the metal 9 layer. Thus, many test techniques can be used to find and isolate a single fracture. Through hole. It is the root of judging a certain failure. 15 1364081 For this reason, we would like to find the exact through hole or line where the failure occurred. In the illusion, if a through hole in the metal 5 layer fails, the mask, die, or other processing equipment can be inspected for the particular layer. If the right 4 defect is not isolated from a particular layer and a particular via in the layer, then the process cannot be thoroughly checked, so the pace of process development will slow down. This embodiment allows process development engineers to create designs that are difficult to manufacture, while providing engineers with a number of mechanisms to evaluate failures. Using a cascade of interconnects 100 to fabricate integrated circuits, not only can process, J-samples be made in accordance with process constraints, but many of the necessary failure analysis techniques can be used for rapid evaluation to identify any defects. Those skilled in the art will be able to design a step interconnect having various metal layer numbers and various minimum path widths or various minimum spacings between signal paths while retaining the spirit and intent of the present invention. Fig. 2 is a schematic circuit diagram showing the height of the step interconnect line 2, in which stacked vias and non-stacked vias are used. The signal path 2〇2 enters the step in a certain logical cell on the metal layer 1 204. The via 2〇6 transmits the signal to the metal 2 layer 2〇7. There are three stacked vias 208, 210, and 212 directly above the via 2〇6. The signal path is also transmitted to the metal 3 layer 209 at the via 214. Similarly, three stacked through holes 218, 220, and 222 are placed directly above the through hole 214. The through hole 224 has a through hole 226 below it, and there are two through holes 228 and 23〇 at the top. The through holes 232 have through holes 234 and 236, and the through holes 232 have through holes 238. The through holes 242, 244, and 246 are located in the through hole 240. The vias 248, 16 1364081 250, 252, 254, 256, and 258 do not have any stacked vias. The step interconnect 200 can test many possible via geometries within a single-step. In the lower portion of the step (i.e., vias 248, 25A, 252, 254, 256, and 258), the individual vias between each of the integrated circuits do not have (4) stacked vias. In the upper portion of the step, the signal transmission via is included in each stacked via combination. In some embodiments, stacked vias may be present in both portions of the rung. This embodiment can be used to evaluate the process of stacking vias that are particularly problematic and whose manufacturing parameters are to be evaluated. There are many integrated circuit processes that have limitations on the number of stacked vias. This limitation may be due in part to the stress applied to the integrated circuit due to the relationship of the stacked vias. In the embodiment of the step interconnect 2 2, the maximum number of stack holes may be four. Therefore, each stacked via hole f: or arrangement can be designed. Those skilled in the art will be able to provide a plurality of cascade interconnects in the integrated circuit, wherein the maximum number of stacked vias ranges from the total number of metal layers. In some embodiments, the _ can be not designed in the step. Then, in various embodiments, the number of layers in the integrated circuit may be different. :. Hai product, for each layer of the circuit, both the die and the & cover must be manufactured, and the heart will increase the cost. Therefore, for the early process development, it is possible to construct a three- to five-layer embodiment to implement the initial opening [following; needle = final process development stage to use the process to construct the largest number of layers. example. There may be different maximum number of layers for each integrated circuit process. 17 1364081 is a schematic diagram of an embodiment 300 of a unit delay circuit shown in FIG. The data input 302 will go through a circuit to reach the data output 3〇4. The circuit includes a buffer 306, a via step 308, an inverse (n〇rm 31〇, a second step 312, a reverse (NAND) gate 314, a third step 316, and an inverter 3 1 8 And a fourth step 3丨9. The power bus including vdd 320 and VCC 322' will be connected to the inverse or gate 3丨〇 and the inverse gate 314, so that a positive signal can be transmitted via the circuit. The time it takes for the signal to pass through the circuit can be known. In an exemplary embodiment, circuit 300 can be repeatedly connected in an end-to-end manner many times, and may be repeatedly connected hundreds or thousands of times in a single integrated circuit. The unit delay circuit 300 can be used in a number of different practical embodiments. Figure 4 is a schematic diagram of an embodiment 400 of the physical arrangement of cell delay cells shown in Figure 3. The circuit includes a buffer 4. 〇6' a first step 408, a reverse gate 410, a second step 412, a reverse gate 414, a third step 416, an inverter 418, and a fourth step 419. The power bus VDD 420 and VCC 422 are shown. The arrangement is such that the power busbars are aligned with each other. Such a configuration can easily achieve the mechanical interleaving effect of the circuits for viewing the problem area. In the interlaced regions, because of the repeating pattern relationship of the embodiment 4 Therefore, it is known that a good line can be compared with a suspected bad line. Figure 5 is a schematic view of the embodiment of the stuck at fault test. The data input line 502 is transmitted through a series of Unit 18 1364081 delays cell 504 and leaves the data output line 5() 6. The unit of the delay unit 504. Some embodiments may contain tens of thousands of unit delay cells. ^Double ten when data input line 502 I is high At the time of registration, the signal will delay the cell through each cell until a defect is reached. Example ^ If a single-through hole has been opened or exhibits a high resistance value, the signal will be transmitted until the defect is reached. Since the test leads are available, the test engineer can easily and quickly determine the exact position of the through hole, including the metal layer where the through hole is located. Each unit has four steps, each step contains a number of through holes. In a typical red shoe Φ 1 I red, through hole during process development or 1 integrated body The failure rate of the circuit components may range from 1:100,000 or higher. Therefore, it may be quite practical to make the circuit have at least _, _ or 1, _, GGG strips for failure analysis. The process must be manufactured. A very large number of through holes or other difficult-to-manufacture features can be easily tested by applying a certain amount of electric dust to the data input 502 and reading the voltage at the data output 5〇6. There are many different testing techniques that can be used to determine where a # problem is. The X ladder, and the two. have two test contacts. These test contacts are available for mechanical detection. They can also be used in the following technologies: front or back φ ac laser detection, front or DC emission. Microscopy, DC current monitoring for resistive defects 〇BIC and 〇BIRCH, piCA ac emission acquisition method, DC defect isolation method, Ε·ΒΕΑΜ AC signal acquisition method, e_beam pattern 19 Ϊ364081 dependent DC passive electric (four) ratio method, (4) Passive electric comparison method and mechanical detection method (including AC active micro-detection method, DC voltage detection method, and DC active control detection method. The unit delay cell design shown in the round person can make people The top is directly adjacent to all the lines inside the 4 steps, completely covering various failure analysis techniques. For example, because the signal lines can be seen from the top, various laser excitation failure analysis techniques can be used to isolate any signal (4) (4) Part (4). In the debugging of other integrated circuits that are not designed for serviceability, some signal path parts may be confused due to overlapping lines. 6 is a schematic diagram of an embodiment of a shift register, wherein the soap 602 will be configured to easily perform a high speed test. The lean feed A 604 will pass through a forward-reverse device. 608. After a series of unit delay cells 602 arrive at a first-n: the first positive-reverse 610 should be abruptly. The signal is removed from the second flip-flop (four), and the second-stage unit delays the cell 6〇2 to reach a first Three positives: 612. The signal will move out of the third forward and backward 612, and pass through the first delay cell 602 to reach a fourth flip-flop 61. [All the positive and negative pianos enjoy a common clock line. By each clock cycle, the data must be transmitted through the units to delay the columns of cells 602. If one of these cells delays one of the cells, the data will not be correctly transmitted and will be destroyed. These problems will become more pronounced when the pulse speed is high. The Beben embodiment is for high-speed testing of integrated circuits, while the embodiment 5 is for static testing of the circuit system. When testing at high speed, this 20 〇北〇4〇81 Example will detect between 亓70 More subtle changes in resistance, and the process can be tested more thoroughly. In various embodiments, the delay 207 can be of different lengths from the oo-μ string early το and the number of flip-flops is also different. For example, when using a lot of unit delay cells, the 4th pass will be long and the clock speed will be slower. When the available test equipment is slower than enough to test the K-News This example can be quite useful when delaying the string. In some implementations, the number of delayed cells may range from one to hundreds or even higher. The number of columns in the shift register may be more or more. In other words, the end view can fully test the number of cell delay cells required for the sine term process and the available die space of the integrated circuit. In some embodiments, the shift register embodiment 600 and the fixed defect test κ yoke 500 may be present on a single integrated circuit. Other embodiments can be made by those skilled in the art, incorporating other test circuits while retaining the spirit and intent of the present invention. A schematic diagram of an embodiment 700 of a string of unit delay cells 710 is shown in FIG. The embodiment 700 and the unit delay cell disclosed in accordance with the description of Figs. 3 and 4 are the same as the "minimum definable unit delay cell 71" consisting of a single bank driver cell 704 and a single interconnect module 7〇8. As disclosed in the description of FIG. 5, the unit delay cells 710 may be connected together to produce various embodiments that may be composed of thousands or hundreds of thousands of unit delay cells. An input/output (10) data input signal 702 is sent to the first bank driver cell 704' and then to the interconnect module 7A8. If necessary, the library driver cell 704 (which will be connected to the interconnect module 708) can be sequenced a number of times 21 1364081 to generate a library driver string sufficient to test the process. The result of the string of unit delay cells 710 is represented by a data output signal 7〇6 at the end of the unit delay string. The 1 〇 data output ^ salt 706 can be compared with the expected result to determine whether the test vehicle has any defects. For each cell delay cell in the string, 'each bank driver cell 704 is used in conjunction with a single layer interconnect module 708. The single library driver cell 7〇4 may be one of the logical devices δ 玄玄逻辑装置 includes but is not limited to: inverter, inverse gate, inverse or gate, buffer benefit, etc. The single layer interconnect module 7〇8 may be composed of a plurality of test circuit patterns. The test circuit patterns include, but are not limited to, a metal comb, a germanium component, a terminal/through hole. String...etc. . The Haizizi/Through String can use more than one layer to create the interconnect module. 8 is a schematic diagram of an embodiment 8 of a series of unit delay cells 8 12, which is placed on a plurality of layers having corresponding plurality of library driver cells 804 to place two interconnected groups 8 1 0, which It will be configured to use all layers of the integrated circuit wafer more efficiently. The overlapping layers of the multi-layer library driver cells 804 and the interconnect modules 81A will be disclosed in more detail in the description of ® 9. The multi-layered library-driven monthly package and the overlapping layers of the interconnect modules 810 will now be discussed with respect to Figure 8 for a more complete understanding of this embodiment. Each bank driver cell can use six to seven layers of integrated circuit wafers. The interconnect modules use the layer to 曰k, or in the case of a terminal or a via string, only a few layers are required. Because the interconnect module 8 j 5 such as 5 hai appears in the library drive must be generated (4) 8〇 4 of the 6- to 7-layer wafer order, so the embodiment 800 can be placed side by side with two or more banks, so that the corresponding interconnect module 810 of 22 1364081. They can be stacked on top of each other. The bank drivers 804 are connected to different, corresponding interconnect modules 810, which may be placed on different layers of the integrated circuit wafer, so that the The entire width of the plurality of banks drives the cells 804. Compared to the integrated circuit used in a single test pattern when using a single-layer interconnect module 810. The wafer area, using multiple layers of the interconnect modules, allows the person skilled in the art to use the same integrated circuit More test patterns are placed in the wafer area. Each bank driver cell 804 has an isolated input of 8〇2, 8〇8. Embodiment 8 (VIII) • The library driver cells 804 and the interconnect modules 81A may be serially connected in a manner similar to that disclosed in the description of FIG. For the embodiment 8 of the present invention using multiple interconnect module layers 8 ι and multiple bank driver cells 804, the smallest definable unit delay cell 812 is defined to be connected to a single interconnect module 81. The single library drives the cell 804. The unit delay cell 812 of the embodiment 8() shown in the figure is composed of two separate unit delay cells 812, and due to the multiple ('layer interconnect module 8 1 〇 relationship, the two units The delay cells $12 overlap. The interconnect modules 810 are stacked on top of each other such that the single-element delay cell 8 1 2 is driven by a single bank driver 8 and contains the selected bank. The interconnecting module layer 8 of the interconnecting module 810 of the driving cell 804 is formed. The data 802, 808 is usually isolated, but if necessary, those skilled in the art can also The two are connected together. There are a plurality of data output signals 8〇6 and 814 at the end of the delayed cell 812 string, and the output signals are used to indicate the result of the unit delayed signal 81 2 strings. The data output signals 806, 814 can be compared with the expected values of the unit delay 812 strings to determine if there are any process defects. The same as the embodiment disclosed in the description of Figure 7 23 1364081, More or less unit delays in the string, 8丨2 and different types of interconnect modes 81〇, the embodiment 800 (which has a plurality of driving monthly packages 8〇4 and a plurality of interconnecting module layers 81〇) can be arranged into various configurations. The library driving cells 8〇4 may be coefficient logic devices. Among these, the logic devices include, but are not limited to, inverters, anti-gates or gates, buffers, etc. These interconnect modules 8 may be composed of several test circuit patterns. The test circuit patterns include, but are not limited to, a capacitor, a metal comb, a cymbal member, a terminal/via string, etc. The unit arrangement 900 of the embodiment of the embodiment shown in FIG. A three-dimensional diagram in which a plurality of interconnecting modules 910, 912 are placed on a plurality of layers having corresponding plurality of library driving cells y06, 908. The quaternary library driving cells 906, 908 use a plurality of integrated circuits. In embodiment 900, the bank driver cells 〇6, 〇8 are inverters, but the bank driver cells 906, 908 may also be one of logic devices, etc. Including but not limited to: reverser, reverse and anti, idle or idle, buffer = etc. Each interconnect module 9]0' 912 usually uses a single layer of the integrated circuit wafer, and may be composed of several test circuit patterns. The test circuit pattern includes, but is not limited to, capacitors, metal combs, and P-pieces/through-hole strings. The embodiment 900 is a bowl of interconnecting interconnects 9 1 0 and a metal comb interconnecting module 91 2 , each of which has a single layer of D-chip integrated circuit wafers. Use multiple drive cells

9 0 8,古女癸 r、土 I ^ 連模組91 〇、912便可互相堆疊在彼此的頂端 上以便最大化空間使用率,並且最大化用於單一測試載 積姐电路製程的》則試程度。第一庫驅動胞906會接收 24 1364081 ΙΟ貧料輸入信號902,其會由第一庫驅動胞906來處理。 接著該乜號便會進入和1〇資料輸入信號9〇2相同層之上 的蜿蜒互連模組91〇之中。一旦該信號通過該蜿蜒互連模 組910,該信號便會於和10資料輸入902相同層之上被傳 送至1〇貝料輸出914。第二庫驅動胞908會於和第一 10 貝料輸入902相同層之上接收一第二1〇資料輸入9〇4。該 第二ίο資料輸入904會由第二庫驅動胞9〇8來處理。接 者該信號便會進入和庫驅動胞908輸出及1〇資料輸入9〇4 不同層之上的金屬梳互連模組912之中。一旦該信號通過 該金屬梳互連模組912,該信號便會返回該等ι〇資料輪入 904的層之中,並且以1〇資料輸出信號gig的形式 被輸出。言亥等ΙΟ資料輸出信號914、918可附屬成外部ι〇 連接信號,或是連結至一串單元延遲胞中的另一個單元延 遲胞。驅動胞906、908的數量可擴充以匹配所選出之互 連模組910、912 _的可用層。熟習本技術的人士還可 將該等互連模·组910、912配置成取用整個層或是與另 -互連模組分享某一層的一小部❾。舉例來說僅佔用一 積體電路之多層中-小部份的通孔争可配合其它測試電路 圖案來使用’ 該等其它測試電路圖案會佔用—積體電 路層的剩餘寬度。 i υ所示的係 一立 .τ〜心歧肥1的實施例1000的 示思圖,其使用一外部時脈】〇 卜 了脈1006作為貧料輸入以允許進 行頻率測試。實施例i 〇〇〇係 T j利用和圖5雷同之邏輯配9 0 8, ancient female 癸 r, soil I ^ with modules 91 〇, 912 can be stacked on top of each other to maximize space usage, and maximize the use of a single test carrier circuit process Test level. The first bank driver cell 906 will receive a 24 1364081 barium input signal 902, which will be processed by the first bank driver cell 906. The nickname then enters the 蜿蜒 interconnect module 91〇 on the same layer as the 〇 data input signal 9〇2. Once the signal passes through the 蜿蜒 interconnecting module 910, the signal is transmitted to the 1 〇 输出 output 914 over the same layer as the 10 data input 902. The second bank driver cell 908 receives a second data entry 9〇4 on top of the same layer as the first 10-batch input 902. The second ίο data input 904 is processed by the second library driver cell 〇8. The signal then enters the metal comb interconnect module 912 on the different layers of the library driver cell 908 output and the data port 9〇4. Once the signal passes through the metal comb interconnect module 912, the signal is returned to the layers of the data wheel 904 and is output as a data output signal gig. The data output signals 914, 918 can be attached to an external ι〇 connection signal or to another unit delay cell in a string of delayed cells. The number of driver cells 906, 908 can be expanded to match the available layers of the selected interconnect module 910, 912_. Those skilled in the art can also configure the interconnect modules 910, 912 to access the entire layer or share a small portion of a layer with another interconnect module. For example, only a small portion of the multi-layered vias occupying an integrated circuit can be used in conjunction with other test circuit patterns. These other test circuit patterns occupy the remaining width of the integrated circuit layer. i υ shows a diagram of an embodiment 1000 of τ~Heart Fertilizer 1, which uses an external clock 〇 pulse 1006 as a lean input to allow for frequency testing. Example i 〇〇〇 T T uses the same logic as Figure 5

置來創造的其中一種實施例。外A 外。p時脈1 006可用來驅動 25 ^04081 串單元延遲胞1010。最小可定義的單元延遲胞1〇1〇係 由單4驅動胞丨術及單—互連模組1謝所組成。信號 會經過該串單元延遲胞1010直到其抵達該串單元延遲胞 的末端並且以時脈輸出信號1008的形式被輸出為止。時 脈輸出信號1008可與預期的輪出信號作比較,用以判斷 。玄測β式載具中是否有任何的製程缺陷。該等庫驅動胞1 可此仏數個邏輯裝置中的其中一者,該等邏輯裝置包含但 不限於.S向器、反及開、反或問、緩衝器…等。該等互 •連模組1004可能係由數個測試電路圖案所組成,該等測 试電路圖案包含但不限於:電容器、金屬梳、婉蜒部件、 端子/通孔串…等。 圖11所示的係一串單元延遲胞η 14的實施例丨100的 示思圖,其會被配置成以環振盪器的方式來運作,而無需 外邻%脈1 1 08便可實行該測試載具的較高頻率測試。該 &振盈實施例1丨00會使用複數個反向選擇胞丨丨〇2,用 以選擇使用外部時脈丨丨08或是將該單元延遲胞1114 _輸 •出連接回到原來的反向選擇胞J丨02以產生一環振盈器電 路°單一庫驅動胞Π〇4(其會被連接至單一互連模組丨1〇6) 係最小可定義的單元延遲胞n丨4。當一單元延遲胞串當作 —環振盪器1】〇〇使用時,便不需要該外部時脈輸入】1〇8, 因為s亥反向選擇胞將會發送該單元延遲胞輸出信號作為單 元延遲胞串輸入來取代該外部時脈輸入I丨〇 8。該環振盪器 致動輸入11 〇〇係一切換器’其會開啟與關閉該環振盪器 電路。當該環振盪器致動輸入! 10〇開啟時,信號便會傳 26 1364081 導經過s亥電路,直到其連接回到原來的反向選擇胞丨丨〇2 輸入為止。該單元延遲胞串的傳導信號的狀態變化會造成 該反向選擇胞1102輸出改變狀態,並且經由該單元延遲 胞1 Π4 _來傳導狀態變化。該環振盈器的頻率為該測試 串中每個該等庫驅動胞11〇4之累積延遲加上每個該等互 連層核組1 106之累積延遲的倒數。藉由將其中一條單元 延遲胞1114串的輸出連接到另一條單元延遲胞ιιι〇率的 反向選擇胞"02外部時脈輸入11〇6,不同的單元延遲胞 .iiio串便可運作在不同頻率。該環振盪器組態ιι〇〇可讓 該測試載具内部運作在超高頻率處,也就是兩百MHz甚至 更门同時仍可產生一可被分割成較低頻率的時脈輸出 |Π2。因此,利用便宜的頻率計量儀便可測量時脈輸出信 號1112。該等高内部頻率允許於更接近—積體電路產品之 數百MHz至GHz處進行測試;還允許進行長期的測試, 因為該電路的循環速度較快,從而允許以較短時間週期便 可達到和相依於外部時脈之實施例相同數量的狀態變化。 籲:減時脈輸出1112頻率相當有利,因為當頻率計量 最大頻率範圍提高時其成本亦會隨之提高。 圖12A-D所示的係被置放於積體電路晶圓之所有金 層上的積體電路胞列行編號1206的實施例示意圖,直* 許容易進行積體電路胞的視覺識別。圖12A所示的料 1201係有金屬層的實施例的俯視圖。圖12B所示的-立. ,^ 丨不的不意圖 不金屬層模糊時的實施例的俯視 音圖U所不的示 心 係有金屬層丨210的實施例的側視圖。圖12d所 27 1364081 示的示意圖1204係金屬層122〇模糊時的杳 n的貫施例的俯視 圖。列行編號1206係位於金屬層丨21 〇、丨214、丨2扣 用以識別該積體電路胞的列與行。本實施例的俯視 12〇1、12〇2為該列行編號的最佳示意圖。當移除該金屬^ 時,1208、1222便看不見該列行編號,直到裸露出另 屬層為止。當移除該金屬層但金屬層間的氧化物層係所有 能看見的部份的話’該層移除製程便會造成問題。側視圖 ⑽、削係顯示氧化㈣1212、1218如何模糊該龍 電路胞的列行編號1206的最佳示意圖。 圖13A-D所示的係被置放於積體電路晶圓之所有金屬 層1316、1 320、1328上的積體電路胞列行編號13〇8、i3i2 的貫施例1300的示意圖,該積體電路晶圓於該列行編號 内置放著複數條通孔或端子〗3〇6、丨3丨〇、1 3 14、1 3Μ以 允許容易進行積體電路胞的識別,即使金屬層1316、丨32〇、 1328未裸露出來亦然。圖13A所示的示意圖ι3〇ι係有金 屬層的實施例的俯視圖。圖ι3Β所示的示意圖13〇2係金 籲屬層模糊時的實施例的俯視圓。圖13C所示的示意圖13〇3 係有金屬層13 1 6的實施例的側視圖。圖丨3D所示的示意 圖1 304係金屬層1328模糊時的實施例的俯視圖。和圖12 之說明中所揭示的實施例雷同的係,代表該積體電路胞的 列灯編號1 308係置放於該積體電路晶圓的所有金屬層 1316、1320、1328 之上。通孔及/或端子 13〇6、131〇、13M、 1 324的置放方式可連接該積體電路列行編號13〇8、ι3ΐ2 並且延伸至該積體電路晶圓内的其它層。當位於金屬層 28 1364081 1316 ' 1320 ' 1 328之上時,便可看見1312 ' 1330該積體 電路列行編號1308、1312以及該等通孔及/或端子1306、 1310、1314、1324。該等通孔及/或端子 1306、1310、1314、 13 24延續穿過許多層,所以當移除金屬層1316時1312、 1 3 30 ’仍可看見該等通孔及/或端子πιο以及丨324。俯視 圖1 30 1、1 302係於進行檢視時該積體電路列行編號丨3〇8、 1 3 1 2如何出現的最佳示意圖;而側視圖丨3〇3、1 3〇4則係 即使僅顯現氧化物層13 1 8、1326如何仍可看見該等通孔 •及/或端子1306、1310、1314、1324的最佳示意圖。本實 施例有價值的理由在於,為達正確的失效分析,可能必須 於裸露出氧化物層1318、1326時來實施檢視作業。熟習 本技術的人士便可明白該等通孔及/或端子13〇6、131〇、 1314、1324應該起迄於何層積體電路層以達正確的失效分 析目的。 圖14A-C所示的係被隔離的信號指狀部141〇、丨42〇 的實施例的俯視圖,其允許實行電壓對比及E_Beam檢視 技術以輕易地於該積體電路中找出缺陷1416。圖“A所示 的:意圖1451係顯示所有金屬層與通孔的實施例。圖μ 的π思圖1452係僅顯不含有測試電路圖案的積體電 路層的實施例。圖1 4C所示的干音m ,,, 叮不的不思圖1 453係僅顯示通孔 的貫施例。本實施例使用—么厘 m 金屬梳作為積體電路測試電路 國本,來闡述於一積體電路晶圓1 邮+ 日日圓具中—層上隔離出測試積 月且电路圖案部分的好處。有一丰 赵& 牛的金屬梳1412會利用複 條通孔M06附著至信號線路金屬層14〇2。另一半的金 29 屬梳⑷2則會利用複數條通孔1408附著至接地線路金屬 層1404。倘若積體電路製程中出現缺陷1416的話,便可 移除積體電路層1422用以顯現該測試電路的金屬層以達 檢視目的。因為金屬梳電路可能係由數千個梳狀指部所組 所以利用典型的檢視技術來找出單一缺陷可能相當冗 長π瑣。Pw離出單一層上該測試積體電路圖案的複數個部 份,則可讓一有缺陷的積體電路移除電連接金屬層,裸露 出被隔離的測試電路圖案,幫助找出缺陷位置。該金屬梳 •電路的信號部中每個個別的梳狀指部1410、1414、1420 會於该測試電路圖案金屬層上被電隔離。該金屬梳的該等 信號指狀部1410會利用複數條通孔14〇6被電連接至信號 線路金屬層1402。金屬層之間的通孔連接可提供電氣連續 ^以',二由金屬梳141 2來傳送電氣信號。當偵測到缺陷1416 ^便可和除積體電路層以裸露出測試電路圆案金屬層 ^22以及測試電路圖案金屬層1422上該等被隔離的信^ 才a狀。卩1 41 4、1 420。利用該等被隔離的信號指狀部1 44、 鲁142。,當使用電壓對比與㈣咖失效分析技術時便僅 有金屬梳1418的接地線路以及含有缺陷的個別信號指狀 部1414才會出現接地的情況(也就是暗的)。信號指狀部隔 離可於金屬梳測試電路圖案1442之中快速且輕易地找出 缺陷1416»當移除複數層積體電路層但氧化物層卻讓金屬 梳層1430變模糊時,便可使用電壓對比與E_Beam失效分 析技術來檢視該等通孔,以決定哪個信號指狀部Μ%被 接地(也就疋何者為暗色)。被接地的通孔1 428同樣會呈現 30 1364081 暗色,匹配於該等被接地的信號指狀部1426。當使用電壓 對比與E-Beam失效分析技術時,該等未被接地的信號指 狀。P 1424則會呈現明亮。即使未直接裸露出金屬梳層 !430,利用圖中穿過氧化物層的通孔、μ%、1428 , 信號指狀部隔離亦可讓失效分析人員快速且輕易地找出缺 陷 1416 。 立圖15所示的係圖14A-c t所示之被隔離的信號指狀 部1504、1512實施例1500的側視圖,其允許實行電壓對 |比及E-Beam檢視技術以輕易地於該積體電路中找出缺陷 1 5 14。貫施例15〇〇的側視圖顯示出一位於金屬層之上的 金屬梳測試積體電路圖案,其會利用複數條通孔15〇2被 電連接至一信號線路金屬層1506。圖中並未顯示接地線路 金屬層及金屬梳接地線路,因為理解實施例15〇〇的側視 圖亚不需要該接地線路金屬層及該金屬梳接地線路。圖中 該金屬梳的該等信號指狀部1504、1512為跑出頁面的突 出部,而圖中該金屬梳的該等接地指狀部1510則為進入 _頁面的突出部。圖中的信號線路金屬層1506圖解的係該 金屬梳之該等信號指狀部1 5 04、1 5 1 2的最終電氣連接。 該等信號指狀部1504、1512會於該金屬梳層上被電隔離。 通孔1 502會將該等金屬梳隔離信號指狀部1 5〇4、] 5】2連 接至信號線路金屬層1506。藉由為該等通孔15〇2提供— 擴大觸點以附著至该金屬梳層上該等被隔離的信號指狀部 1 5 04、1 5 1 2,便可隔離該金屬梳積體電路的每個信號指狀 部1504、1512。圖中的直線1508圖解的係移除信號線路 31 1364081 金屬1506的地方,以便檢視該等通孔1502。該等金屬梳 信號指狀部的電氣連接係利用信號線路金屬層1 506所建 立’該信號線路金屬層1 5〇6會利用複數條通孔丨5〇2被連 接至該金屬梳層上的每個信號指狀部1504、1 5 12。倘若於 s玄金屬梳電路的單一信號指狀部1512上有一缺陷】514且 该“號線路金屬層1 500位於正確位置處的話,那麼當使 用電壓對比技術時,整個信號金屬梳結構便會呈現接地狀 態(也就是暗色)。一旦移除1508該信號線路金屬層1506 之後,該等被連接至每個信號指狀部15〇4、1512的適孔15〇2 便允卉以被動式電壓對比或E Beam失效分析技術顯現出 僅有又到缺陷1 5 14影響的信號指狀部丨5丨2之通孔會接地 (也就是暗色狀悲)。所有其它被隔離的信號指狀部以及附 屬的通孔1 504則會呈現正常狀態(也就是明亮狀態),因此 便可於大型的互連模組測試電路中快速找到缺陷1 $ Μ。隔 離出互連杈組電路圖案的部份並不僅限於金屬梳結構。 婉挺圖案及其它測試圖案亦可被隔離成複數個較小型的區 段,利用複數層之間的通孔於另一可移除層之上完成信號 的電氣連接㈣。隔離其它圖案將會具有和於金屬梳測試 電路圖案中所看見者相同的改良的缺陷發現效果。 本文所揭示的各項實施例適於開發及驗證積體電路製 程。於典型的使用中,可利 & # 用新I辁的目標設計參數來設 Η其中一實施例。此等設钟失把 β >數可此包含最小線路寬度以 及堆疊通孔的最大數量。可利 ⑴用5亥項新製程將一實施例製 以成一積體電路。該積體電路的 U問喊均可被快速地隔 32 1364081 離在出現該項問題的確實通孔或線路處❶接著,便可沿著 該項特定製程、光罩或是其它必要的製造問題來反向= 該項問題。當該製程能夠產生本發明的__或多項實施例時 而不會產生任何缺陷的話,那麼該項製程便獲得證實並且 可開始進行大量生產。 本文所揭示的實施例可進一步適於驗證既有的製程。 對—已制定的製程來說,吾人可能會希望週期性地製造本 文的各項實施例中其中一者’用以評估該製程的任何 並且驗證正確的作業方式。 、本文已經針對闡述與說明的目的於前文提出本發明的 說月内奋,不過其目的並非為竭盡說明本發明或將本明 限制於所揭示的刻板形式中,依照上文的教示内容便可: 本發明進行其它修改與變化。本文所選擇及說明的 係為對本發明的原理及其實際應用作最佳解釋,從而可壎 熟習本技術的人士於各種實施例及各種變化例中最佳運: 本發明,以適於所考慮的特殊使用方式。❺了受先 限制者以夕卜,吾人希望隨附的申請專利範 :; 其它替代實施例。 今知明的 【圖式簡單說明】 圖1所不的係互連在一積體電路之兩個 級實施例示意圖。 早肊之間的梯 _立圖2所不的係該梯級互連線之高度的代表電路實施你 不思圖’其中使用到堆疊通孔與非堆疊通孔。 ' 33 1364081 圖3所不的係一單元延遲電路的實施例示意圖。 圖4所不的係圖3 t所示的單元延遲胞的實體佈置的 實施例示意圖。 圖5所示的ϋ固著缺陷(stuck at fault)測試的實施例示 意圖。 圖6所示的係—移位暫存器的實施例示意圖,其中該 等單元延遲胞會被配置成可輕易地實施高速測試。 圖7所示的係一串單元延遲胞的實施例示意圖。 .圖8所示的係一串單元延遲胞的實施例示意圖,其中 於具有對應之多個庫驅動胞的多層之上會置放多個互連模 組’其會被配置成可更有效地使用該積體電路晶圓的所有 層。 圖9所示的係圖8中所示之實施例的單元延遲胞的實 體佈置的三維示意圖,其中於具有對應之多個庫驅動胞的 多層之上會置放多個互連模組。 圖1 〇所示的係一串單元延遲胞的實施例示意圖,其使 鲁用一外部時脈作為資料輸入以允許進行頻率測試。 圖11所示的係一串單元延遲胞的實施例示意圖,其會 被配置成用於以環振盪器的方式來運作,而無需外部時脈 便可實行該測試載具的較高頻率測試。 圖1 2A-D所示的係被置放於積體電路晶圓之所有金屬 層上的積體電路胞列行編號的實施例示意圖,其允許容易 進行積體電路胞的視覺識別。 圖13A-D所示的係被置放於積體電路晶圓之所有金屬 34 1364081 層上的積體電路胞列行編號的實施例示意圖,其於該q彳_ 編號内置放著複數條通孔或端子,以允許容易進行積體恭 路胞的識別’即使某金屬層未裸露出來亦然。 圖14A-c所示的係被隔離的信號指狀部實施例的俯視 圖,其允許實行電壓對比及E-Beam檢視技術,以輕易地 於έ玄積體電路中找出缺陷。 圖1 5所不的係圖14A-C中所示之被隔離的信號指狀 部貫施例的側視圖,其允許實行電壓對比及E_Beam檢視 φ技術,以輕易地於該積體電路中找出缺陷。 【主要元件符號說明】 100 梯級互連線 102 庫胞 104 庫胞 106 電源匯流排 108 電源匯流排 110 金屬 2層 112 金屬 3層 114 通孔 116 金屬 4層 118 通孔 120 金屬 5層 122 金屬 6層 124 金屬 7層 35 1364081One of the embodiments created. Outside A. p clock 1 006 can be used to drive 25^04081 string unit delay cells 1010. The smallest definable unit delay cell 1〇1〇 consists of a single 4-drive cell and a single-interconnect module. The signal will delay cell 1010 through the string until it reaches the end of the string cell delay cell and is output in the form of clock output signal 1008. The clock output signal 1008 can be compared to the expected round-out signal for use in determining. Are there any process defects in the beta-type carrier? The bank driver 1 may be one of a plurality of logical devices including, but not limited to, an S-channel, an inverse, an inverse, a buffer, a buffer, and the like. The interconnect modules 1004 may be comprised of a plurality of test circuit patterns including, but not limited to, capacitors, metal combs, germanium components, terminals/via strings, and the like. Figure 11 is a diagram of an embodiment 丨100 of a series of unit delay cells η 14 that is configured to operate as a ring oscillator without the need for an external neighbor % 1 1 08. Test the higher frequency test of the vehicle. The & oscillation embodiment 1 00 will use a plurality of reverse selection cells 2 to select the external clock 丨丨 08 or to delay the unit 1114 _ input and output connections back to the original Reverse select cell J丨02 to generate a ring oscillator circuit. Single bank driver cell 4 (which will be connected to a single interconnect module 丨1〇6) is the smallest definable cell delay cell n丨4. When a unit delay string is used as a ring oscillator 1 , the external clock input is not required. 1〇8, because the reverse selection cell will send the unit delayed cell output signal as a unit. The delayed string input is substituted for the external clock input I丨〇8. The ring oscillator actuates input 11 and is a switcher that turns the ring oscillator circuit on and off. When the ring oscillator actuates the input! When 10 〇 is turned on, the signal will pass 26 1364081 through the s circuit until it is connected back to the original reverse select 丨丨〇 2 input. The state change of the conduction signal of the unit delay string causes the reverse selection cell 1102 to output a change state, and the state change is transmitted via the cell delay cell 1 Π4 _. The frequency of the ring oscillator is the cumulative delay of each of the bank driver cells 11 in the test string plus the reciprocal of the cumulative delay of each of the interconnect layer core groups 1 106. By connecting the output of one of the unit delay cells 1114 to the reverse selection cell of the cell delay rate of the other cell "02 external clock input 11〇6, the different unit delay cell can operate on the .iiio string Different frequencies. The ring oscillator configuration ιι〇〇 allows the test carrier to operate internally at ultra-high frequencies, ie two hundred MHz or even more gates, while still producing a clock output that can be split into lower frequencies |Π2. Therefore, the clock output signal 1112 can be measured using an inexpensive frequency meter. This high internal frequency allows for testing from hundreds of MHz to GHz closer to the integrated circuit product; it also allows for long-term testing because the circuit is faster, allowing for shorter time periods The same number of state changes as the embodiment dependent on the external clock.吁: It is quite advantageous to reduce the output of the 1112 frequency because the cost will increase as the frequency range is increased. 12A-D is a schematic view of an embodiment of an integrated circuit cell row number 1206 placed on all gold layers of an integrated circuit wafer, which facilitates visual recognition of integrated circuit cells. The material 1201 shown in Fig. 12A is a plan view of an embodiment in which a metal layer is provided. Fig. 12B is a side view of an embodiment in which the metal layer 丨210 is not shown in the schematic view of the embodiment when the metal layer is not blurred. Fig. 12d is a plan view showing a schematic view of a 杳n of the metal layer 122 when the metal layer 122 is blurred. The row number 1206 is located in the metal layers 丨21 〇, 丨214, 丨2 to identify the columns and rows of the integrated circuit cells. The top views 12〇1, 12〇2 of this embodiment are the best schematic views of the column row numbers. When the metal ^ is removed, the row number is not visible to 1208, 1222 until the other layer is exposed. When the metal layer is removed but the oxide layer between the metal layers is all visible, the layer removal process poses a problem. The side view (10), the shaving system shows how the oxidation (4) 1212, 1218 blurs the best schematic of the row number 1206 of the dragon circuit cell. 13A-D are schematic views of a conventional example 1300 of integrated circuit cell row numbers 13〇8, i3i2 placed on all metal layers 1316, 1 320, 1328 of an integrated circuit wafer, The integrated circuit wafer has a plurality of through holes or terminals 〇3〇6, 丨3丨〇, 1 3 14 , 1 3Μ built in the row number to allow easy identification of the integrated circuit cells even if the metal layer 1316丨32〇, 1328 are not barely exposed. Fig. 13A is a plan view showing an embodiment of the metal layer of the schematic ι3〇ι. Figure 13 is a plan view of the embodiment of the embodiment in which the metal layer is blurred. The schematic view 13〇3 shown in Fig. 13C is a side view of an embodiment in which the metal layer 13 16 is attached. Figure 3 is a plan view showing an embodiment of the case where the 304-based metal layer 1328 is blurred. The same as the embodiment disclosed in the description of Fig. 12, the lamp number 1 308 representing the integrated circuit cell is placed over all of the metal layers 1316, 1320, 1328 of the integrated circuit wafer. The vias and/or terminals 13〇6, 131〇, 13M, 1 324 can be placed in the integrated circuit array row numbers 13〇8, ι3ΐ2 and extended to other layers in the integrated circuit wafer. When located above the metal layer 28 1364081 1316 ' 1320 ' 1 328, the integrated circuit array row numbers 1308, 1312 and the vias and/or terminals 1306, 1310, 1314, 1324 are visible 1312' 1330. The vias and/or terminals 1306, 1310, 1314, 13 24 continue through a plurality of layers, so that the vias and/or terminals πιο and 丨 are still visible when the metal layer 1316 is removed, 1312, 1 3 30 ' 324. Top view 1 30 1 , 1 302 is the best schematic diagram of how the integrated circuit row numbers 丨3〇8, 1 3 1 2 appear when viewing; and the side view 丨3〇3, 1 3〇4 is even Only the oxide layers 13 1 8 and 1326 are shown to be able to see the best schematic of the vias and/or terminals 1306, 1310, 1314, 1324. The reason why this embodiment is valuable is that in order to achieve a correct failure analysis, it may be necessary to perform the inspection operation when the oxide layers 1318, 1326 are exposed. Those skilled in the art will appreciate that the vias and/or terminals 13 〇 6, 131 〇, 1314, 1324 should originate from the integrated circuit layer for proper failure analysis purposes. A top view of an embodiment of the isolated signal fingers 141, 丨 42 所示 shown in Figures 14A-C allows for voltage contrast and E_Beam viewing techniques to be performed to easily locate defects 1416 in the integrated circuit. As shown in Fig. A: Intent 1451 shows an embodiment in which all metal layers and via holes are shown. Fig. 1 145 is an embodiment in which only the integrated circuit layer of the test circuit pattern is not shown. The dry sound m,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, Circuit wafer 1 mail + day yen round - the upper layer is isolated from the test month and the circuit pattern part of the benefits. A Feng Zhao & cow's metal comb 1412 will be attached to the signal line metal layer 14 using the through hole M06 2. The other half of the gold 29-genre comb (4) 2 is attached to the ground line metal layer 1404 using a plurality of vias 1408. If a defect 1416 occurs in the integrated circuit process, the integrated circuit layer 1422 can be removed to reveal the Test the metal layer of the circuit for inspection purposes. Because the metal comb circuit may be composed of thousands of comb fingers, using a typical inspection technique to find a single defect can be quite lengthy. Pw is off a single layer. Test the complex circuit pattern In part, a defective integrated circuit can be removed from the electrical connection metal layer to expose the isolated test circuit pattern to help locate the defect. Each individual comb in the signal portion of the metal comb circuit The fingers 1410, 1414, 1420 are electrically isolated from the test circuit pattern metal layer. The signal fingers 1410 of the metal comb are electrically connected to the signal line metal layer 1402 by a plurality of vias 14A. The via connection between the metal layers can provide electrical continuity, and the electrical signal can be transmitted by the metal comb 141 2 . When the defect 1416 ^ is detected, the integrated circuit layer can be exposed to expose the test circuit round metal. The layer 22 and the test circuit pattern metal layer 1422 are in the form of isolated signals. 卩1 41 4, 1 420. Using the isolated signal fingers 1 44, 142. When using voltage In contrast to the (4) coffee failure analysis technique, only the grounding wire of the metal comb 1418 and the individual signal fingers 1414 containing the defect will be grounded (ie, dark). The signal finger isolation can be tested on the metal comb. Among the circuit patterns 1442 Quickly and easily locate the defect 1416»When the complex layer circuit layer is removed but the oxide layer blurs the metal comb layer 1430, the voltage contrast and E_Beam failure analysis techniques can be used to view the vias. Decide which signal finger Μ% is grounded (ie, which is dark). The grounded via 1 428 will also exhibit 30 1364081 dark color, matching the grounded signal fingers 1426. When using voltage comparison In the case of the E-Beam failure analysis technique, these ungrounded signals are fingered. P 1424 will appear bright. Even if the metal comb layer is not directly exposed! 430, the through hole through the oxide layer in the figure, μ %, 1428, signal finger isolation also allows the failure analyst to quickly and easily locate the defect 1416. A side view of the isolated signal fingers 1504, 1512 embodiment 1500 shown in Figure 14A-ct, which allows the implementation of voltage versus ratio and E-Beam viewing techniques to easily implement the product. Find the defect 1 5 14 in the body circuit. A side view of Example 15A shows a metal comb test integrated circuit pattern over a metal layer that is electrically connected to a signal line metal layer 1506 using a plurality of vias 15〇2. The ground line metal layer and the metal comb ground line are not shown, as the side view of the embodiment 15 is not required for the ground line metal layer and the metal comb ground line. The signal fingers 1504, 1512 of the metal comb are projections that traverse the page, and the ground fingers 1510 of the metal comb are projections that enter the page. The signal line metal layer 1506 in the figure illustrates the final electrical connection of the signal fingers 1 5 04, 1 5 1 2 of the metal comb. The signal fingers 1504, 1512 are electrically isolated from the metal comb layer. The via 1 502 connects the metal comb isolation signal fingers 1 5〇4, 5] 2 to the signal line metal layer 1506. The metal comb circuit can be isolated by providing the vias 15〇2 with enlarged contacts for attachment to the isolated signal fingers 1 5 04, 1 5 1 2 on the metal comb layer. Each of the signal fingers 1504, 1512. The line 1508 in the figure illustrates where the signal line 31 1364081 metal 1506 is removed to view the vias 1502. The electrical connections of the metal comb signal fingers are established by the signal line metal layer 1 506. The signal line metal layer 15 5 is connected to the metal comb layer by a plurality of through holes 丨 5 〇 2 Each signal finger 1504, 1 5 12 . If there is a defect 514 on the single signal finger 1512 of the s-ceramic comb circuit and the "wire metal layer 1500 is at the correct position, then when the voltage contrast technique is used, the entire signal metal comb structure will be presented. Ground state (ie, dark color). Once the signal line metal layer 1506 is removed 1508, the appropriate holes 15〇2 connected to each of the signal fingers 15〇4, 1512 allow for passive voltage comparison or The E Beam failure analysis technique reveals that only the through-holes of the signal fingers 丨5丨2 that are affected by the defect 1 5 14 are grounded (ie, dark-colored). All other isolated signal fingers and associated Via 1 504 will be in a normal state (ie, bright state), so the defect 1 $ 快速 can be quickly found in the large interconnect module test circuit. The part that isolates the interconnect circuit pattern is not limited to Metal comb structure. The stiff pattern and other test patterns can also be isolated into a plurality of smaller sections, and the electrical connection of the signals is completed by using the vias between the plurality of layers on the other removable layer (4) Isolating other patterns will have the same improved defect finding effect as seen in the metal comb test circuit pattern. The embodiments disclosed herein are suitable for developing and verifying integrated circuit processes. In typical use, Lee &# uses the target design parameters of the new I辁 to set one of the embodiments. These sets of clocks can be used to include the minimum line width and the maximum number of stacked vias. The new process is an embodiment to form an integrated circuit. The U-call of the integrated circuit can be quickly separated by 32 1364081 at the exact through hole or line where the problem occurs. The specific process, reticle or other necessary manufacturing problem is reversed = the problem. When the process can produce the __ or multiple embodiments of the present invention without any defects, then the process It is confirmed and mass production can begin. The embodiments disclosed herein may be further adapted to verify existing processes. For the established process, we may wish to periodically manufacture this One of the various embodiments' is used to evaluate any of the processes and to verify the correct mode of operation. The present invention has been presented in the foregoing for the purpose of illustration and description, but the purpose is not to exhaust The invention may be limited to the disclosed stereotypes, and other modifications and variations of the present invention are possible in accordance with the above teachings. The principles selected and described herein are for the principles of the present invention and its practical application. The best explanation is to enable those skilled in the art to best practice in various embodiments and various modifications: the present invention is adapted to the particular mode of use contemplated. Included Patent Application: Other Alternative Embodiments [Further Description of the Drawings] Figure 1 is a schematic diagram of two stages of interconnection in an integrated circuit. The ladder between the early and the second is not represented by the representative circuit of the height of the step interconnect. You do not think about the use of stacked vias and non-stacked vias. ' 33 1364081 FIG. 3 is a schematic diagram of an embodiment of a unit delay circuit. Figure 4 is a schematic illustration of an embodiment of the physical arrangement of cell delay cells shown in Figure 3t. The embodiment of the stuck at fault test shown in Figure 5 is intended. Figure 6 is a schematic diagram of an embodiment of a shift register in which the unit delay cells are configured to easily perform high speed testing. Figure 7 is a schematic diagram of an embodiment of a string of unit delayed cells. Figure 8 is a schematic diagram of an embodiment of a string of unit delay cells in which a plurality of interconnect modules are placed over a plurality of layers having corresponding plurality of bank drive cells, which are configured to be more efficient Use all layers of the integrated circuit wafer. Figure 3 is a three dimensional schematic diagram of the physical arrangement of cell delay cells of the embodiment shown in Figure 8, wherein a plurality of interconnect modules are placed over a plurality of layers having corresponding plurality of bank drive cells. Figure 1 is a schematic diagram of an embodiment of a series of unit delay cells that allows an external clock to be used as a data input to allow for frequency testing. A schematic diagram of an embodiment of a string of unit delay cells, shown in Figure 11, will be configured to operate in a ring oscillator mode without the need for an external clock to perform a higher frequency test of the test carrier. 1A-D are schematic views of an embodiment of an integrated circuit cell row number placed on all metal layers of an integrated circuit wafer, which allows for easy visual recognition of integrated circuit cells. 13A-D is a schematic view showing an embodiment of an integrated circuit cell row number placed on a layer of all metal 34 1364081 of an integrated circuit wafer, which is provided with a plurality of links in the q彳_ number Holes or terminals to allow easy identification of the integrated cells, even if a metal layer is not exposed. A top view of the isolated signal finger embodiment shown in Figures 14A-c allows voltage contrast and E-Beam viewing techniques to be performed to easily identify defects in the sinusoidal circuit. Figure 15 is a side view of the isolated signal finger embodiment shown in Figures 14A-C, which allows for voltage contrast and E_Beam view φ techniques to be easily found in the integrated circuit. Defects. [Main component symbol description] 100 step interconnect 102 bank 104 cell 106 power bus 108 power bus 110 metal 2 layer 112 metal 3 layer 114 through hole 116 metal 4 layer 118 through hole 120 metal 5 layer 122 metal 6 Layer 124 metal 7 layer 35 1364081

126 金屬8層 128 金屬9層 130 金屬2層 132 信號線路 134 電源匯流排線路 136 電源匯流排線路 138 電源匯流排線路 140 電源匯流排線路 142 電源匯流排線路 144 電源匯流排線路 146 觸點 148 觸點 150 觸點 152 觸點 154 觸點 156 觸點 200 梯級互連線 202 信號路徑 204 金屬1層 206 通孔 207 金屬2層 208 堆疊通孔 209 金屬3層 210 堆疊通孔 36 1364081126 Metal 8 layer 128 Metal 9 layer 130 Metal 2 layer 132 Signal line 134 Power bus line 136 Power bus line 138 Power bus line 140 Power bus line 142 Power bus line 144 Power bus line 146 Contact 148 Touch Point 150 contact 152 contact 154 contact 156 contact 200 step interconnect 202 signal path 204 metal 1 layer 206 through hole 207 metal 2 layer 208 stack via 209 metal 3 layer 210 stack through hole 36 1364081

212 堆疊通孔 214 通孔 216 通孔 218 堆疊通孔 220 堆疊通孔 222 堆疊通孔 224 通孔 226 通孔 228 通孔 230 通孔 232 通孔 234 通孔 236 通孔 238 通孔 240 通孔 242 通孔 244 通孔 246 通孔 248 通孔 250 通孔 252 通孔 254 通孔 256 通孔 258 通孔 37 1364081212 Stacking Through Hole 214 Through Hole 216 Through Hole 218 Stacking Through Hole 220 Stacking Through Hole 222 Stacking Through Hole 224 Through Hole 226 Through Hole 228 Through Hole 230 Through Hole 232 Through Hole 234 Through Hole 236 Through Hole 238 Through Hole 240 Through Hole 242 Through Hole 244 Through Hole 246 Through Hole 248 Through Hole 250 Through Hole 252 Through Hole 254 Through Hole 256 Through Hole 258 Through Hole 37 1364081

300 單 元 延 遲電 路 302 資 料 輸 入 304 資 料 ¥m 出 306 緩 衝 器 308 梯 級 310 反 或 閘 312 梯 級 314 反 及 閘 316 梯 級 318 反 向 器 319 梯 級 320 電 源 匯 流排 VDD 322 電 源 匯 流排 VCC 400 單 元 延 遲胞 的實體佈置 406 緩 衝 器 408 梯 級 410 反 或 閘 412 梯 級 414 反 及 閘 416 梯 級 418 反 向 器 419 梯 級 420 電 源 匯 流排 VDD 422 電 源 匯 流排 VCC 38 1364081 500 固著缺陷測試邏輯配置 502 資料輸入線 504 單元延遲胞 506 資料輸出線 600 移位暫存器 602 單元延遲胞 604 資料輸入 606 資料輸出 608 正反器 610 正反器 612 正反器 614 正反器 700 單元延遲胞串 702 輸入/輸出(10)資料輸入信號 704 庫驅動胞 706 輸入/輸出(10)資料輸出信號 708 互連模組 710 單元延遲胞 800 單元延遲胞串 802 10資料輸入 804 庫驅動胞 806 10資料輸出 808 10資料輸入 810 互連模組 39 1364081300 unit delay circuit 302 data input 304 data ¥ m out 306 buffer 308 step 310 reverse or gate 312 step 314 reverse gate 316 step 318 reverser 319 step 320 power bus VDD 322 power bus VCC 400 unit delay cell Physical arrangement 406 Buffer 408 Step 410 Reverse or Gate 412 Step 414 Reverse Gate 416 Step 418 Inverter 419 Step 420 Power Bus VDD 422 Power Bus VCC 38 1364081 500 Fix Defect Test Logic Configuration 502 Data Input Line 504 Unit Delay cell 506 data output line 600 shift register 602 unit delay cell 604 data input 606 data output 608 flip-flop 610 flip-flop 612 flip-flop 614 flip-flop 700 unit delay cell string 702 input / output (10) Data input signal 704 library driver cell 706 input/output (10) data output signal 708 interconnection module 710 unit delay cell 800 unit delay cell string 802 10 data input 804 library driver cell 806 10 data output 808 10 data input 810 interconnect module 39 1364081

812 單元延遲胞 814 10資料輸出 900 單元延遲胞的實體佈置 902 10資料輸入 904 10資料輸入 906 庫驅動胞 908 庫驅動胞 910 互連模組 912 互連模組 914 IO資料輸出 918 10貧料輸出 1000 單元延遲胞串 1002 庫驅動胞 1004 互連模組 1006 外部時脈 1008 時脈輸出 1010 單元延遲胞 1100 單元延遲胞串 1102 反向選擇胞 1 104 庫驅動胞 1106 互連模組 1108 外部時脈 1110 單元延遲胞 1112 時脈輸出 40 1364081812 unit delay cell 814 10 data output 900 unit delay cell entity arrangement 902 10 data input 904 10 data input 906 library driver cell 908 library driver cell 910 interconnection module 912 interconnection module 914 IO data output 918 10 poor material output 1000 Unit Delay Cell String 1002 Library Driver Cell 1004 Interconnect Module 1006 External Clock 1008 Clock Output 1010 Unit Delay Cell 1100 Cell Delay Cell String 1102 Reverse Select Cell 1 104 Library Driver Cell 1106 Interconnect Module 1108 External Clock 1110 unit delay cell 1112 clock output 40 1364081

1114 單元延遲胞 1206 列行編號 1210 金屬層 1212 氧化物層 1214 金屬層 1218 氧化物層 1220 金屬層 1306 通孔或端子 1308 列行編號 1310 通孔或端子 1312 列行編號 1314 通孔或端子 1316 金屬層 1318 氧化物層 1320 金屬層 1324 通孔或端子 1326 氧化物層 1328 金屬層 1402 信號線路金屬層 1404 接地線路金屬層 1406 通孔 1408 通孔 1410 被隔離的信號指狀部 1412 金屬梳 41 13640811114 Unit Delay Cell 1206 Column Number 1210 Metal Layer 1212 Oxide Layer 1214 Metal Layer 1218 Oxide Layer 1220 Metal Layer 1306 Through Hole or Terminal 1308 Column Number 1310 Through Hole or Terminal 1312 Column Number 1314 Through Hole or Terminal 1316 Metal Layer 1318 oxide layer 1320 metal layer 1324 via or terminal 1326 oxide layer 1328 metal layer 1402 signal line metal layer 1404 ground line metal layer 1406 via 1408 via 1410 isolated signal finger 1412 metal comb 41 1364081

1414 1416 1418 1420 1422 1424 1426 1428 1430 1502 1504 1506 1510 1512 1514 被隔離的信號指狀部 缺陷 金屬梳 被隔離的信號指狀部 測試電路圖案金屬層 未被接地的信號指狀 被接地的信號指狀部 被接地的通孔 金屬梳層 通孔 被隔離的信號指狀部 信號線路金屬層 接地指狀部 被隔離的信號指狀部 缺陷1414 1416 1418 1420 1422 1424 1426 1428 1430 1502 1504 1506 1510 1512 1514 Isolated signal finger defect metal comb isolated signal finger test circuit pattern metal layer ungrounded signal finger grounded signal finger Partially grounded through hole metal comb layer through hole is isolated signal finger signal line metal layer grounding layer isolated signal finger defect

4242

Claims (1)

1364081 十、申請專利範圍: 1·一種用於積體電路的測試载具,其包括: 其t每個該單元延遲胞均包括一1364081 X. Patent application scope: 1. A test vehicle for an integrated circuit, comprising: a t of each of the unit delay cells including one 延遲胞; 複數個單元延遲胞,其中 胞輸入、一單元胞輸出、 一輸入信號線路,其被連接至該串單元延遲胞中首位 單元延遲胞的單元胞輸入;以及 一輸出仏號線路’其被連接至該串單元延遲胞中末位 單元延遲胞的單元胞輸出。 2.如申請專利範圍第丨項之測試載具,其中該等庫驅 動胞包括由下面所組成的群之中的至少其中一者:反及 閘、反或閘、緩衝器、以及反向器。 3 ·如申請專利範圍第丨項之測試載具,其中該等互連 模組包括由下面所組成的群之中的至少其中一者:電容 器、金屬梳、蜿蜒部件、端子串、以及通孔串。 4. 如申請專利範圍第1項之測試載具,其中該輸入信 號線路被連接至一外部時脈信號,而該輸出信號線路則寫 入邏輯上和該外部時脈相關聯的時脈輸出信號。 5. 如申請專利範圍第4項之測試載具,其進一步包括: 一反向選擇胞,其中該反向選擇胞具有一環振盪器致 43 輸’個選擇胞資料輪入、以纟一選#胞資料輪出 :亥選擇胞貧料輸出被連接至該輸入信號線路; Θ等兩個選擇胞f料輸人其中—者被連接 脈信號; ^ 4時 該等兩個選擇胞資料輸入纟中另—者 延遲胞串輸出信號線路; °玄早元 &quot;玄%振t益致動輸入的狀態決定該等兩個選擇胞 輸入广何者被反向並且被傳送至該選擇胞資料輸出;以及; 孩串單7C延遲胞的該輸出信號線路被傳送至 該時脈輸出信號。 為 6·如申請專利範圍第5項之測試載具,其中該時脈榦 、遽進—步被連接至該等兩個選擇胞資料輸人其中」 者’作為該外部時脈信號β 7. 一種用於測試一積體電路測試載具之製程的方法, 其包括下面的步驟: —設計該積體電路測試載具,該積體電路測試載具包括: 複數個單元延遲胞,其中每個單元延遲胞均包括一單元胞 輸入、-單元胞輪出、-庫驅動胞、以及-互連模組,^ 中該單元胞輸入被連接至該庫驅動胞,該庫驅動胞進一; 被連接至該互連模組,該互連模組進—步被連接至該單= 胞輸出,該等複數個單元延遲胞從該單元延遲胞輸出至該 單元延遲胞輸人彼此互相串連’從而產生—串單元延遲 ^-輸入信號線路,其被連接至該串單元延遲胞中首位 早7C延遲胞的單元胞輸入;以及一輸出信號線路,其被連 44 1364081 接至該串單元延遲胞中末位單元延遲胞的單元胞輸出; 利用該製程來製造該積體電路測試載具; 將一測4信號施加至該積體電路測試載具的輸入信號 線路; 從該積體電路測試載具的輸出信號線路 信號; 將該生成信號與一預設的參考信號作比較;以及 倘右该生成信號不匹配該預設的參考信號的話便推斷 該項製程有缺陷。 8.如申請專利範圍第7項之方法,其中該積體電路測 〜载具進一步包括: —該等庫驅動胞包括由下面所組成的群之中的至少其中 -者:反及閘、反或閘、緩衝器' 以及反向器。 η載9二申凊專利範圍帛7項之方法’其中該積體電路測 咸載具進一步包括: 〜 一者該::?模:包括由下面所組成的群之中的至少其中 二二梳'婉蜓部件、端子串、以及通孔串。 .申5月專利範圍第7項之方法,1中# 試載具進一步包括: /、中&quot;亥積體电路測 該輪入信號線路被連接至—外 信號線路則寫入邏輯 ” 5唬’而該輪出 號。 輯上和料部時脈相關聯的時脈輪出信 1 1 ·如申請專利範圍第10項之方法 測試載具進一步包括: 、、,/、中該積體電路 45 1364081 —反向選擇胞,其中該反向選擇胞具有一環振烫器 動輸入、兩個選擇胞資料輸入、以及一選擇胞資料輪出. 該選擇胞資料輸出被連接至該輸入信號線路; 該等兩個選擇胞資料輸入其中一者被連接至該外邹時 脈信號; 該等兩個選擇胞資料輸入其中另一者被連接至該單_ 延遲胞串輸出信號線路; 該環振盪器致動輸入的狀態決定該等兩個選擇胞資料 輸入中何者被反向並且被傳送至該選擇胞資料輸出;以及 該串單元延遲胞的該輸出信號線路被傳送至外部成為 該時脈輸出信號。 12·如申請專利範圍第u項之方法,其中該積體電路 測S式載具進一步包括: 該時脈輸出信號進一步被連接至該等兩個選擇胞資料 輸入其中一者’作為該外部時脈信號。 1 3 . —種用於一積體電路的測試載具,其包括: 模組,該單一a plurality of unit delay cells, wherein the cell input, a cell output, an input signal line is coupled to the cell input of the first cell delay cell in the string cell delay cell; and an output semaphore line Connected to the cell output of the last cell delay cell in the string cell delay cell. 2. The test vehicle of claim </ RTI> wherein the library driver cell comprises at least one of the group consisting of: a gate, a reverse gate, a buffer, and an inverter . 3. The test carrier of claim </ RTI> wherein the interconnecting module comprises at least one of the group consisting of: a capacitor, a metal comb, a cymbal component, a terminal string, and a pass Hole string. 4. The test carrier of claim 1, wherein the input signal line is coupled to an external clock signal, and the output signal line writes a clock output signal that is logically associated with the external clock. . 5. The test vehicle of claim 4, further comprising: a reverse selection cell, wherein the reverse selection cell has a ring oscillator for 43 input 'selection cell data round, 纟一选# The cell data is rotated: the selection of the cytoplasmic output is connected to the input signal line; Θ and so on, the two selected cells are input to the pulse signal; ^ 4 when the two selected cells are input into the 纟In addition, the delayed string output signal line; the state of the Xuanyuanyuan&quot;Xuanyizheng tween input determines whether the two selected cell inputs are reversed and transmitted to the selected cell data output; The output signal line of the 7C delay cell is transmitted to the clock output signal. 6. The test vehicle of claim 5, wherein the clock pulse and the step-by-step are connected to the two selected cell data input persons as the external clock signal β 7. A method for testing a process of an integrated circuit test carrier, comprising the steps of: - designing the integrated circuit test carrier, the integrated circuit test carrier comprising: a plurality of unit delay cells, each of which The unit delay cells each include a unit cell input, a unit cell wheel, a library driver cell, and an interconnection module, wherein the cell input is connected to the bank driver cell, and the library driver cell is connected; To the interconnect module, the interconnect module is further connected to the single cell output, and the plurality of cell delay cells are delayed from the cell output to the cell delaying the cells to be connected to each other' Generating-serial unit delay ^-input signal line connected to the cell input of the first 7C delay cell in the first cell delay cell; and an output signal line connected to the string cell delay cell by 44 1364081 Last unit a cell output of the late cell; using the process to manufacture the integrated circuit test carrier; applying a test 4 signal to the input signal line of the integrated circuit test carrier; and testing the output signal of the carrier from the integrated circuit The line signal; comparing the generated signal with a predetermined reference signal; and if the generated signal does not match the preset reference signal, the circuit is inferred to be defective. 8. The method of claim 7, wherein the integrated circuit measuring device further comprises: - the library driving cells comprising at least one of the following groups: anti-gate, anti- Or gate, buffer' and inverter. η 9 二 9 凊 凊 凊 凊 凊 凊 凊 ’ ’ ’ ’ ’ ’ ’ ’ ’ ’ ’ ’ ’ ’ ’ ’ ’ ’ ’ ’ ’ ’ ’ ’ ’ The mold includes at least two of the group consisting of the following, a terminal string, and a through-hole string. The method of applying the seventh paragraph of the patent scope in May, the first one of the trial vehicles further includes: /, medium &quot;Haizhong body circuit test that the wheel signal line is connected to - the outer signal line is written to the logic" 5唬'And the round number. The clock wheel associated with the material clock is associated with the letter 1 1 · The method of claim 10 of the scope of the patent test vehicle further includes: , , , /, the integrated circuit 45 1364081 - a reverse selection cell, wherein the reverse selection cell has a ring vibrator input, two selection cell data inputs, and a selection cell data rotation. The selected cell data output is coupled to the input signal line; One of the two selected cell data inputs is connected to the external Zou clock signal; the two selected cell data inputs are the other of which are connected to the single_delayed string output signal line; the ring oscillator The state of the actuating input determines which of the two selected cell data inputs is inverted and is transmitted to the selected cell data output; and the output signal line of the string of cell delay cells is transmitted to the outside to become the clock input 12. The method of claim 5, wherein the integrated circuit measuring the S-type carrier further comprises: the clock output signal further connected to the one of the two selected cell data inputs as the External clock signal. 1 3. A test vehicle for an integrated circuit, comprising: a module, the single 複數個單/ -' 個單元延遲胞幸 的庫驅動胞、以及被排列在重疊層之上的複 組,其中該等複數個單元延遲胞輸入中的單 輸入被連接至該等複數個庫驅動胞中的單一 出中的單一單元延遲胞輸出; 單一庫驅動胞被連接至該等複數個互連模組中 模組,該單一互連模組被連接至該等複數個單 46 1364081 該等複數個單元延遲胞從該等複數個單元延遲胞輸出 至。玄等複數個單元延遲胞輸入彼此互相串連,從而產生一 串單元延遲胞; 複數條輸入信號線路,它們被連接至該串單元延遲胞 中首位單元延遲胞的複數個單元胞輸入;以及 複數條輸出信號線路,它們被連接至該串單元延遲胞 中末位單元延遲胞的複數個單元胞輸出。 14.如申請專利範圍第13項之測試載具,其中該等庫 φ驅動胞包括由下面所組成的群之中的至少其中一者:反及 閘、反或閘、緩衝器、以及反向器。 15·如申請專利範圍第13項之測試載具,其中該等互 連模組包括由下面所組成的群之中的至少其中一者:電容 器、金屬梳、蜿蜒部件、端子串、以及通孔串。 16.—種用於測試一積體電路測試載具之製程的方法, 其包括下面的步驟: 什6亥積體電路測試載具,該積體電路測試載具包括; Φ複數個單元延遲胞,其中每個單元延遲胞均包括複數個單 元延遲胞輸入、複數個單元延遲胞輸出、複數個並排的庫 驅動胞、以及被排列在^疊層之上的複數個互連模組其 中/等複數個單元延遲胞輸入中的單一單元延遲胞輸入被 連接至該等複數個庫驅動胞中的單一庫驅動胞,該單一庫 驅動胞被連接至該等複數個互連模組中的單一互連模組, 泫早一互連模組被連接至該等複數個單元延遲胞輸出中的 單單711延遲胞輸出;該等複數個單元延遲胞從該等複數 47 I364〇8i • 個單元延遲胞輸出至該等複數個單元延遲胞輸入彼此互相 . 串連,從而產生一串單元延遲胞;複數條輸入信號線路, •它們被連接至該串單元延遲胞中首位單元延遲胞的複數個 單7L胞輸入;以及複數條輸出信號線路,它們被連接至該 串單元延遲射末位單元延遲胞的複數個單元胞輸出; 利用該製程來製造該積體電路測試載具; 將複數個測試信號施加至該積體電路測試載具的該等 複數條輸入信號線路; 參* k /積胆电路測5式載具的該等複數條輸出信號線路中 讀取複數個生成信號; 將4 π複數個生成信號與複數個預設的參考信號作比 1向右钱寺複數個生成 考信號的話便推斷該項製程有缺陷 17.如申請專利範圍第〗6項 測試載具進一步包括: Μ纟中該積體電路 該等庫驅動胞包括由下面所組成的群 一者:反及閉、反或閉、緩衝器、以及反向器。 18.如申請專利範圍第】6項之 測試載具進—步包括·· 法其中該積體電路 該等互連模組包括由τ面所组成的群之 一.電容器、金屬梳、碗蜒部件、端子串、 =。 】9.-種用於-積體電路的測試裁具, 通子 復數個積體電路胞,' 括. ,、中利用邊積體電路所有金屬層 48 上的列行編號以視覺方式來識別該等複數個積體電路胞中 的每個積體電路胞。 20.如申請專利範圍第19項之測試載且,其進一步包 括: '、 ^被置放於该列行編號内的複數條通孔,致使從該積體 电路的頂端部份來觀看時,該等通孔便產生該列行編號。 21 ‘如申請專利範圍第1 9項之測試載且,其進一步包 括: ’ 被置放於忒列行編號内的複數個端子致使從該積體 兒路的頂端部份來觀看時’該等端子便產生該列行編號。 22_ —種用於檢視一積體電路的方法,其包括下面的步 驟: /、 βχ计一測試載具,該測試載具包括複數個積體電路胞, 其中利用該積體電路所有金屬層上的列行編號以視覺方式 來識別該等複數個積體電路胞中的每個積體電路胞; 利用一積體電路製程來製造該測試載具; 以視覺方式來檢視該測試載具;以及 藉由審視該等金屬層上的列行編號來識別一積體電路 胞。 23.如申請專利範圍第22項之方法,其進一步包括下 面步驟: 進一步設計該積體電路測試載具,該積體電路測試載 具進一步包括被置放於該列行編號内的複數條通孔,致使 從該積體電路的頂端部份來觀看時,該等通孔便產生該列 49 1364081 行編號; 移除一金屬層而僅留下中間的氧化物層;以及 藉由審視該等通孔來識別一積體電路的列行編號以便 識別一積體電路胞。 24. 如申請專利範圍第22項之方法其進一步包括下 面步驟: 且進一步設計該積體電路測試載具,該積體電路測試載 2進一步包括被置放於該列行編號内的複數個端子,致使 _攸该積體電路的頂端部份來觀看時,該等端子便產生該列 行編號; 移除一金屬層而僅留下中間的氧化物層;以及 精由審視該等端子來識別一積體電路的列行編號以便 識別一積體電路胞。 25. —種用於一積體電路的測試載具,其包括: 被置放於一積體電路晶圓之其中一層上的測試電路 圖案; • 複數條通孔,用以將該測試電路圖案連接至該積體電 路晶圓中的第二層; 一位於該積體電路晶圓的第二層上該等複數條通孔間 的電連接線;以及 該等複數條通孔於該積體電路晶圓的該測試電路圖案 層之上被電隔離,致使僅於該積體電路晶圓中的該第二層 之上才於5亥測s式電路圖案之該等複數條通孔間完成一電連 接線。 50 1364081 26. 如申請專利範圍第25 ^ 電路圖案包括由下面所,、之測试載具’其中該測試 μ入昆从 成的群之中的至少其中一者.φ 合斋、金屬梳、蜿蜒部件、 'Ύ 耆.電 27. -種用於測試—積體 乂及通孔串。 其包括下面的步驟: &quot;試載具之製程的方法, 設計該積體電路測試載具, , 該積體電路測試載具包括: 安.十奴片 其中一層上的測試電路圖 路晶圓中的第二層;一位二=圖,至該積體電 等複數條通孔間的電連接線 二層上該 體電路晶圓的該測試電路圖宰層 層之上被電隔離,致使僅於 該積體電路晶圓中的第二層之 、 &amp; &lt;上才於該測試電路圖案之該 荨複數條通孔間完成一電連接線; 利用該製程來製造該積體電路測試載具; 於產生該測試電路圖牵m m、1 &lt; ^ ^ Μ木層時利用被動式電壓對比來檢 查s玄測§式電路圖案層以便找出缺陷; 將複數個被動式電壓對比影像與複數個預設的參考被 動式電壓對比影像作比較,來判斷該測試電路圖案是否有 缺陷;以及 倘若該等複數個被動式電壓對比影像不匹配該等複數 個預設的參考被動式電壓對比影像的話便推斷該項製程有 缺陷。 28.如申請專利範圍第27項之方法,其中該積體電路 &gt;則試載具進一步包括: 51 1364081 該測試電路圖案包括由下面所組成的群之中的至少其 中-者:電容器 '金屬梳、蜿蜒部件” 串。 7甲以及通孔 29.-種用於檢查-製程之積體電路測試載具的方法, 其包括下面的步驟: 設計該積體電路測試載具’該積體電路測試載具包括: 一被置放於一積體電路晶圓之其中— . 安T層上的測試電路圖 木,複數條通孔,用以將該測試電路 口系連接至該積體雷 路晶圓中的第二層;-位於該積體電路晶圓的第二二 寺複數條通孔間的電連接線;以及該等複數條通孔於該積 體電路晶圓的該測試電路圖案層之上 丄做包(W離,致使僅於 該積體電路晶圓中的第二層之上才 ' ^ 、卜 茨/則试電路圖案之該 等複數條通孔間完成一電連接線; 利用該製程來製造該積體電路測試载具. 施加電源及不施加電源以主動式盎 、被動式電壓對比來 檢查該測試載具,以便找出缺陷; 將該等主動式及被動式電壓對比影像與複數個預設的 …動式及被動式電壓對比影像作比較來判斷該測試電 路圖案是否有缺陷; 倘若該等主動式及被動式電壓對μ 电&amp;對比影像不匹配該等預 設的參考主動式及被動式電壓對比爭德 办像的話,便移除該積 體電路測試載具中該測試電路圖案層以 Ρ的所有層; 利用被動式電壓對比來檢查該測試電路圖案I ; 將該等測試電路圖案被動式電屏斜 ' 电&amp;對比影像與複數個預 52 1364081 设的參考測試電路被動式電壓對比影像作比較;以及 :該測試電路圖案中於該等測試電路圖案被動式電壓 對比影像不匹配兮笙讯 ,, ,. ., 等預Λ的參考測試電路被動式電壓對比 衫像的地方確定某個缺陷。 3〇·如申請專利範圍第29項 測試載具進一步包括: 、 、、中該積體電路 該測試電路圖幸 —園案包括由下面所組成的群之中的至少其 S谷态、金屬梳、蜿蜒部件尚 '、 串。 1什鳊于串、以及通孔 十一、圖式: 如次頁 53a plurality of single-/' unit delay library drivers, and a group arranged above the overlap layer, wherein the single inputs of the plurality of unit delay cell inputs are connected to the plurality of library drivers a single unit in a cell that delays cell output; a single bank driver cell is coupled to the plurality of interconnect modules in the plurality of interconnect modules, the single interconnect module being coupled to the plurality of cells 46 1364081 A plurality of unit delay cells are output from the plurality of unit delay cells. a plurality of unit delay cell inputs are connected to each other in series to generate a string of unit delay cells; a plurality of input signal lines connected to a plurality of unit cell inputs of the first unit delay cell of the string unit delay cell; and a plurality of Strip output signal lines that are connected to a plurality of unit cell outputs of the last unit delay cell in the string unit delay cell. 14. The test vehicle of claim 13, wherein the library φ drive cells comprise at least one of the group consisting of: a sluice gate, a reverse gate, a buffer, and a reverse Device. 15. The test carrier of claim 13, wherein the interconnecting modules comprise at least one of the group consisting of: a capacitor, a metal comb, a tantalum component, a terminal string, and a pass. Hole string. 16. A method for testing a process for testing an integrated circuit test carrier, comprising the steps of: a 6 hp integrated circuit test carrier, the integrated circuit test carrier comprising: Φ a plurality of unit delay cells Each of the unit delay cells includes a plurality of unit delay cell inputs, a plurality of cell delay cell outputs, a plurality of side-by-side bank driver cells, and a plurality of interconnect modules arranged on the stack. A single unit delay cell input in a plurality of unit delay cell inputs is coupled to a single bank driver cell of the plurality of bank driver cells, the single bank driver cell being coupled to a single one of the plurality of interconnect modules a module, an interconnect module is coupled to the single 711 delayed cell output of the plurality of cell delay cell outputs; the plurality of cell delay cells from the plurality of 47 I364 〇 8i • cells delayed cell Outputting to the plurality of unit delay cell inputs are connected to each other in series, thereby generating a string of unit delay cells; a plurality of input signal lines, • they are connected to the first of the string unit delay cells a plurality of single 7L cell inputs of the meta-delay; and a plurality of output signal lines connected to the plurality of cell outputs of the delayed cell delay cell of the string unit; using the process to fabricate the integrated circuit test load Applying a plurality of test signals to the plurality of input signal lines of the integrated circuit test carrier; reading a plurality of the plurality of output signal lines of the reference k k5 measuring device Generating a signal; comparing 4 π complex generation signals with a plurality of preset reference signals to 1 to the right Qiansi plural to generate a test signal, it is inferred that the process is defective. 17. If the patent application scope is 6-1 test load The method further includes: the integrated circuit of the bank, the bank driver cells comprising a group consisting of: a reverse, a closed, a closed or a buffer, and an inverter. 18. The test vehicle of claim 6 is further comprising: wherein the interconnecting circuit comprises one of the groups consisting of τ faces. Capacitors, metal combs, bowls 蜒Parts, terminal strings, =. 】9.- Test strip for the integrated circuit, the whole number of integrated circuit cells, including the serial number of all metal layers 48 of the edge integrated circuit are visually identified. Each of the plurality of integrated circuit cells has an integrated circuit cell. 20. The test of claim 19, further comprising: ', ^ a plurality of through holes placed in the row number, such that when viewed from the top end of the integrated circuit, The vias produce the column row number. 21 'A test as claimed in item 19 of the patent application, which further includes: 'When a plurality of terminals placed in the array line number are caused to be viewed from the top end portion of the integrated body road' The column number is generated by the terminal. 22_ - A method for viewing an integrated circuit, comprising the steps of: /, beta measuring a test carrier, the test carrier comprising a plurality of integrated circuit cells, wherein the integrated circuit is used on all metal layers The row number of the array is visually identified for each of the plurality of integrated circuit cells; the test device is fabricated using an integrated circuit process; the test carrier is visually viewed; An integrated circuit cell is identified by examining the column number on the metal layers. 23. The method of claim 22, further comprising the steps of: further designing the integrated circuit test carrier, the integrated circuit test carrier further comprising a plurality of passes disposed within the column number Holes, such that when viewed from the top end portion of the integrated circuit, the vias create the column number of 13 1364081; remove a metal layer leaving only the intermediate oxide layer; and by reviewing such The vias identify the column row numbers of an integrated circuit to identify an integrated circuit cell. 24. The method of claim 22, further comprising the steps of: further designing the integrated circuit test carrier, the integrated circuit test carrier 2 further comprising a plurality of terminals placed within the column row number When the top portion of the integrated circuit is viewed, the terminals generate the column row number; a metal layer is removed leaving only the intermediate oxide layer; and the terminals are identified by examining the terminals The column number of an integrated circuit is used to identify an integrated circuit cell. 25. A test carrier for an integrated circuit, comprising: a test circuit pattern placed on one of an integrated circuit wafer; • a plurality of vias for patterning the test circuit Connecting to the second layer in the integrated circuit wafer; an electrical connection line between the plurality of via holes on the second layer of the integrated circuit wafer; and the plurality of through holes in the integrated body The test circuit pattern layer of the circuit wafer is electrically isolated, such that only the second layer in the integrated circuit wafer is completed between the plurality of vias of the 5 s circuit pattern An electrical cable. 50 1364081 26. The 25th circuit pattern as claimed in the patent application includes the test vehicle of the following, wherein at least one of the group of the test μ into the Kuncheng group, the φ, the metal comb,蜿蜒 Parts, 'Ύ 耆. Electricity 27. - Kind for testing - integrated 乂 and through-hole strings. The method comprises the following steps: &quot;testing the manufacturing process of the carrier, designing the integrated circuit test carrier, and the integrated circuit test carrier comprises: an inspection circuit diagram on one of the layers of the An. The second layer; a second = map, to the electrical connection between the plurality of vias on the second layer of the integrated circuit, the test circuit of the bulk circuit wafer is electrically isolated, so that only And forming an electrical connection line between the plurality of through holes of the test circuit pattern on the second layer of the integrated circuit wafer; using the process to manufacture the integrated circuit test carrier Passing the passive voltage comparison to check the s-type circuit pattern layer to find the defect when generating the test circuit diagram to draw the mm, 1 &lt; ^ ^ eucalyptus layer; the plurality of passive voltage contrast images and a plurality of presets Referring to the passive voltage comparison image for comparison to determine whether the test circuit pattern is defective; and if the plurality of passive voltage contrast images do not match the plurality of predetermined reference passive voltage pairs It is inferred that the process is defective than the image. 28. The method of claim 27, wherein the integrated circuit &gt; the test carrier further comprises: 51 1364081 The test circuit pattern comprises at least one of the group consisting of: capacitor 'metal Comb, 蜿蜒 component" string. 7A and through hole 29. - A method for testing the integrated circuit test carrier of the process, comprising the following steps: designing the integrated circuit test carrier 'the integrated body The circuit test carrier includes: a test circuit board placed on an integrated circuit wafer, a test circuit board on the An T layer, and a plurality of through holes for connecting the test circuit port to the integrated circuit a second layer in the wafer; an electrical connection line between the plurality of via holes of the second two temples of the integrated circuit wafer; and the test circuit pattern of the plurality of via holes in the integrated circuit wafer丄 丄 丄 ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( 层 ( 层 ( ( 层 ( 层 ( ( 层 层 ( ( ( ( ( ( ( ( ( ( ( Using the process to manufacture the integrated circuit test load Applying the power supply and not applying power to the active ohmic, passive voltage comparison to check the test carrier to identify defects; to compare the active and passive voltage contrast images with a plurality of preset...dynamic and passive voltages Comparing the images for comparison to determine whether the test circuit pattern is defective; if the active and passive voltages do not match the preset reference active and passive voltage comparisons, Removing all layers of the test circuit pattern layer in the integrated circuit test carrier; checking the test circuit pattern I by passive voltage comparison; and slanting the test circuit pattern to the passive screen A plurality of pre-52 1364081 reference test circuit passive voltage comparison images are compared; and: the test circuit pattern in the test circuit pattern passive voltage contrast image does not match the information, the image, the reference, etc. Test the circuit where the passive voltage contrasts the shirt image to determine a defect. 3〇·If you apply for a patent The 29th test carrier further includes: , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , 1 What is the string, and the through hole XI, the pattern: as the next page 53
TW94124738A 2004-08-18 2005-07-21 Failure analysis vehicle for yield enhancement with self test at speed burnin capability for reliability testing TWI364081B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/921,538 US7129101B2 (en) 2002-11-27 2004-08-18 Failure analysis vehicle for yield enhancement with self test at speed burnin capability for reliability testing

Publications (2)

Publication Number Publication Date
TW200625493A TW200625493A (en) 2006-07-16
TWI364081B true TWI364081B (en) 2012-05-11

Family

ID=36080773

Family Applications (1)

Application Number Title Priority Date Filing Date
TW94124738A TWI364081B (en) 2004-08-18 2005-07-21 Failure analysis vehicle for yield enhancement with self test at speed burnin capability for reliability testing

Country Status (2)

Country Link
CN (1) CN100547783C (en)
TW (1) TWI364081B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101377756B (en) * 2007-08-30 2011-04-27 联想(北京)有限公司 Method for evaluating computer system aging
CN107591341B (en) * 2017-08-31 2020-06-30 长江存储科技有限责任公司 Abnormal point grabbing method

Also Published As

Publication number Publication date
TW200625493A (en) 2006-07-16
CN1738043A (en) 2006-02-22
CN100547783C (en) 2009-10-07

Similar Documents

Publication Publication Date Title
Sachdev Defect oriented testing for CMOS analog and digital circuits
Jha et al. Testing of digital systems
Chen et al. On-chip TSV testing for 3D IC before bonding using sense amplification
TW555988B (en) Testing vias and contacts in integrated circuit fabrication
Ni et al. Non-intrusive online distributed pulse shrinking-based interconnect testing in 2.5 D IC
TWI231372B (en) Circuit comprising a plurality of scan chains and method for testing thereof
Huang et al. Small delay testing for TSVs in 3-D ICs
Doumar et al. Detecting, diagnosing, and tolerating faults in SRAM-based field programmable gate arrays: a survey
US7836366B2 (en) Defect localization based on defective cell diagnosis
Li et al. Diagnosis of sequence-dependent chips
TW509798B (en) Apparatus and method for self testing programmable logic arrays
US20200341057A1 (en) Low Pin Count Reversible Scan Architecture
Li et al. Diagnosis of resistive-open and stuck-open defects in digital CMOS ICs
US7496816B2 (en) Isolating the location of defects in scan chains
US8438433B2 (en) Registers with full scan capability
US9720041B2 (en) Scan-based test architecture for interconnects in stacked designs
EP0146661A1 (en) Electrical-diagnosis method for a defect cell in a chain of cells of a shift register
Sharma et al. Faster defect localization in nanometer technology based on defective cell diagnosis
Chaudhuri et al. Built-in self-test for inter-layer vias in monolithic 3D ICs
Cheng et al. Enhancing transition fault model for delay defect diagnosis
TWI364081B (en) Failure analysis vehicle for yield enhancement with self test at speed burnin capability for reliability testing
JP2947204B2 (en) LSI failure location identification method
US11408938B2 (en) Bidirectional scan cells for single-path reversible scan chains
Reddy et al. Detecting SEU-caused routing errors in SRAM-based FPGAs
Parker Defect coverage of boundary-scan tests: What does it mean when a boundary-scan test passes?

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees