TWI288424B - Inspection apparatus and inspection method - Google Patents

Inspection apparatus and inspection method Download PDF

Info

Publication number
TWI288424B
TWI288424B TW90115558A TW90115558A TWI288424B TW I288424 B TWI288424 B TW I288424B TW 90115558 A TW90115558 A TW 90115558A TW 90115558 A TW90115558 A TW 90115558A TW I288424 B TWI288424 B TW I288424B
Authority
TW
Taiwan
Prior art keywords
test piece
optical system
electron beam
inspection
electron
Prior art date
Application number
TW90115558A
Other languages
Chinese (zh)
Inventor
Mamoru Nakasuji
Nobuharu Noji
Tohru Satake
Hirosi Sobukawa
Shoji Yoshikawa
Original Assignee
Ebara Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ebara Corp filed Critical Ebara Corp
Application granted granted Critical
Publication of TWI288424B publication Critical patent/TWI288424B/en

Links

Abstract

This invention provides an inspection apparatus and inspection method for inspecting a semiconductor device. The inspection apparatus is adapted for inspecting a test piece such as a wafer, for defectsiAfeature dimension, and surface potential. Charged particles are irradiated from a primary optical system to a test pieceiAand secondary charged particles released from the test piece are separated from the primary optical system and are directed to a detector through a secondary optical system. The irradiation of the charged particles is performed while the test piece is moving. The points of irradiation of the charged particles are so arranged in N rows along the direction of moving of the test piece, and in M columns in the direction perpendicular to the moving direction. Each row of the irradiation points of the charged particles is sequentially offset a given amount in the direction perpendicular to the moving direction of the test piece.

Description

1288424 九、發明說明: 【發明所屬之技術領域】 本發明為關於用複數之電子線(electron beam)以檢 查形成在檢查對象之表面的圖形之缺陷等的檢查裝置,詳 言之’如於半導體製造工序中檢查晶圓之缺陷時,對於檢 查對象照射電子束而檢測應於其表面之性狀變化之二次電 子以形成晝像數據,依據該晝像數據以高生產率檢查形成 在檢查對象之表面的圖形之檢查裝置,以及使用該檢查裝 置而以良好成品率製造裝置(device)之裝置製造方法。 本發明為關於用帶電粒子線照射於試件而檢測於試件 之照射點產生之二次帶電粒子之帶電粒子線裝置及用該裝 置實行裝置之缺陷檢查之裝置製造方法。 本發明為1關於對載置在χγ工台之試件照射帶電電子 線裝置及利用該裝置之缺陷檢查裝置及露光裝置,又關於 使用該裝置之半導體製造方法。 本發明為關於由比較預先準備之半導體晶圓等試件之 畫像的基準晝像以檢查該試件之缺陷的缺陷檢查裝置及方 法’以及關於使用該缺陷檢查裝置的半導體裝置製造方法。 本么月為關於對試件照射電子線,由測定該照射點之 一次電子線以實行對該試件之種種檢查的電子線裝置,特 別為關於對形成在_導體晶圓之最小線幅〇 · 以下之 積體電路圖形以高生產率實行缺陷檢查、CD (crytical dimension)測定、相合精度測定、電位測定之電子線裝置。 本么明為關於將電子搶放出之電子線照射於具有複數 (修正頁)312765 5 1288424 '之開口的開口板所得複數 ^像射入試件,然後將該試 件^出之一次電子射入與一次光學系分離之二次光學季, 而广欠光學系將其擴大將影像投影於 : ;置’以及使用該電子線裝置對製造工序中之晶圓實行評 饧為特徵的裝置製造方法。[Technical Field] The present invention relates to an inspection apparatus for inspecting a defect or the like of a pattern formed on a surface of an inspection object by using a plurality of electron beams, and more specifically When the defect of the wafer is inspected in the manufacturing process, the inspection target is irradiated with an electron beam to detect secondary electrons that are to be changed on the surface of the inspection object to form the image data, and the surface of the inspection object is formed by high-productivity inspection based on the image data. A graphic inspection device, and a device manufacturing method using the inspection device to manufacture a device with good yield. The present invention relates to a charged particle beam device for detecting secondary charged particles generated at an irradiation spot of a test piece by irradiating a test piece with a charged particle beam, and a device manufacturing method for performing defect inspection by the device. The present invention relates to a semiconductor manufacturing method for irradiating a charging electron beam device to a test piece placed on a χγ table, and a defect inspection device and a light exposure device using the same. The present invention relates to a defect inspection apparatus and method for inspecting a defect of a test piece by comparing a reference image of a sample of a test piece such as a semiconductor wafer prepared in advance, and a method of manufacturing a semiconductor device using the defect inspection device. This month is an electronic line device for illuminating an electron beam on a test piece by measuring one electron line of the irradiation point to perform various inspections on the test piece, particularly regarding the minimum line width formed on the _conductor wafer. - The following integrated circuit pattern is used to perform defect inspection, CD (crytical dimension) measurement, coincidence accuracy measurement, and potential measurement of electronic line devices with high productivity. The present invention relates to a plurality of images obtained by irradiating an electronic wire that has been discharged by electrons to an opening plate having an opening of a plurality of (correction page) 312765 5 1288424', and then injecting the test piece into the test piece. The secondary optical season in which the optical system is separated once, and the Guangyue optical system expands the image to be projected on the device manufacturing method characterized by the use of the electron beam device to evaluate the wafer in the manufacturing process.

本發明為關於以高生產率實行對於最小線 U :以下之圖形的缺陷檢查、線幅測定、相合精度測定乂 =署裝置動作時之高速動作分析等的電子 =置以對製造工序中之晶圓實行評價而改善成品率之 裝置製造方法。 ^ 制i本t明為關於電子線裝置及使用該電子線裝置之裝置 製造方法,詳言之為關於以高生產率及高信賴性對最小線 :為0.1㈣以下之裝置圖形的試件實行缺陷檢查、線幅測 2相。精度測定、表面電位測定及高精度時間分解能測 定=電子隸置及使用該電子線裝置以對製造工序中之晶 圓實行評價而提高成品率的裝置製造方法。 曰 、,本發明之目的為提供能以電子光學並於短時間實行電 子光學系的焦點校準之電子線裝置及用該裝置之半導體 置製造方法。、 本發明為關於電子線裝置及用該電子線裝置之裝置楽 造方法,詳言之為關於對具有最小線幅在0.1//m以下之聲 置圖形之試件以高生產率及高信賴性實行缺陷檢查之電^ 線裝置,以及用該電子線裝置對製造工序中之晶圓實行詞 價而提高成品率之裝置製造方法。 6 (修正頁)312765 1288424 之裝置於對形成在試件之表面的圖形等實行評價 以;詳言之為對於具有最小線幅在〇._ 圖試件上的裝置等以高生率及高信賴性實行缺 等之評價的電子線二高時間分解電位測定 ^ ^ ^^- 置及使用該衣置以對製造途中及終了 後之试件貫行評價之裝置製造方法。 i 八施Ϊ發明為關於EXB分離器(亦稱偏向器)及使用該ExB 半!體晶圓的檢查裝置。詳言之,為關於在光轴 :β 成車乂大之相同磁場強度及相同電場強度的領域之 ^、,刀#離益及使用該ΕχΒ分離器以高生產率及高信賴性實 仃半導體晶圓之缺陷檢查、圖形線幅測定、圖形重合精产 測定及高時間分解能之電位測定的檢查裝置。 ^ 本發明為關於對載置在ΧΥ工台上之試件照射帶電電 子線2裝置’詳^之為關於在χγ工台不設差動排氣機構而 於鏡筒周®設差動排氣機構之帶電電子線裝置及利用該裝 缺陷仏查衣置及露光裝置,特別是關於使用該等裝置 之半導體製造方法。 本發明為關於對形成有最小線幅0.1/zm以下之圖形 的晶圓等以高生產率及高信賴性實行評價之裝置,以及使 用該裝置而以良好成品率製造裝置的方法。 半‘體製造工序之設計規則(design rule)正在迎接 100nm的時代,又生產形態正由以DRAM代表之少品種大量 生產轉移至如SOC(System on chip)之多品種少量生產。 而隨著上述形態的轉移,其製造工序數增加,提高於每工 7 (修正頁)312765 1288424 、序之成品率為必需,對起因於每工序之缺陷檢查也就重 要本發明為關於半導體製造工序中之於各工序後的晶圓 松查使用的裝置,而為關於使用電子線之檢查方法及裝置 以及關於使用該裝置之裝置製造方法。 【先前技術】 有關本發明之檢查裝置的習用技術,市面上已有利用 掃描電子顯微鏡(CEM)的裝置出售。該裝置用校準成細小的 電子線以間隔非常小的掃描線幅實行掃描,然後用二次電 子檢測器檢測隨掃描自檢查對象放出之二次電子雨形成 SEM晝像’將該SEM畫像與不同之模件(die)上的相同位置 互相比較以查出缺陷。 又雖提案有用複數之電子線,即複數電子線(multi beam)以提高生產率的方案,但所開示者僅言及如何形成多 數電子線及如何檢測多數電子線,但完成缺陷檢查裝置全 體為系統之裝置則尚未存在。 對於半導體裝置製造用之遮膜圖形(mask pattern)及 對於形成在半導體晶L彡的㈣檢查為使用掃描型電 子顯微鏡。掃描型電子顯微鏡為以校準成極細之一條電子 線掃描試件表面,而為要檢測由試件放出之二次電子,對 於4件全體的檢查需要長時間。為解決上述的問題,已提 案有用複數之電子源放出的電子使其通過減速電場透鏡而 結像在試件面上,並實行掃描使由試件面放出的二次電子 也維恩(flen)㈣n發生偏向而導至複數之檢測器的方式 (Japanese Journal of Applied Physics, Vol. 28, No. l〇 (修正頁)312765 1288424The present invention relates to a defect inspection, a line width measurement, a coincidence accuracy measurement, and the like of a high-speed operation analysis when the device is operated at a minimum line U: the following is performed at a high productivity. A device manufacturing method that performs evaluation to improve yield. ^ The system is a device manufacturing method for an electronic wire device and the use of the electronic wire device, and more specifically relates to a defect in a test piece having a minimum line: a device pattern of 0.1 (four) or less with high productivity and high reliability. Check and line measurement 2 phases. Accuracy measurement, surface potential measurement, and high-precision time-decomposition energy measurement = device mounting method and device manufacturing method in which the electron beam device is used to evaluate the crystal in the manufacturing process to improve the yield. In view of the above, an object of the present invention is to provide an electronic wire device capable of performing focus calibration of an electro-optical system in a short period of time, and a method of manufacturing a semiconductor device using the same. The present invention relates to an electronic wire device and a device manufacturing method using the same, and more particularly relates to high productivity and high reliability for a test piece having an acoustic pattern having a minimum line width of 0.1//m or less. An electric wire device that performs defect inspection, and a device manufacturing method that uses the electronic wire device to perform a word value on a wafer in a manufacturing process to improve yield. 6 (Revision page) 312765 1288424 The device is used to evaluate the pattern formed on the surface of the test piece, etc.; in detail, it is high-reliability and high reliability for devices with the smallest line width on the test piece. The electronic line two-time decomposition potential measurement for the evaluation of the lack of performance, and the device manufacturing method for using the clothing to evaluate the test piece during and after the manufacturing. i Eight Shih was invented about the EXB separator (also known as the deflector) and used the ExB half! Body wafer inspection device. In particular, it is about the field of the same magnetic field strength and the same electric field strength in the optical axis: β 乂 乂 , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , Inspection device for round defect inspection, graphic line width measurement, graphic coincidence and precision measurement, and high time decomposition energy potential measurement. The present invention relates to a device for illuminating a charged electronic wire 2 on a test piece placed on a workbench, which is a differential exhaust gas in the periphery of the lens barrel without a differential exhaust mechanism at the χγ table. The charged electronic wire device of the mechanism and the device for detecting the appearance and the use of the device, in particular, the semiconductor manufacturing method using the device. The present invention relates to a device which evaluates high productivity and high reliability to a wafer or the like having a pattern having a minimum line width of 0.1/zm or less, and a method of manufacturing the device at a good yield by using the device. The design rule of the semi-body manufacturing process is welcoming the era of 100 nm, and the production form is being transferred from a large-scale production represented by DRAM to a small-scale production such as SOC (System on chip). With the transfer of the above-mentioned form, the number of manufacturing processes is increased, and it is increased to 312,765, and 12,884,24 per process (correction page), and the yield of the order is necessary, and it is important for the defect inspection caused by each process. The present invention relates to semiconductor manufacturing. In the process, the device used for wafer re-inspection after each process is a method and device for inspecting the use of an electronic wire, and a device manufacturing method using the device. [Prior Art] Conventional techniques relating to the inspection apparatus of the present invention are commercially available using a scanning electron microscope (CEM). The device performs scanning by calibrating into small electron lines with very small scanning line widths, and then using a secondary electron detector to detect secondary electron rain emitted from the inspection object to form an SEM image, which is different from the SEM image. The same locations on the die are compared to each other to detect defects. Although it is proposed to use a plurality of electronic wires, that is, a multi-beam to improve productivity, the applicant only mentions how to form a plurality of electron wires and how to detect a plurality of electron wires, but completes the defect inspection device as a system. The device does not yet exist. A scanning pattern for the manufacture of a semiconductor device and a (four) inspection for forming a semiconductor crystal L are performed using a scanning electron microscope. The scanning electron microscope scans the surface of the test piece by calibrating one of the extremely thin wires, and in order to detect the secondary electrons emitted from the test piece, it takes a long time to inspect all of the four pieces. In order to solve the above problems, it has been proposed to use electrons emitted from a plurality of electron sources to be imaged on the surface of the test piece through a decelerating electric field lens, and to perform scanning so that the secondary electrons emitted from the surface of the test piece are also flen. (4) The way in which n is biased and leads to a complex detector (Japanese Journal of Applied Physics, Vol. 28, No. l〇 (Revision) 312765 1288424

October, 1989, ρρ· 2058-2064)。 對於半導體晶圓等之試件表面藉由照射電子線等的帶 電電子線而以半導體電路等的圖形在該試件表面上進行曝 光或對形成在試件表面上的圖形實行檢查的裝置,或藉由 照射帶電電子線以對試件實施超精密加工之裝置,均使用 在真空中將試件以良好精度定位的工台(stage)。 〇對上述工σ要求非常高精度的定位時為採用將工△用 靜壓軸承以非接觸方式支持之構造。於此為要使由靜^抽 承供給的高壓氣體不直接排氣在真空容室内,則= 的範圍形成排出高壓氣體之差動減機構以維持真 工各室的真空度。 苐18A、18B圖表示習用姑供^τ a ⑽圖所*,在構成直4巧:例。如第18A、 電子心t+u 之殼體2GG8安裝產生帶電 毛卞綠以對試件昭射夕渔 總邱. …、于之▼電電子線裝置的鏡筒2001的前 =抽直Γ電電子線照射部讀。該筒内部由真空配管 2:抽真空’而容室c則由真空配 電電子線為由鏡筒2〇〇1夕&山 '風具二 圓等的試件s照射。心部2002對放置於其下之 _5上面=:稱工台_…向可動部 、、典叙白1 向可動4 2005為在X方向可動部2ί)ηβ ,月動自如的配置’ X方向可動帑2〇m 6- 動自如的配置。m_為在工台2007上續 γ方向可動部2嶋之面對X方向可動部_的導引 (修正頁)31276ί 9 1288424 面j〇e〇6a的面(第18A圖中為左右兩面及下面)裝設有複數 之靜壓轴承2〇〇9a,由靜壓軸承2〇〇9a的作用使其與導引 面2006a之間維持著微小間隙移動於γ方向(第ι8β圖中為 左右方向)。同樣的對x方向可動部2006裝設複數之靜壓 轴承=〇〇9b,使其於靜壓軸承2009b與導引面2007a之間 維持著,小間PI;能移動於χ方向(第j 8 A圖中為左右方向)。 f靜壓軸承周圍設有差動排氣機構 以使供給於靜壓軸 承之高壓氣體不致漏入真空容室C内。第19圖表示差動排 氣機構。即於靜壓軸承2刚b周圍構成雙重的槽2018及 2〇= ’用未圖示之真空配管及真空粟經常抽真空。由上述 構每將Y方向可動部2005在真空中以非接觸狀態的支持而 在¥方向移自如。該雙重的槽2G18及2G17為在設有可動 P 20^5之靜壓轴承潰9a的面以圍繞該靜壓軸承的狀態形 成。有關靜壓軸承則可用已知之構造而於此省略其說明。. =第18A、18B圖可明瞭’搭w方向可動部娜 之X方向可動部2006形成上方為開口的凹形,具有與厂 :::Π _5同樣的靜屢軸承及槽,對工台20°7為非 盥X方竹二能移動自如方向。由Υ方向可動部2005 端二即的組合即可使試㈣ W即罗電電子束照射部2〇〇2移動至水 置,^能對試件上希望的位置照射帶電電子、Γ 試件=二,體製造工序中為利用對半導體晶圓等之 該試件之缺陷的缺陷檢.穿置。次電子以檢查 衣置該缺陷檢查袭置有應用晝 (修正頁)312765 10 1288424 像認識技術以圖缺陷檢查之自動化及效率化的技術。該技 術由檢測二次電子而將所得試件表面之被檢查領域的圖形 晝像數據與記憶之該試件表面之基準晝像數據用電腦 貫仃比對演异,然後依其演算結果自動的判定試件是否有 缺陷。 現今特別在半導體製造領域之圖形高精細化在進步, 對檢測微細缺陷的需求逐漸提高。在此狀態下,對上述應 用晝像認識技術之缺陷檢查裝置亦在要求更高的認識精 習知有連續移動試件台,而將電子線掃描於對該移動 方向為直角方向的方法(特開平1(M34757)。又將一次電 子線對於試件面為由斜方向,而對於試件面上為二次元电 但對-軸方向之投影為以等間隔的狀態實行照射婦描的方 斤知。又將複數之電子搶之各電子搶的電子分成複 ^電子線,使各電子線沿-方向掃描,而使試件台於其直 角方向連續移動以實行檢查的方法亦為眾所知。 對半導體裝置適用的遮膜圖形,或形成在半導體晶圓 =形的缺陷檢查使用的電子線裝置周知有用由單―電^ 電顿照射在具有複數之開口的開口板所得複數 光:二件’然後將該試件放出之二次電子用二次 二投影在檢測器面以檢查試件上之圖形的缺陷 子槍放出之電子線的 子線強度均設定相 然而上述之習用裝置並未考慮電 角度依存性,而無關於照射角度其電 (修正頁)312765 11 1288424 -=亦即由電子搶放出之電子線在絲方向雖然得到高亮 HI子f未考慮隨著離開光轴其電子線的亮度(強 度)逐漸減低的問題。 一又由式件放出之二次電子的檢測率在光轴附近雖可得 局檢測率,但在離開光軸的位置則對所放出二次電 檢測率的問題’上述習用之電子線裝置亦未考慮此問題。 用於對具有如超LSI電路之微細的電路圖形之電路的 ^檢查及線幅測定而應用複數之電子線的電子線裝置為 A人所知。此種利用複數電子線的電子線裝置為對於解決以 一條電子線檢查微細電路圖形太f時的問題所提方案。 上述複數電子線的電子線裝置中,例如於將多數之電 子射出器(emitter)配置成矩陣狀的電子線裝置,由於其反 射電子(二次電子)之檢出器的間隔極小,故為了解決來自 ,接之照射領域之反射電子或二次電子容易進入,而不能 “檢測精度的缺點’眾知有在試件與檢測面之間設置開 孔之遮膜的方法。 又以一條電子線掃描試件上的圖形以檢查〇·⑹程< 又的缺fe'’ ^ 了解決其太過費時以致降低生產率的缺 ”、、眾矣有以單一之電子搶放出的電子線照射於具有複數 幵1的遮膜以形成複數之電子線的電子線裝置。 —=具有最小線幅在〇· l //m以下之裝置圖形的試件實 $、P曰心查日守’如用光方式則由於光的折射而在解像度上 一其限界’因而提案有利用電子線的檢查•評價裝置。用 電子線雖然提南解像度但由於生產率太小而在生產性上有 12 (修正頁)312765 1288424 其問題。而為了提高生產率以利用複數電子線的電子線穿 置、,亦即將單一電子槍放出之電子線照射於複數的開口,、 用t過該寺開口的電子線掃描試件表面(以下稱試件面 然後將各像產生之二次電子導至複數之檢測器 的電子線裝置已為眾所知。 —4件 用電子線的掃描結果對於形成在半導體晶圓等之气 =面=!精度實行評價時有必要考慮試件的高度 :匕,、原因為由於試件之高度不同,試件表面 =電子線聚焦於該圖形之對物透鏡間的生二 :成對焦條件偏離而降低解像度,以致不能實行正二評 為解除上判題則已提案有對試件 利用其反射先測定試件的高度,將其測定結=;、= :=、於試件之電子先學系,控制二:: 素的電流及電树行電子先學系之對焦的電 然而上述對試件以斜角+ 面與電子光學系下面間的空間設置使::\由=需在試件 下面間的間隔比實際f要為A,2=面與電子光學系 則不能忽視電子光學系的收差題。^如增大間隔’ 電子光學系之對焦及電子光學系果必需同時解決 迄未有提案。 ’、收差的問題,但其方法 又’電子光學系的對焦,不單要考慮試件面與電子光 (修正頁)312765 13 1288424 予系下面間的距離,試件面上之帶電狀態,及電子線的空 間電荷效果亦必需考慮,如不以電子光學方式測定電子光 學系之與對焦有關的參數,則有發生誤差之可能性。 —再則,調整含於電子光學系之磁透鏡的勵磁電流而實 订對焦時,因需有較長之自設定該勵磁電流於預定值至電 2光學系之焦點距離達到安定的時間,亦即需用較長的整 ,時間(settling time),因而有不容易以高速實行對焦的問 題。又如藉由變更靜電透鏡之勵起電壓以實行電子光學系 的對焦時,因必需變化施加在靜電透鏡的高電壓,因此同 樣有需較長之整定時間的問題。此外亦有用電子線實行評 價其生產率低的問題。 本發明為解決上述種種的問題所提案,其目的在提供 應用電子光學並在短時間實行電子光學系之對焦的電子教 裝置,及使用該裝置之半導體裝置製造方法。 對具有最小線幅在〇· 1//m以下之裝置圖形的試件實 行缺陷檢查時,如用光的方式則由於光的.折射而在解像度 上有其限界,因此提案有利用電子線的檢查•評價裝置。 用電子線雖然提高解像度但由於生產率太小而在生產性上 有其問題。而為了提高生產率以利用複數電子線的電子線 裝置,即將單-電子搶放出之電子線照射於複數的開口, 用通過該等開口的電子線掃描試件,將自各像放出之二次 電子無相互串擾(crosstalk)的導至複數之檢測器以檢查 试件之電子線裝置則已有申請案。 對於觀察、評價含有絕緣材料之試件的裝置已有種種 14 (修正頁)312765 1288424 Π亡述技術中、,對於掃描電子顯微鏡而言, J ’、/貝,& -人電子線之電子線電流、至試件之吸收電 &、來自照射裝置的反射電子量、二次電子放出量等以坪 價充電(—eup)狀態之充電檢夠功能的裝置為眾所知。 習用上對於電場與磁場為直交的直交1责 粒子在與電場與磁場為直交的方向直進以實行能量分^ Μ型能量_器。該過濾、器藉由以磁場所產生之電 將電場所產生之電子線的偏向作用打消而只讓 電子線中之具有特定的能量之帶電電子直進。 上述ExB型能量過濾器經提案的有如第54圖所示的構 成。如第54圖所示,6001及嶋’為保持在接地電位的磁 反片,6002及6002,為電極。電極_2施加有電㈣,電 極6002’施加有電麼—V,兮望垂两 微嫌 v料㈣之絕對值相等並為可 y電電子為直進於與電場及磁場雙方直交的方向,即 /、該圖表面垂直的方向。 15 1 φ ^於半‘體日日圓等之試件表面等藉由照射電子線等的 Ή線而以半導體電路等的圖形在該試件表面上進行 =或對形成在試件表面上的圖形實行檢查的裝置,或藉 …、射電子線以對試件實施超精密加丄之裝置,均使 用在真S中將試件以良好精度定位的卫台。 對上αι σ要求非常高精度的定位時為採用將工台用 备[軸承^非接觸方式支持之構造。於此為要使由靜壓車由 :’、給的:壓氣體不直接排氣在真空容室内,則藉由在靜 “承的耗圍形成排出高壓氣體之差動排氣機構以維持真 (修正頁)312765 1288424 空容室的真空度。 第18A、18B圖表示該習用技術之一工台例。如圖所 示,在構成真空容室C之殼體2008安裝產生帶電電子線以 對試件照射之帶電電子線裝置的鏡筒2〇〇1的前端部,亦即 帶電電子線照射部2 0 0 2。試件S為裝卸自如的保持在試件 台2004。有關第18A、18B圖所示工台之其他構造容後述。 &在靜壓軸承20091)周圍設有差動排氣機構以使供給於 靜壓軸承之高壓氣體不致漏入真空容室c内。第Μ圖表示 差動排氣機構。即於靜壓軸承2〇〇9b周圍構成雙重的槽 2017及2018,用未圖示之真空配管及真空泵經常抽真空。 由上述構造將Y方向可動部2005在真空中以非接觸狀^的 支持而在γ方向移動自如。該雙重的槽2〇17及2〇18為在 設有y動部2005之靜壓軸承200913的面以圍繞該靜壓軸承 的狀態形成。將上述γ方向可動部2〇〇5及χ方向可動部 2006的移動組合即可將試件s對於鏡筒的前端部,即對帶 電電子線照射部2002在水平方向可移動至任意的位置,由 而能對試件上希望的位置照射帶電電子線。 然而上述之靜壓軸承與差動排氣機構組合之工台由於 設有差動排氣機構,比較在大氣中使用的靜壓轴承式工台 其構造複雜又大型’以致工台的信賴性低,成為高成本= 問題。 、在電子料系中對於修正倍率色收差及旋轉色收差的 方法已知有應用對稱磁氣雙透鏡(dGublet丨㈣)的方法。 靜電透鏡系因不發生旋轉色收差,因此用對稱雙透鏡修正 (修正頁)312765 16 1288424 -倍率色收差。 隨半導體裝之高積體化及圖形之微細化,對高分解· 能、高生產率的檢查裝置有所求。檢查1〇〇nm設計規則的 晶圓基板之缺陷時需要100nm以下的分解能,而由裝置之 尚積體化之製造工序的增加及檢查量增加,因而要求高生 產i。又隨裝置之多層化的進展,對檢查裝置亦要求檢測 用以連接層間之配線的線頭(beer)之接觸不良(電氣缺陷) 的功能。目前主要為使用光方式的缺陷檢查裝置,但由分 解能及接觸不良之檢查的觀點,預計今後用電子線的缺陷 檢查裝置將替代用光方式的缺陷檢查設而成為今後檢查裝 置的主流。但電子線方式缺陷檢查裝置在生產率上有比不 上光方式之裝置的缺點。 目前在要求開發高分解能、高生產率並以電氣實行缺 戸曰才欢查之心查裝置。用光方式之分解能為使用之光的波長 之1/2為限界,實用化之可視光的例為〇·2//ιη程度。 一方面使用電子線的方式中,一般實用化的有掃描型 電子線方式(SEM方式),並分解能為〇· 1/am,檢查時間為 8小打/牧(2〇cm晶圓)。電子線方式以能檢查電氣缺陷(配 線的斷線、導通不良、線頭的導通不良等)有其顯著特徵。 但因檢查時間非常長而正期待檢查快速之缺陷檢查裝置的 開發。 由於檢查裝置一般而言價高,生產率比其他處理裝置 低,目前只在重要工序後,例如在蝕刻、成膜、或CMp(化 學機械研磨)平坦化處理後等使用。 (修正頁)312765 1288424 以下說明使用電子線之掃描(SEM)方式的檢查裝置。 SEM方式的檢查裝置將電子線聚焦成細線(該線徑相當於 分解能)用其掃描而以線狀的照射於試件。一方面將上台移 動於電子線掃描的直角方向以對觀察領域做平面狀的電子 線照射。電子線的掃描幅一般為數1 〇 〇 # m。以前述聚焦為 細線之電子線(稱一次電子線)照射而從試件產生之二次電 子則用檢測器(sc inti 1 lat or + photo multi pi ier(光電子 增倍管))或半導體方式之檢測器(PIN diode型)等實行檢 測。 然後將照射位置之座標與二次電子之量(訊號強度)合 成而晝像化,並記憶於記憶裝置,或輸出晝像在CRT(陰極 射線官)上。以上為SEM(掃描型電子顯微鏡)的原理,而由 此方式所得晝像以檢查在工序中之半導體(通常為Si)晶 圓的缺陷。檢查速度(相當於生產率)為由一次電子線的量 (電流值)、電子線徑、檢測器之應答速度決定。電子線徑 〇· 1 /z m(可考慮為分解能),電流值1〇〇nA,檢測器之應答 速度100MHz為目前的最高值,此狀態之檢查速度為以2〇cm 徑之晶圓而言一牧約為8小時。該檢查速度比較用光的方 式太慢(1/20以下)而成為大問題(缺點)。 一方面為提高SEM方式之檢查速度之方法已知有使用 複數之電子線的SEM(複數電子線SEM)。該方法雖可提高由 使用複數電子線的條數份的檢查速度,但需以複數電子線 以斜向射入,然後由斜方向取出從試件反射之複數的電子 線,即檢測益只能捨起由試件向斜方向放出的二次電子, 18 (修正頁)312765 1288424 又由於晝像會有影子以及不易從複數之電子線分離各個的 電子線,因而發生二次電子訊號互相混合的問題。 【發明内容】 應甩SEM之缺陷檢查裝置,其電子線尺寸小,當然書 素尺寸小,掃描線幅亦小’因而實行缺陷檢查費時。又如 為$提高生產率而增大電子線线則使表面有絕緣物的晶 圓帶電而有不能得到良好之SEM晝像的問題。 對於使用複數電子線之裝置而言,不但其電子光學 系,有關裝置全體的構成仍未明,對於電子光學系與其他 附帶系統間之相互作用等至今均未明。再則隨檢查對象之 晶圓的大徑化的進展,其附帶系統亦需能與其對應。 本發明有鑑於上述的問題,發明所欲解決課題之一為, 提供使用複數電子線的電子光學系,並圖得該電子光學系 與構成檢查裝置之其他構成機器之調和以提高生產率之檢 查裝置。 θ =發明所欲解決之另一課題為提供解決對SEM成為問 題之帶電的問題而能以良好精度對檢查對象實行檢查之檢 查裝置。 本I明所;g人解決之又另一課題為提供使用如上述之檢 查裝置以對晶®等之檢查對象實行檢查而得良好成品率之 裝置製造方法。 本發月k供用電子線對形成有圖形之檢查對象實行照 射以檢查前述檢查對象之圖形的裝置。該檢查裝置含有電 子源物透鏡、ExB分離器、及至少一段之擴大透鏡,而 (修正頁)312765 19 1288424 為形成複數之一次電子線照射於前述檢查對象,將前述一 次電子線的照射於檢查對象所放出之二次電子用前述物透 鏡對其加速再由前述ExB分離器分離,然後用前述至少一 段的擴大透鏡投影二次電子像。檢查裝置更具備··用以檢 測由前述電子光學系投影之二次電子像的複數之檢測器; 保持著前述檢查對象而使其對於前述電子光學系相對的移 動之工台裝置;將前述工台裝置收容並在真空環境内控制 之工作容室(working Chamber);對前述工作容室内之前述 工。裝置上供給檢查對象之裝載器(l〇ader);設置在前述φ 工作容室内以對前述檢查對象施加電位之電位施加機構; 以及為要決定前述檢查對象對前述電子光學系之位置而由 觀’Τ' A述彳欢查對象之表面以控制調整(ai ⑽的之調整 控制裳置。前述真空容室介由用於遮斷自地面之振動的振 動遮斷裝置支持。October, 1989, ρρ· 2058-2064). a device for exposing a surface of a test piece by a pattern of a semiconductor circuit or the like by irradiating a charged electron beam such as an electron beam or the like on a surface of a test piece such as a semiconductor wafer, or for inspecting a pattern formed on a surface of the test piece, or A device for performing ultra-precision processing on a test piece by irradiating a charged electron beam uses a stage in which the test piece is positioned with good precision in a vacuum.定位 When the above-mentioned work σ is required to be positioned with a very high precision, it is a structure in which the hydrostatic bearing is supported in a non-contact manner. Here, in order to prevent the high-pressure gas supplied from the static suction from being directly exhausted in the vacuum chamber, the range of = forms a differential reduction mechanism for discharging the high-pressure gas to maintain the vacuum of each chamber.苐18A, 18B diagram shows the customary ^^τ a (10) diagram *, in the composition of straight 4: example. For example, in the 18A, the housing 2GG8 of the electronic core t+u is installed to generate the charged green 以 green to the test piece Zhao Ying Xiu Yu Qiu. ..., Yu Zhi ▼ the front of the tube 2001 of the electric electronic line device The electronic line irradiation department reads. The inside of the cylinder is evacuated by a vacuum piping 2: vacuum chamber ‘, and the chamber c is irradiated with a test piece s such as a lens barrel 2 〇〇 1 & The heart portion 2002 is placed on the lower side of the _5 =: the work table _ ... to the movable portion, the white singer 1 to the movable 4 2005 is the movable portion 2 η) ηβ in the X direction, and the configuration of the X-direction is free Movable 帑 2〇m 6- moveable configuration. M_ is the surface of the γ-direction movable portion 2 面对 in the y-direction movable portion 2 facing the X-direction movable portion _ (correction page) 31276 ί 9 1288424 surface j〇e 〇 6a (the left and right sides in Fig. 18A and The following) is provided with a plurality of hydrostatic bearings 2〇〇9a, which are moved by the hydrostatic bearing 2〇〇9a to maintain a slight gap between the guide surface 2006a and the γ direction (the left and right directions in the first figure) ). Similarly, the x-direction movable portion 2006 is provided with a plurality of hydrostatic bearings = 〇〇 9b, which are maintained between the hydrostatic bearing 2009b and the guide surface 2007a, and the small space PI; can move in the χ direction (j 8 A In the figure, it is the left and right direction). A differential exhaust mechanism is provided around the hydrostatic bearing so that the high-pressure gas supplied to the hydrostatic bearing does not leak into the vacuum chamber C. Figure 19 shows the differential exhaust mechanism. That is, the double grooves 2018 and 2 〇 = ' are formed around the hydrostatic bearing 2 just b. Vacuum is often applied by a vacuum pipe (not shown) and a vacuum mill. In the above-described configuration, the Y-direction movable portion 2005 is moved in the direction of the ¥ in a vacuum in a non-contact state. The double grooves 2G18 and 2G17 are formed in a state of surrounding the hydrostatic bearing on the surface of the hydrostatic bearing 9a provided with the movable P 20^5. Regarding the hydrostatic bearing, a known configuration can be used, and the description thereof is omitted here. = 18A, 18B can be clearly seen 'the direction of the movable direction of the X-direction movable part 2006 in the w direction is formed into a concave shape with an opening above, and has the same static bearing and groove as the factory:::Π _5, on the worktable 20 °7 is a non-盥X square bamboo two can move freely. By the combination of the second end of the movable portion 2005, the test (4) W, that is, the electric beam irradiation unit 2〇〇2, can be moved to the water, and the desired position on the test piece can be irradiated with charged electrons, 试 test piece = Second, in the bulk manufacturing process, the defect is detected by using a defect to the test piece such as a semiconductor wafer. The secondary electrons are inspected for the defect inspection. The application of the defect (Revision page) 312765 10 1288424 is like the technique of knowing the technology to automate and streamline the defect inspection. The technique detects the secondary electrons and compares the graphic image data of the surface of the test piece on the surface of the test piece with the reference image data of the surface of the test piece which is memorized by computer, and then automatically calculates the result according to the calculation result. Determine if the test piece is defective. Nowadays, in particular, in the field of semiconductor manufacturing, the high definition of graphics is progressing, and the demand for detecting fine defects is gradually increasing. In this state, the defect inspection device for applying the above-described image recognition technology also requires a higher understanding of the method of continuously moving the test piece, and scanning the electron beam in a direction perpendicular to the moving direction ( Kaiping 1 (M34757). The electron beam is applied to the surface of the test piece in an oblique direction, and the surface of the test piece is a secondary element but the projection in the direction of the -axis is at an equal interval. Knowing that the electrons of the electronic robbing of the plurality of electrons are divided into complex electronic lines, so that the electronic wires are scanned in the - direction, and the method of continuously moving the test piece in the right angle direction to perform the inspection is also known. A mask pattern suitable for a semiconductor device or an electron beam device formed for defect inspection of a semiconductor wafer= shape is known to have a plurality of light beams which are irradiated by an open plate having a plurality of openings: two pieces 'The secondary electrons that are released from the test piece are then placed on the detector surface to check the intensity of the sub-line of the electron beam discharged from the defective shotgun on the test piece. However, the above-mentioned conventional device is installed. Does not consider the electrical angle dependence, and does not care about the angle of illumination. (Revision page) 312765 11 1288424 -= That is, the electron beam released by the electron is in the direction of the wire although the highlight HI sub-f is not considered along with the optical axis The brightness (strength) of the electron beam is gradually reduced. The detection rate of the secondary electrons emitted by the pattern is obtained in the vicinity of the optical axis, but the position is detected at the position away from the optical axis. The problem of the electric detection rate is not considered in the conventional electronic wire device. The electronic wire device for applying a plurality of electronic wires to the inspection and the wire width measurement of the circuit having the fine circuit pattern of the super LSI circuit It is known to the A. Such an electronic wire device using a plurality of electronic wires is a solution for solving the problem that the fine circuit pattern is too f in one electronic line. For example, in the electronic wire device of the plurality of electronic wires, for example, The electron emitters are arranged in a matrix-shaped electron beam device. Since the interval between the detectors of the reflected electrons (secondary electrons) is extremely small, in order to solve the problem, the illumination is received. The reflective electrons or secondary electrons in the field are easy to enter, and the "failure of detection accuracy" cannot be known. There is a method of providing an aperture between the test piece and the detection surface. The image on the test piece is scanned by an electronic line. In order to check the 〇·(6)程<also lacks fe'' ^ to solve the problem that it is too time-consuming to reduce productivity, and the electrons are irradiated by a single electron to the mask having a plurality of 幵1 An electronic wire device for forming a plurality of electronic wires. -= A test piece having a minimum line width of 装置·l //m or less is a piece of material, and a P-heart is inspected by the sun. On the other hand, there is a check and evaluation device using an electronic wire. Although the electronic line has a resolution of the South, the productivity is too small and there is a problem in the productivity (revision page) 312765 1288424. In order to increase the productivity, the electron beam is laid by the plurality of electron beams, and the electron beam emitted from the single electron gun is irradiated to the plurality of openings, and the surface of the test piece is scanned by the electron line passing through the opening of the temple (hereinafter referred to as the test piece surface). Then, the electron beam device for guiding the secondary electrons generated by the respective images to the detectors of the plurality of detectors is known. - The scanning result of the four electron beams is evaluated for the gas = surface = precision formed on the semiconductor wafer or the like. It is necessary to consider the height of the test piece: 匕, because the height of the test piece is different, the surface of the test piece = the electron line is focused on the opposite of the pair of lenses of the figure: the focus condition is deviated and the resolution is lowered, so that the The implementation of the second grade as the release of the judgement has been proposed to test the height of the test piece using the reflection of the test piece, and then determine the knot =;, =: =, the electronic learning system of the test piece, control two:: The current and the electric tree are electronically focused on the electrical system. However, the space between the bevel + face and the underside of the electron optical system is set as follows: :\ by = the interval between the test pieces is lower than the actual f To be A, 2 = face and electron The optical system can not ignore the problem of the electron optical system. ^ If the interval is increased, the focus and electro-optical system of the electro-optical system must be solved at the same time. There is no proposal. 'The problem of the difference, but the method is 'electronic For the focusing of the optical system, not only the distance between the test piece surface and the electronic light (correction page) 312765 13 1288424, but also the charging state on the test piece surface and the space charge effect of the electronic wire must be considered. Electron optical measurement of the focus-related parameters of the electro-optical system may cause errors. - Furthermore, when the excitation current of the magnetic lens included in the electro-optical system is adjusted and the focus is actually set, it is necessary to have a longer Since the excitation current is set to a predetermined value until the focal length of the optical 2 optical system reaches a stable time, that is, a long settling time is required, so that it is difficult to perform focusing at a high speed. When the focus of the electro-optical system is changed by changing the excitation voltage of the electrostatic lens, it is necessary to change the high voltage applied to the electrostatic lens, so that a longer settling time is also required. In addition, the problem of low productivity is also evaluated by using an electronic wire. The present invention has been made to solve the above problems, and an object thereof is to provide an electronic teaching device that applies electro-optics and performs focusing of an electro-optical system in a short time, and uses A method of manufacturing a semiconductor device of the device. When performing defect inspection on a test piece having a device pattern having a minimum line width of 〇·1//m or less, if the light is used, the resolution is limited by the refraction of light. Therefore, there is an inspection and evaluation device using an electronic wire. Although the electronic wire is used to improve the resolution, the productivity is too small, and there is a problem in productivity. In order to increase the productivity, the electronic wire device using a plurality of electronic wires is about to be single- The electron beam is discharged from the plurality of openings, and the test piece is scanned by the electron wires passing through the openings, and the secondary electrons emitted from the images are crosstalked to the detectors to check the test pieces. The electronic line device has an application. For the observation and evaluation of the test piece containing the insulating material, there are various kinds of 14 (correction page) 312765 1288424 Π Π 技术 , , , , , , , , , , , 扫描 扫描 扫描 扫描 扫描 扫描 扫描 扫描 扫描 扫描 扫描 扫描 扫描 扫描 扫描 扫描 扫描 扫描 扫描 扫描 扫描 扫描 扫描A device for charging a charge function in a ping-charge state (-eup) state, such as a line current, an absorption power to a test piece, an amount of reflected electrons from an irradiation device, and a secondary electron emission amount, is known. Conventionally, the electric field and the magnetic field are orthogonal to each other. The particles are straight in the direction orthogonal to the electric field and the magnetic field to carry out the energy division type energy _ _. The filter cancels the biasing action of the electron line generated by the electric field by the electric power generated by the magnetic field, and only allows the charged electrons having a specific energy in the electron line to go straight. The above ExB type energy filter has been proposed as shown in Fig. 54. As shown in Fig. 54, 6001 and 嶋' are magnetic reversals held at the ground potential, and 6002 and 6002 are electrodes. The electrode 2 is applied with electricity (4), the electrode 6002' is applied with electricity-V, and the absolute value of the two micro-materials (4) is equal and the y-electron is straight into the direction orthogonal to both the electric field and the magnetic field, that is, /, the direction of the surface of the figure is vertical. 15 1 φ ^ on the surface of the test piece such as a half-body sun circle or the like by irradiating a twisted wire of an electron beam or the like on the surface of the test piece by a pattern of a semiconductor circuit or the like = or a pattern formed on the surface of the test piece The device that performs the inspection, or the device that uses the electron beam to perform ultra-precision twisting on the test piece, uses the platform that locates the test piece with good precision in the real S. When the positioning of the upper αι σ is very high precision, it is used for the work of the table [bearing ^ non-contact type support. Here, in order to make the static pressure car: ', the pressure gas is not directly exhausted in the vacuum chamber, the differential exhaust mechanism for discharging the high-pressure gas is formed in the static consumption to maintain the true (Revision page) 312765 1288424 Vacuum degree of the empty chamber. Figs. 18A and 18B show an example of the conventional one of the conventional techniques. As shown in the figure, the housing 2008 constituting the vacuum chamber C is mounted to generate a charged electron line. The front end portion of the lens barrel 2〇〇1 of the charged electron beam device irradiated with the test piece, that is, the charged electron beam irradiation unit 2000. The test piece S is detachably held by the test piece stage 2004. Regarding the 18A, 18B The other structure of the table shown in the drawing will be described later. & A differential exhaust mechanism is provided around the hydrostatic bearing 20091) so that the high-pressure gas supplied to the hydrostatic bearing does not leak into the vacuum chamber c. The differential venting means forms a double groove 2017 and 2018 around the hydrostatic bearing 2〇〇9b, and is vacuumed by a vacuum pipe and a vacuum pump (not shown). The Y-direction movable portion 2005 is vacuumed by the above configuration. Non-contact type ^ support and move freely in the γ direction. 2〇17 and 2〇18 are formed in a state of surrounding the hydrostatic bearing on the surface of the hydrostatic bearing 200913 provided with the y-movement portion 2005. The movement of the γ-direction movable portion 2〇〇5 and the χ-direction movable portion 2006 is performed. By combining, the test piece s can be moved to an arbitrary position in the horizontal direction to the front end portion of the lens barrel, that is, to the charged electron beam irradiation portion 2002, so that the desired position on the test piece can be irradiated with the charged electron beam. The combination of the hydrostatic bearing and the differential exhaust mechanism is equipped with a differential exhaust mechanism. Compared with the static pressure bearing type platform used in the atmosphere, the structure is complicated and large, so that the reliability of the work platform is low and becomes high. Cost = Problem. In the electronic material system, a method of applying a symmetrical magnetic double lens (dGublet 丨 (4)) is known for the method of correcting the magnification color difference and the rotational color difference. The electrostatic lens system does not have a rotational color difference. Therefore, the symmetrical double lens correction (correction page) 312765 16 1288424 - magnification color difference. With the high integration of the semiconductor package and the refinement of the pattern, it is possible to inspect the apparatus with high decomposition, energy, and high productivity. 1〇〇nm When the defects of the wafer substrate are designed to be required, the decomposition energy of 100 nm or less is required, and the increase in the number of manufacturing processes and the inspection amount by the device are required, so that high production i is required, and the inspection progresses with the multilayering of the device. The device also requires the function of detecting the contact failure (electrical defect) of the wire for connecting the wiring between the layers. At present, the defect inspection device using the optical method is mainly used, but the viewpoint of the decomposition energy and the inspection of the contact failure is expected in the future. The defect inspection apparatus using an electronic wire is the mainstream of the inspection apparatus instead of the light type, but the electronic wire type defect inspection apparatus has a disadvantage in productivity as compared with the apparatus which does not apply light. At present, it is required to develop a high-decomposition energy, high productivity, and electrical inspections. The decomposition by the light method is a limit of 1/2 of the wavelength of the light to be used, and the practical visible light is 〇·2//ιη. On the one hand, in the method of using an electron beam, a scanning electron beam method (SEM method) is generally put into practical use, and the decomposition energy is 〇·1/am, and the inspection time is 8 small/grazing (2 〇cm wafer). The electronic wire method has the remarkable feature of being able to check for electrical defects (disconnection of the wires, poor conduction, poor continuity of the wire ends, etc.). However, due to the very long inspection time, it is expected to check the development of a fast defect inspection device. Since the inspection apparatus is generally expensive and has a lower productivity than other processing apparatuses, it is currently used only after an important process, for example, after etching, film formation, or CMp (Chemical Mechanical Polishing) flattening treatment. (Revision page) 312765 1288424 An inspection apparatus using an electronic line scanning (SEM) method will be described below. The SEM type inspection apparatus focuses the electron beam into a thin line (the line diameter corresponds to the decomposition energy) and scans the electron beam in a linear shape. On the one hand, the upper stage is moved in a right angle direction of the scanning of the electron beam to illuminate the observation field with a planar electron beam. The scanning width of the electronic wire is generally 1 〇 〇 # m. The secondary electron generated from the test piece by the above-mentioned electron beam (referred to as a primary electron line) focused on a thin line is detected by a detector (sc inti 1 lat or + photo multi pi er) or a semiconductor method. Detection is performed by a detector (PIN diode type) or the like. Then, the coordinates of the irradiation position are combined with the amount of secondary electrons (signal intensity) to be imaged, and memorized in the memory device, or the output image is on the CRT (cathode ray officer). The above is the principle of SEM (Scanning Electron Microscope), and the artifact obtained in this way is used to inspect the defects of the semiconductor (usually Si) crystal in the process. The inspection speed (equivalent to productivity) is determined by the amount (current value) of the primary electron beam, the electron beam diameter, and the response speed of the detector. Electronic wire diameter 〇 · 1 /zm (considered as decomposition energy), current value 1〇〇nA, detector response speed 100MHz is the current highest value, the inspection speed of this state is 2μm diameter wafer A herd is about 8 hours. This inspection speed is too slow (1/20 or less) to be a big problem (disadvantage). On the one hand, in order to improve the inspection speed of the SEM method, an SEM (complex electron SEM) using a plurality of electron lines is known. Although the method can improve the inspection speed of the number of the plurality of electronic wires, the plurality of electron wires are required to be obliquely incident, and then the plurality of electron beams reflected from the test piece are taken out obliquely, that is, the detection benefit can only be obtained. The secondary electrons emitted by the test piece in the oblique direction are raised, 18 (correction page) 312765 1288424. Since the image is shadowed and it is difficult to separate the individual electron lines from the plurality of electronic lines, the secondary electronic signals are mixed with each other. problem. SUMMARY OF THE INVENTION The defect inspection device of the SEM is small in size, and of course, the size of the object is small and the scanning line width is small. Further, if the electron beam is increased to increase the productivity, the crystal having an insulator on the surface is charged, and there is a problem that a good SEM image cannot be obtained. In the case of a device using a plurality of electron beams, not only the electro-optical system but also the entire configuration of the device is still unknown, and the interaction between the electro-optical system and other attached systems has not been known. In addition, as the diameter of the wafer to be inspected progresses, the accompanying system needs to be able to correspond thereto. The present invention has been made in view of the above problems, and an object of the invention is to provide an electro-optical system using a plurality of electron beams, and an inspection apparatus for improving the productivity by combining the electro-optical system and other constituent devices constituting the inspection device. . θ = Another object to be solved by the invention is to provide an inspection apparatus capable of inspecting an inspection object with good precision in order to solve the problem of charging of the SEM problem. Another object to be solved by the present invention is to provide a device manufacturing method which is excellent in yield by inspecting an inspection object such as Crystal® using the above-described inspection apparatus. This month's k is an apparatus for performing an inspection on a patterned inspection object to inspect the pattern of the inspection object. The inspection device includes an electron source lens, an ExB separator, and at least one enlarged lens, and (correction page) 312765 19 1288424 irradiates the first electron beam to the inspection object for forming a plurality of primary electron beams. The secondary electrons emitted by the object are accelerated by the object lens and separated by the ExB separator, and then the secondary electron image is projected by the enlarged lens of at least one of the foregoing. The inspection apparatus further includes: a detector for detecting a plurality of secondary electron images projected by the electro-optical system; and a table device for holding the inspection target to move relative to the electro-optical system; The working device is housed and controlled in a vacuum environment; the aforementioned work in the aforementioned working chamber. a loader for supplying an inspection object on the apparatus; a potential application mechanism provided in the φ working chamber to apply a potential to the inspection object; and a viewpoint to determine the position of the inspection object to the electron optical system 'Τ' A describes the surface of the object to be controlled to control the adjustment (ai (10) adjustment control skirt. The aforementioned vacuum chamber is supported by a vibration interrupting device for blocking vibration from the ground.

^上述檢查裝置之前述裝載器以具備··各能於其獨立的 環境内控制之第)裝室及第2裝载容室;將前述檢查 對象搬運於第U載容室内與其外部之間的第α運部; 設在前述第2裝載容室之用以搬運前述檢查對象於前述第 1裝載容室内與前述工台裝置上之間的第2搬運部,又前 述j裝置更具備為供給檢查對象於前述裝制而間隔之 小型環境(mini environment)空間亦可。 又可具備㈣前述工台裝置上之前述檢查對象之座 干置’由前述調整控制裝置利用存在於 -對象之圖形以衫檢查對象之座標,於此之對於前述 (修正頁)312765 20 1288424 查對象的位置調整以包含在前述小型環境空間内實行之粗 位置調整,及於前述工台裝置上實行之χγ方向的位置調整 及旋轉方向的位置調整亦可。本申請案之另一發明為用檢 查裝置對製造工序中或其後的晶圓實行缺陷檢查之裝置製 造方法。 習用之裝置未能防止複數之電子線間的串擾,無法以 良好效率檢測由試件面放出之二次電子。本發明以提供能 防止串擾而以良好效率將放出之二次電子導入檢測器之帶 電粒子線裝置為目的。 本發明之帶電粒子線裝置1 000含有至少一個以上之 複數之一次帶電粒子線照射於試件之一次光學系,及將二 次帶電粒子導入至少一個以上之檢測器的至少一個以上的 二次光學系,而前述複數之一次帶電粒子線互相為對自前 述二次光學系之距離分解能為離開的位置實行照射。又對 前述一次光學系設有使前述一次粒子線以比較前述複數之一 次帶電粒子線之照射位置間隔更寬的間隔實行掃描的功能。 上述以靜壓軸承與差動排氣機構組合之如第18A及B 之工台於移動工台時,靜壓軸承2009對向之面2006a及 2007a為往復運動於靜壓軸承部之高壓氣體環境與容室内 的真空環境間。此時於導引面露在高壓氣體環境之間吸著 氣體,而露出在真空環境時則將吸著的氣體放出的狀態將 重複。因此每於移動工台時,容室内的真空度將發生惡化 的現象’構成不能用上述帶電電子線安定的貫行露光、檢 查及加工等以致污染試件的問題。 21 (修正頁)312765 1288424 嫌本發明所欲解課題之一為提供能防正冑空度的降低而 用π電電子線安定的實行檢查及加工等處理之帶電電子線 裝置。本發明欲解決之另一課題為提供具有對靜壓軸承之 非接觸支持機構及差動排氣之真空密封(seal)機構以在帶 電電子線之照射領域與靜壓軸承之支持部間產生壓力差 帶電電子線裝置。、 ^本發明欲解決之另一課題為提供減低由面對靜壓軸承 之零件表面放出之氣體的帶電電子線裝置。本發明欲解決 之又一課題為提供用上述帶電電子線裝置以檢查件 之缺陷檢查裝置,或提供於試件表面描晝圖形之露光裝置。 本發明欲解決之又一課題為提供用上述之帶電電子線 裝置以製造半導體裝置之半導體製造方法。 夕本發明提供將試件載置在χγ工台上,將該試件在真空 中私動至任意的位置以對試件面照射帶電電子線的裝置 =〇〇。該裝置於其ΧΥ ι台設置靜壓軸承之非接觸支持機構 ”差動排氣之真空密封機構,並設使該試件面上之帶電電 子線照射的部位與該χγ工台之靜壓軸承支持部之間的導 通(咖dUctance)變小的間隙部,使帶電電子線照射領域盘 靜壓軸承支持部之間產生壓力差。 〃 ^依丰㈣對於載置試件之Π玉台的支持機構為應』 虎壓軸承之非接觸支持機構,並於靜壓軸承之周圍設置 動排氣之真空密封機構以使用在靜壓軸承之高壓氣^不 漏入真空容室内’由而工台裝置在真空内發揮高精度的 置決定,再由形成以減少與帶電電子線照射装置2ι〇〇間 (修正頁)312765 22 1288424 -導通的間隔部,因此工台的滑動(slide)部由高壓氣體部移 動至真空環境時即使吸著在滑動部表面的氣體放出,該放 出之氣體亦不會到達帶電電子線照射位置,因此帶電電子 線照射位置的壓力不容易上升。亦即由於上述的構成,能 使试件面上之帶電電子線照射位置之真空度安定,並由於 能以兩精度驅動工台,因而能不污染試件表面以高精度對 試件實行帶電電子線的處理。 本發明之帶電電子線裝置2200以前述間隔部裝有差 動排氣構造為其特徵。依該發明於靜壓軸承支持部與帶電籲 電子線照射領域之間設置間隔部,於該間隔部内設置真空 排乳徑路使其具備差動排氣功能,因此由靜壓軸承支持部 放出的氣體大致不通過間隔部而到達帶電電子線照射領域 侧。由此能使帶電電子線照射位置之真空度更安定。 、抑本發明之帶電電子線裝置23〇〇以前述間隔部具有冷 截(cold trap)功能為其特徵。一般在1〇_7以以上的壓 力邊域’其在真空中之餘留氣體及由材料表面放出之氣體 的主要成分為水分子。因此如能將水分子以有效率的排出 即月'谷易而安定的維持高真空度。因此如於上述間隔内設 置冷部至100 C至-200 C程度的冷截器,則可將靜壓轴承 」放出之氣體以冷截裔珠結捕集,使放出氣體不易通過帶 :電子線照射領域側,因此容易安定的保 吉^域的真空度。上述冷截器不但對水分子有效,對清淨 八空之土礙要因的油類等之有機系氣體分子之除去亦有效 則不彳吝玄。 (修正頁)312765 23 1288424 本發明之帶電電子線裝置2400以前述間隔部在帶電 電子線照射位置之近傍及靜壓軸承近傍之二處設置為其特 徵。依本發明由於將減小導通之間隔部設在帶電電子線照 射位置之近傍及靜壓軸承近傍的二處,因此介由小導通將 真1谷至内分割為帶電電子線照射室、靜壓軸承室及其中 間室之三室的狀態。而各室之壓力為依低壓的順序構成帶 電電子線照射室、中間室、靜壓軸承室。 依上述的構成,在靜壓軸承室發生放出氣體的壓力上 升日守,由於該室原本為設定壓力於較高的室,因此可將壓 力變動率抑制。對中間室之壓力變動則由間隔部更加抑 制,又對〒電電子線照射室的壓力變動更由再一段的間隔 部更加減低,由而能將壓力變動減低至實質上不成問題的 程度。 Θ本發明之帶電電子線裝置以供給於前述工台之靜 壓軸承的氣體為乾氮氣或高純度之非活性氣體為其特徵。 又對於則述XY l台之呈少面對靜壓軸承的零件表面施加 咸低八放出氣體之表面處理為其特徵。如上所述,於靜塵 轴f部之露出於高壓氣體環境之1台㈣動部,在其表面 ,著3在N壓氣體的氣體分子,於滑動部露出於真空環境 時’吸著的氣體分子由表面脫離成為放出氣體而使真空度 惡化。而為要抑制真空度的惡化則有必要減 分子的量及盡逮將吸著的氣體分子排氣。 者之孔體 氣體 為達到上述目的,以充分的除去供給靜絲承之高廢 之水分的乾氮氣或高純度非活性氣體(例如高純度之 (修正頁)312765 24 1288424 氮氣體),由此從高愿氣體除去容易吸著在表面而不易脫離 之氣體成分(有機物及水分等)為有效。如氮素之非活性 體比水分及有機物其對表面的吸著率極低,並且由表面= 脫離速度極快。因此如用高壓氣體中盡量除去水分及有機 物成分的高純度非活性氣體,則在滑動部由靜壓轴承部移 動至真空環境時’其放出氣體量少,並且放出氣體量的= 減亦迅速而減小真空度的惡化。由此可抑制工台移 : 壓力上升。 又對工台的構成零件,特別對其中往返於高壓氣體環· 境與真空環境之零件表面實施使其與氣體分子之吸著能量 降低之表面處理亦為有效。表面處理而言,如母材為金屬 時可考慮 TiC(Titan carbide)、TiN(Titan nitride)、鍍 鎳、不動態化處理、電解研磨、複合電解磨、 喑 畫一一w,又如母材為Sic陶:: 慮用CVD之細密的SiC塗層。由此更能降低於移動工台時 之壓力上升。 口、 本發明為使用前述裝置以檢查半導體晶圓表面之缺陷· 的晶圓缺陷檢查裝置。於此之工台的位置決定性能為高精 度並且能貫現帶電電子線之照射領域的真空度為安定的檢 查裝置,因此能提供檢查性能高並不致污染試件之檢查裝 置。 一、 本發明為使用前述裝置以對半導體晶圓表面及以標線 (reticule)描晝半導體裝置之電路圖形的露光裝置。於此 由於工台之位置決定性能為高精度,並能實現帶電電子線 (修正頁)312765 25 1288424 照射領域之真空度為安定的露光裝置,因此能提供露光精 度高而無恐污染試件之露光裝置。 本發明為使用前述裝置之製造半導體的半導體製造方 法。於此由於使用工台之位置決定為高精度,並且帶電電 子線照射領域之真空度為安定的裝置製造半導體,因而能 形成微細的半導體電路。 依習用技術時,由於照射一次電子線於試件表面之被 檢查領域所取得二次電子線的晝像與預先準備之基準晝像 之間發生位置偏差,因而構成降低缺陷檢查精度的問題。φ 該位置偏差於一次電子線的照射領域對晶圓發生偏差以致 檢查圖形之一部分從二次電子線的檢測晝像内失落時特別 成為大問題,而只靠在檢測晝像内使匹配領域最適化的技 術並不能對處該問題。以上特別對於高精細圖形的檢查成 為致命的缺點。 $本發明有鑑於上述事實,以提供防止被檢查晝像與基 準晝像之位置偏差之缺陷檢查精度之降低的缺陷檢查裝置 為目的。又本發明之另一目的為提供於半導體裝置之製造 工2由使用如上述之缺陷檢查裝置對試件實行缺陷檢查而 圖得裝置製品之成品率提高及防止缺陷製品被出貨之 體製造方法。 、人為解決上述的課題,本發明之缺陷檢查裝置3〇〇〇為用 =查試件之缺陷的缺陷檢查裝置,由包含:對試件上由 =刀的重合以取得互相變位之複數的被檢查領域之晝像的 晝像取得手段;用於記憶基準晝像的記憶手段;用晝像取 (修正頁)312765 26 1288424 -f手段所取得之複數的被檢查領域各晝像與記憶在記憶手 丰又之基準晝像貫行比較以判斷試件之缺陷的缺陷判斷手段 所構成。檢查對象之試件可以為檢查缺陷之任意的對象, 本發明尤以半導體晶圓為對象時能達成優良的效果。 本發明由畫像取得手段對試件上部分的重合而取得互 相變化之複數的被檢查領域之各晝像,而由缺陷判斷手段 將取得之複數的被檢查領域畫像與預先記憶之基準晝像比 車义以判斷試件的缺陷。如上所述,本發明由取得位置不同 之破檢查領域的複數晝像,因此可將對於基準晝像之位置 偏差少之被檢查晝像於後工序選擇的利用,由而能抑制因 位置偏差構成之缺陷檢測精度的降低。再則於試件及晝像 取得手段通常於檢查圖形之一部分由被檢查畫像領域失落 的位置關係時,亦由於網羅互相有位置偏差之複數的被檢 f領域的晝像之任一領域其含有全部檢查圖形的可能性極 问’因此能防止如上所述因失落圖形之一部分構成之缺陷 檢測錯誤。 、比較手^又例如以取得之複數的被檢查領域之各晝像與 基準晝像之間貫行所謂匹配演算,於複數之被檢查領域中 至J有一晝像與基準晝像實質的無差時判斷當該試件為無 缺。反之如全部被檢查領域的畫像與基準晝像實質的有 差時,則判斷當該試件有缺陷以實行高精度缺陷檢測。 本發明之較佳態樣為更包含以一次帶電粒子線照射於 複數之各被檢查領域,使當該試件放出二次帶電粒子線的 帶電粒子照射手段3100,其晝像取得手段由檢測複數之被 27 (修正頁)312765 1288424 檢查領域放出之二次帶電粒子線以順次的取得該複數之被 檢查領域的畫像。於此之帶電粒子線最好為電子線。 又最好上述帶電粒子照射手段具備放出一次帶電粒子 之粒子源及使一次帶電粒子偏向的偏向手段,而由偏向手 段使由粒子源放出之一次帶電粒子發生偏向而使該一次帶 電粒子順次照射於複數之被檢查領域。依本態樣由偏向手 奴旎谷易變更輸入晝像的位置,因此能以高速取得複數之 位置不同之被檢查晝像。 依本發明之又一態樣為以含有將一次帶電粒子線照射 於試件之一次光學系,及將二次帶電粒子導入檢測器之二 :人光學系為其特徵。本發明之另一態樣的半導體製造方法 為包含使用上述各態樣之缺陷檢查裝置以對加工中或完成 品之晶圓實行缺陷檢查 的工序。 兄本發明之另一態樣及作用效果由以下的說明更明白。 如前述用習用技術由-個電子槍只能產生三個程度之小數 的電子線,因而有必要排列多數的鏡筒。又於前述裝置之 電子光學系有需要部分為半球上之檢測電極。又由於習用 技術為對微小的檢查領域順次檢查的方式,有需要頻繁的 :換電子線照射的檢查領域,因此必需將當該檢查面(試件 時=的私動,移動所需時間成為浪費,以致全體檢查需長 提供能解決如上述習用技術之問題 ,仃檢查之電子線裝置為目的。即 置侧含有對試件面上照射複數之—次電子線的—^ (修正頁)312765 28 1288424 .子線照射裝置’及制由形成在試件面上之減的各個一 -人::線照射點之二次電子線的二次電子檢測器’而為移 動著試件以檢測由試件之預定領域之二次電子線的電子線 裝置,其一次線照射裝置為將形成在試件面上之一次電子 線照射點配置成在試件的移動方向為虬行,與其直角方向 為Μ列,並且上述一次電子線照射點之第丨行至第N行之 各行為順次依試件移動方向成直角方向以一預定量移 其特徵。 ^具體言之,上次一次電子線照射裝置具有電子搶,及 文到電子搶放出之電子而形成複數之電子線以形成上述Ν 行Μ列之—次電子線照射點之設有複數之開口的開口板, 上述開口為設在上述電子搶放出之電子在預定電子密产的 範圍内。更具體言之,上述各—次電子線照射點“。 件之上述私動方向為直角方向只掃描(上述列間之間 隔)/(上述行之數Ν)切(α為相鄰之列的一次電子^射 點貫行重複掃描的幅度,可設在,至侧,通常為設 描幅之约10%以下)。如上的設定對試件之移動方向之直 方向的電子線照射幅可得較寬,而以其較寬的電子線昭 幅連續的對試件實行檢查。上述Μ Ν各為獨、二 的整數。 乂上 一-人電子檢測器所檢測之二次電子線可使對 面之缺陷载、試件面上形成之積體電路之配線測=1 位對比測定、相合精度測定等各種測定。 又於上述的電子線裝置,其一次電子線照射裝置亦可 (修正頁)312765 29 1288424 備有複數之上述電子搶及與其對應之複數的上述開口板, 各電子搶及其對應之開口板各具有形成照射上述試件面之 t述稷數之一次電子線的複數之一次電子線照射系,各一 次電子線照射系之一次電子線不與其他之一次電子線照射 ::-次電子線干涉’及將上述二次電子檢測器與上述各 -人電子線照射系對應的設置複數。如此則能以更寬的掃 描幅移動試件實行檢查,更提高檢查效率。 、本發明為對於用複數電子線照射於試件,並用複數檢 =檢測由該試件之二次電子的電子線裝置,以提供解決 人電子之光軸上的電子線及先軸外之電子線之強度不同 :問題,使一次電子之各電子線為大約相同電子線強度的 電子線裝置為目的。 w又本發明之用複數電子線照射於試件,並用複數檢測 該轉之二次電子的f子線裝置侧,以提供解 心Γ之光_近放出之二次電子的檢測效率比由離 2 Ί的位置之二次電子的檢測效率為高的問題,使由試 :之t次電子的撿測效率大致為均—化的電子線裝置為目 〜。發明又以提供應用上述裝置以對製造過程中之裝置 貫仃評價之方法為目的。 於呈上述的問題’以自電子線源放出之電子線照射 口㈣口板所得複數之開口像射入試件, 學系異*出次電子徑-次光學系分離而射入二次光 署少: 人光予系擴大以投影在檢測器面的電子線裝 而於人光學系之透鏡作成之電子線源之像的位置更 (修正頁)312765 30 1288424 子線源側的位置設單—的開口板,並使設該開口 ^光軸方向位置對射人試件面之各開口的電子線強度差 為截^ λΙ、。 門μ所述由於使射人試件面之複數電子線之各電子線 ^子線強度差於最小限,使得光轴附近之電子線與離 、“軸位置之電子線間的電子線強度差縮小而均勻的射入 試件面,因此能提高檢測、測定精度。 又由於縮小射入試件面之電子線強度差而能增大電子 線數’用複數電子線照射大範圍,因此能提高檢查、測定 ★依本發明為對於以電子線源放出之電子線照射於具有 複數之開口的開口板所得複數之開口像射入試件,由該試 件放出之二次電子用一次光學系分離而射入二次光學系, 緃一次光學系將其擴大後投影於檢測器面的電子線裝置, 而於一次光學系之透鏡作成之電子線源之像的位置更偏離 於電子線源測的位置設單一的開口板,該偏離量為設定於 將無圖形之試件放置在試件面時所得二次電子之檢測量在 前述複數之開口間的差為最小。 如上所述,由於使二次光學系之檢測器其二次電子之 檢測量於開口間為最小限,因此能抑制二次光學系之二次 電子之檢測率的不均,由而能實行更高精度的檢查及測定。 本發明以使用前述電子線裝置對製造過程中之晶圓實 行5平價為其特徵。由於使用本發明之電子線裝置對製造過 私中之晶圓貫行評價而能實行更高精度、高效率的晶圓評 31 (修正頁)312765 1288424 ’價作業。 對於用—㈣子搶放出之t子線照射具有複數之開口 2開口板以形成複數之電子線,由該等開σ的電子線以一 學系將其縮小而投影在試件面實行掃描的裝置,由於 二欠光學系的失真而有各電子線不能投影在希望之位置的 問續。又由於將電子線縮小以投影在試件面之一欠光輿 ==收差,因此-次光學系之光軸附近與:軸 之電子線尺寸及形狀有不同的問題。 又使試件放出之二次電子投影在檢測器群所用之二次 2予=亦有收差的問題,而因此構成二次電子不能投 檢測器群之希望位置的問題。 y 本發明為解決習用電子線裝置之上述問題,本發明之 I目的為提供修正—次光學系的失真及二次光學系之收 之其賴和-次光學系之非點收差的電子線装置,本發明 曰圓二Γί提供使用上述電子線裝置以對製造過程中之 :法以丁各種評價以提高半導體裝置的成品率之裝置製造 射於ίits的目的,本發明用電子槍放出之電子線照 的開口板,以通過該複數之開口的一次 電子線的縮小像用_二女氺與会丄 由W影在試件上實行掃描,而 处忒件放出之二次電子線用二次光學李浐大Uπ史/ 檢測器的裝置,而為對前述一次光學以㈡ 狀態設定前述複數之開口的位置。 -貝仃、的 本發明為用電子搶放出之電子線照射於具有複數之開 (修正頁)312765 32 1288424 ;=第1複數開口板,將通過該複數之開口的—大電 的縮小像用一次光學系投影於 、 試件放+沾—A— 卞上貝订知描,而將前述 m杜:一 二次光學系將其擴大並用複數之 ^兀件形成的檢測器對其實行檢測的裝置,並為形成有 2複數開口板為設置在前述檢測器之前面 裝置’而為對前述二次光學系的失真實行修正的 狀悲“又疋形成在前述第2之複數開口板的開口位置。 ^發:月為用電子槍放出之電子線照射於具有複數之開 的開時,將通過該複數之開口的—次電子線的縮小像 =一次光學諸影於試件上實行掃描,而將前賴件放出 的一j電子線的像用二次光學系投影在檢測器的裝置,而 為對前述-次光學系的視野非點實行修正的狀態設定前述 複數之開口的形狀。 本發明為用電子搶放出之電子線照射於具有複數之開 口的開口板,將通過該開口之—次電子線的縮小像用包含 Μ分離器之-次光學系投影於試件上實行掃描,而將該 試件放出之二次電子線的像用照像光學系投影在檢測器, 並:以多通道(multichannel)取得畫像數據的裝置,而為 使前述二次電子線的像對前述Εχβ分離器之偏向主面為結 像在4件伯!I ’並使由前述複數之開口之一二欠電子線的像結 像在前述ΕχΒ分離器的偏向主面上。 月’J述電子線裝置可適用於缺陷檢查裝置、線幅測定裝 置、相合精度測定裝置、電位對比測定裝置、缺陷評價 (review)裝置及頻閃(str〇b〇)SEM裝置等群之一。 33 (修正頁)312765 1288424 ’ 本發明之電子線裝置亦可用複數之前述電子槍的電子 線照射前述試件,由前述試件放出之二次電子線用對應於 前述複數之電子槍所設之複數的前述檢測器檢測。又本發 明之電子線裝置可使用於對製造過程中之晶圓實行評價。 習用技術對於用複數的檢測器檢測二次電子並無明續 的具體方法,又對是否能以高分解能對試件實行檢查•評 價亦不明確。又一次光學系之電子線對試件面為斜方向照 射,其靜電對物透鏡與試件之間並非軸對稱的構造,因此 存在有不能將電子線聚焦成細線的問題。 又將由試件之二次電子用ΕχΒ分離器分離以導入檢測 益的技術雖為公知,但於此之用EXB分離器的電場偏向之 電子線的偏向量及偏向方向對於低能量的電子線與高能量 的電子線間為不同,因而有發生色收差的問題。又於設置The loader of the inspection apparatus includes a first loading chamber and a second loading chamber that are each controllable in an independent environment; and the inspection object is transported between the U-bearing chamber and the outside thereof. The second transport unit is provided in the second loading chamber for transporting the second transport unit between the first loading chamber and the table device, and the j device is further provided for supply inspection. The object may be in a mini environment space that is spaced apart as described above. Further, (4) the seat of the inspection object on the work station device may be provided by the adjustment control device, and the coordinates of the object to be inspected by the pattern of the object are used, and the above-mentioned (correction page) 312765 20 1288424 is checked. The position adjustment of the object includes the coarse position adjustment performed in the small environmental space, and the position adjustment in the χ γ direction and the position adjustment in the rotation direction performed on the table device. Another invention of the present application is a device manufacturing method for performing defect inspection on a wafer in or after a manufacturing process by a inspection device. The conventional device fails to prevent crosstalk between the plurality of electronic wires, and the secondary electrons emitted from the test piece surface cannot be detected with good efficiency. SUMMARY OF THE INVENTION The present invention has an object of providing a charged particle beam device capable of preventing crosstalk and introducing secondary electrons to be emitted into a detector with good efficiency. The charged particle beam device 1000 of the present invention comprises at least one of a plurality of primary charged particle beams irradiated to the primary optical system of the test piece, and at least one secondary optical light for introducing the secondary charged particles into the at least one detector. And the plurality of primary charged particle beams are irradiated to each other at a position away from the distance resolution of the secondary optical system. Further, the primary optical system is provided with a function of scanning the primary particle line at an interval wider than the irradiation position of the plurality of charged particle lines. When the hydrostatic bearing and the differential exhaust mechanism are combined as shown in the 18A and B stages on the moving table, the hydrostatic bearing 2009 facing surfaces 2006a and 2007a are the high-pressure gas environment reciprocating in the hydrostatic bearing portion. Between the vacuum environment in the chamber. At this time, the gas is sucked between the high-pressure gas environment on the guide surface, and the state in which the sucked gas is released when exposed to the vacuum environment is repeated. Therefore, the degree of vacuum in the chamber will deteriorate every time the mobile station is moved, which constitutes a problem that the test piece cannot be contaminated by the above-described exposure, inspection, and processing of the charged electron beam. 21 (Revision page) 312765 1288424 One of the problems to be solved by the present invention is to provide a charged electronic wire device which can perform inspection and processing by using π electric electron beam to prevent the reduction of the positive hollowing degree. Another object to be solved by the present invention is to provide a vacuum sealing mechanism having a non-contact support mechanism for a hydrostatic bearing and a differential exhaust gas to generate pressure between the field of irradiation of the charged electron beam and the support portion of the hydrostatic bearing. Poor charged electronic line device. Another object to be solved by the present invention is to provide a charged electron beam device that reduces the gas released from the surface of a component facing a hydrostatic bearing. Still another object to be solved by the present invention is to provide a defect inspection device for inspecting a member using the above-described charged electron beam device, or a light exposure device for providing a pattern on a surface of a test piece. Still another object to be solved by the present invention is to provide a semiconductor manufacturing method for manufacturing a semiconductor device using the above-described charged electron beam device. The present invention provides a device in which a test piece is placed on a χγ table, and the test piece is privately moved to a position in a vacuum to irradiate the surface of the test piece with a charged electron beam. The device is provided with a non-contact support mechanism of a static pressure bearing in its 台 ” "" vacuum sealing mechanism of differential exhaust, and a portion for irradiating the charged electron beam on the surface of the test piece and a hydrostatic bearing of the χγ table The gap between the support parts is reduced, and the gap between the support parts of the charged electron beam is generated. The pressure difference is generated between the support parts of the plated hydrostatic bearing. 〃 ^Yifeng (4) Support for the Saitama Station on which the test piece is placed The mechanism is a non-contact support mechanism for the pressure bearing, and a vacuum sealing mechanism for moving and exhausting is provided around the hydrostatic bearing to use the high pressure gas in the hydrostatic bearing to not leak into the vacuum chamber. The high-precision setting is performed in the vacuum, and the space is formed to reduce the conduction between the charged electron beam irradiation device 2 ι (correction page) 312765 22 1288424, so that the slide portion of the stage is composed of high-pressure gas. When the part moves to a vacuum environment, even if the gas sucked on the surface of the sliding portion is released, the emitted gas does not reach the position where the charged electron beam is irradiated, so that the pressure at the position where the charged electron beam is irradiated does not easily rise. In other words, due to the above configuration, the vacuum degree of the charged electron beam irradiation position on the test piece surface can be stabilized, and since the stage can be driven with two precisions, the test piece can be charged with high precision without contaminating the surface of the test piece. The charging electron beam device 2200 of the present invention is characterized in that the spacer portion is provided with a differential exhaust structure. According to the invention, a spacer is provided between the hydrostatic bearing support portion and the charged electron beam irradiation region. Since the vacuum draining passage is provided in the partition portion to have a differential exhaust function, the gas discharged from the hydrostatic bearing support portion reaches the charged electron beam irradiation region side substantially without passing through the partition portion, thereby enabling the charged electron beam to be irradiated. The vacuum degree of the position is more stable. The charged electric wire device 23 of the present invention is characterized in that the aforementioned partition portion has a cold trap function. Generally, it is in a pressure margin of 1 〇 7 or more. The main component of the gas remaining in the vacuum and the gas released from the surface of the material is water molecules. Therefore, if the water molecules can be discharged efficiently, it is stable and stable. Therefore, if a cold section with a cold portion of about 100 C to -200 C is provided in the above interval, the gas released from the hydrostatic bearing can be trapped by the cold-cut bead, so that the gas is not easily passed through the belt: The electron beam illuminates the field side, so it is easy to stabilize the vacuum of the Baoji domain. The above-mentioned cold interceptor is effective not only for water molecules, but also for the removal of organic gas molecules such as oils which are caused by the cleansing of the air. (Revision page) 312765 23 1288424 The charging electron beam device 2400 of the present invention is characterized in that the spacer portion is provided at the vicinity of the charged electron beam irradiation position and the vicinity of the hydrostatic bearing. According to the present invention, since the spacing portion for reducing the conduction is provided at the vicinity of the charged electron beam irradiation position and the vicinity of the static pressure bearing, the true-to-valley is divided into the charged electron beam irradiation chamber and the static pressure through the small conduction. The state of the three chambers of the bearing chamber and its intermediate chamber. The pressure in each chamber constitutes a charged electron beam irradiation chamber, an intermediate chamber, and a static pressure bearing chamber in the order of low pressure. According to the above configuration, the pressure at which the released gas is generated in the hydrostatic bearing chamber rises and falls, and since the chamber is originally set to a higher pressure in the chamber, the pressure fluctuation rate can be suppressed. The pressure variation in the intermediate chamber is further suppressed by the spacer portion, and the pressure fluctuation in the neon electron beam irradiation chamber is further reduced by the interval portion of the further section, so that the pressure fluctuation can be reduced to a level which is substantially not problematic. The charged electron beam device of the present invention is characterized in that the gas supplied to the hydrostatic bearing of the aforementioned stage is characterized by dry nitrogen or a highly pure inert gas. Further, it is characterized by the surface treatment of applying a salty gas to the surface of the part which is less than the hydrostatic bearing. As described above, in the (four) moving portion of the high-pressure gas environment exposed to the static dust shaft f portion, gas molecules of the N-pressure gas are present on the surface thereof, and the gas is sucked when the sliding portion is exposed to the vacuum environment. The molecules are detached from the surface to emit gas, which deteriorates the degree of vacuum. In order to suppress the deterioration of the degree of vacuum, it is necessary to reduce the amount of molecules and exhaust the gas molecules that are sucked. In order to achieve the above purpose, the pore gas of the separator is sufficient to remove dry nitrogen or high-purity inert gas (for example, high purity (Revision Sheet) 312765 24 1288424 nitrogen gas) which supplies the high waste water of the static wire. It is effective to remove a gas component (organic matter, moisture, etc.) which is easily detached from the high-intensity gas and is not easily detached from the surface. For example, the inactive body of nitrogen has a very low sorption rate to the surface of water and organic matter, and the surface = detachment speed is extremely fast. Therefore, if a high-purity inert gas which removes moisture and an organic component as much as possible in a high-pressure gas is used, when the sliding portion is moved from the hydrostatic bearing portion to a vacuum environment, the amount of released gas is small, and the amount of released gas is also rapidly decreased. Reduce the deterioration of the vacuum. This inhibits the shift of the work station: the pressure rises. Further, it is effective to perform the surface treatment of the components of the worktable, in particular, the surface of the parts which are moved to and from the high-pressure gas atmosphere and the vacuum environment to reduce the sorption energy of the gas molecules. For surface treatment, if the base metal is a metal, TiC (Titan carbide), TiN (Titan nitride), nickel plating, non-dynamic treatment, electrolytic polishing, composite electrolytic grinding, 喑 painting, and other materials can be considered. For Sic Tao:: Consider the fine SiC coating of CVD. This makes it possible to reduce the pressure rise when moving the platform. The present invention is a wafer defect inspection device that uses the aforementioned device to inspect defects on the surface of a semiconductor wafer. The position of the workbench here determines the high-precision and continuous inspection device for the vacuum in the field of irradiation of the charged electron beam, thereby providing an inspection device with high inspection performance and no contamination of the test piece. 1. The present invention is a light-emitting device that uses the foregoing apparatus to trace the circuit pattern of a semiconductor device to a surface of a semiconductor wafer and a reticule. Because the position of the work bench determines the performance is high-precision, and the charged electronic wire (correction page) 312765 25 1288424 can be used to provide a stable light-emitting device in the field of illumination, thereby providing a high-precision precision without fear of contamination. Exposure device. The present invention is a semiconductor manufacturing method for fabricating a semiconductor using the foregoing device. In this case, since the position of the stage is determined to be high-precision, and the vacuum in the field of charged electron beam irradiation is a device for manufacturing a stable semiconductor, a fine semiconductor circuit can be formed. According to the conventional technique, a positional deviation between the image of the secondary electron beam obtained by the irradiation of the primary electron beam on the surface of the test piece and the reference image prepared in advance is formed, thereby posing a problem of reducing the accuracy of the defect inspection. φ This position deviation is particularly detrimental to the wafer in the field of illumination of the primary electron line, so that one part of the inspection pattern is lost from the detection image of the secondary electron line, and the matching field is optimal only in the detection of the image. The technology is not the right thing to do. The above examination of high-definition graphics is particularly fatal. In view of the above facts, the present invention has an object of providing a defect inspecting apparatus which is capable of preventing a decrease in the defect inspection accuracy of the position of the inspection target and the reference image. Still another object of the present invention is to provide a method for manufacturing a semiconductor device, in which the defect rate inspection of the test piece is performed by using the defect inspection device as described above, and the defective product is shipped. . In order to solve the above problems, the defect inspection device 3 of the present invention is a defect inspection device that uses a defect of the test piece, and includes: a plurality of the test pieces that are overlapped by the = knife to obtain a plurality of mutual displacements. The method of obtaining the image of the image in the field to be inspected; the means of memory used to memorize the reference image; the image of the inspected field obtained by means of the image (correction page) 312765 26 1288424 -f The memory of the hand-picked reference is composed of a defect judgment means for judging the defect of the test piece. The test piece of the inspection object may be any object for inspecting the defect, and the present invention achieves an excellent effect particularly when the semiconductor wafer is targeted. According to the present invention, the image obtaining means overlaps the upper portion of the test piece to obtain a plurality of images of the plurality of examined fields which are mutually changed, and the plurality of examined area images obtained by the defect determining means are compared with the reference image which is stored in advance. Che Yi to judge the defects of the test piece. As described above, according to the present invention, since the plurality of images in the inspection field having different positions are obtained, the use of the inspection target image having a small deviation in the position of the reference image can be used for the selection of the subsequent process, thereby suppressing the formation of the positional deviation. The defect detection accuracy is reduced. In addition, when the test piece and the image capturing means are usually used to check the positional relationship in which one part of the figure is lost from the field of the image to be inspected, it is also included in any field of the image of the detected f field which has a positional deviation from each other. The possibility of all inspection patterns is extremely high. Therefore, it is possible to prevent a defect detection error caused by one of the missing patterns as described above. And comparing the hand ^, for example, to obtain a so-called matching calculus between the respective images of the inspected field and the reference image obtained in the plural, and in the field of the plural inspected, there is a difference between the image of J and the reference image. It is judged when the test piece is indispensable. On the other hand, if the image of the entire inspection area is inferior to the reference image, it is judged that the test piece is defective to perform high-precision defect detection. In a preferred aspect of the present invention, the charged particle irradiation means 3100 for irradiating the plurality of inspected fields with a single charged particle beam to discharge the secondary charged particle beam is used, and the imaging means is obtained by detecting the plural It is the image of the inspection subject area of the plural in the order of the inspection. The charged particle beam here is preferably an electron beam. Further preferably, the charged particle irradiation means includes a particle source for discharging the primary charged particles and a deflecting means for biasing the primary charged particles, and the primary charged particles released by the particle source are deflected by the deflecting means, and the primary charged particles are sequentially irradiated The number of inspected areas. According to this aspect, the position of the input image is changed by the biased hand. Therefore, it is possible to obtain the checked image with different positions at a high speed. According to still another aspect of the present invention, a primary optical system comprising a primary charged particle beam irradiated to a test piece and a second charged particle introduced into the detector are characterized by a human optical system. Another aspect of the semiconductor manufacturing method of the present invention is a process comprising performing defect inspection on a wafer in process or finished product using the defect inspection device of each of the above aspects. Another aspect of the invention and its effects will be more apparent from the following description. As described above, only three electron microwires can be produced by an electron gun, and it is necessary to arrange a plurality of lens barrels. Further, in the electron optical system of the foregoing apparatus, a detecting electrode which is required to be partially a hemisphere is required. In addition, since the conventional technology is a method of sequentially inspecting a small inspection field, there is a need for frequent inspection: the field of inspection for electron beam irradiation, and therefore it is necessary to waste the time required for the inspection surface (the test piece = private movement) Therefore, the entire inspection is required to provide an electronic wire device capable of solving the problems of the above-mentioned conventional techniques, and the inspection is carried out. The side contains a plurality of electron-emitting lines on the surface of the test piece - (correction page) 312765 28 1288424. The sub-line irradiation device 'and the secondary electron detector which is formed by the secondary electron line of each of the one-man:: line irradiation point formed on the surface of the test piece, and moves the test piece to detect the test In the electronic wire device of the secondary electron beam in the predetermined field, the primary line irradiation device is configured such that the primary electron beam irradiation spot formed on the surface of the test piece is arranged in the moving direction of the test piece, and the direction perpendicular thereto is Μ The column, and the behavior of the first to the Nth rows of the above-mentioned primary electron beam irradiation point are sequentially shifted by a predetermined amount according to the moving direction of the test piece. ^Specifically, the last electronic line The ejector device has an electronic rush, and the electrons are rushed to the electrons to form a plurality of electron lines to form an opening plate of the plurality of openings of the sub-electron line irradiation point, wherein the opening is The electrons released by the electrons are within the range of the predetermined electronic density production. More specifically, the above-mentioned respective electron beam irradiation points ". The above-mentioned private movement direction is only the right angle direction scanning (the interval between the above columns) / (the above The number of rows is 切) (α is the amplitude of the repeated scanning of the primary electrons in the adjacent column, which can be set to the side, usually about 10% or less of the width of the image.) The electron beam irradiation width in the direction of the moving direction of the member can be wider, and the test piece is continuously inspected by the wider electronic line. The above-mentioned Μ Ν are each an integer of two and two. The secondary electron line detected by the human electronic detector can measure various defects such as the opposite side of the surface of the integrated circuit formed on the surface of the test piece, and the measurement of the accuracy of the measurement, and the above-mentioned electronic line device. , one electron beam irradiation The device may also be modified (Reflecting Page) 312765 29 1288424 to have a plurality of the above-mentioned electronic boards and the plurality of corresponding opening plates corresponding thereto, and each of the electronic grabs and the corresponding opening plates respectively have a number of turns forming the surface of the test piece. In the first electron beam irradiation of the primary electron beam, the primary electron beam of each primary electron beam irradiation system is not irradiated with another primary electron beam: - the secondary electron beam interference 'and the secondary electron detector and the above - The human electron beam irradiation system is provided with a plurality of corresponding numbers. In this way, the test piece can be moved with a wider scanning width to perform inspection, and the inspection efficiency is further improved. The present invention is for irradiating the test piece with a plurality of electronic wires, and using a plurality of tests = detection by The secondary electron electronic device of the test piece is provided to solve the difference between the electron beam on the optical axis of the human electron and the electron line outside the first axis: the problem is that the electron lines of the primary electron are about the same electron line intensity. The purpose of the electronic line device. And the plurality of electron beams of the present invention are irradiated to the test piece, and the side of the f-sub-device device for detecting the converted secondary electrons is used to provide the light-resolving light _ the near-release secondary electron detection efficiency ratio 2 The detection efficiency of the secondary electrons at the position of Ί is high, so that the electronic ray device with the measurement efficiency of the t-times of the electrons is approximately uniform. The invention further aims to provide a method of applying the above-described apparatus to evaluate the apparatus in the manufacturing process. In the above-mentioned problem, the opening image of the plurality of electron beam irradiation ports (4) released from the electron source is injected into the test piece, and the electronic data is separated from the secondary optical system and injected into the secondary light system. : The position of the image of the electron source that is projected on the surface of the detector and the image of the electron source that is made by the lens of the human optical system is more (Revision page) 312765 30 1288424 The position of the source side of the sub-line is set to a single opening The plate is placed such that the position of the opening in the direction of the optical axis is different from the difference in the intensity of the electron beam at each opening of the test piece surface. The door μ is caused by the difference between the intensity of each electron beam of the plurality of electron lines of the test piece surface, so that the electron line near the optical axis is inferior to the electron line between the electron lines of the "axis position". It is possible to increase the inspection and measurement accuracy by reducing the uniformity of the surface of the test piece, and it is possible to increase the number of electron lines by reducing the difference in the intensity of the electron beam incident on the surface of the test piece. According to the present invention, a plurality of aperture images obtained by irradiating an electron beam emitted from an electron beam source onto an apertured plate having a plurality of openings are incident on the test piece, and the secondary electrons emitted from the test piece are separated by an optical system and injected. In the secondary optics system, the primary optical system expands the electron beam device that is projected onto the detector surface, and the position of the image of the electron source generated by the lens of the primary optical system is more deviated from the position of the electronic line source. In the opening plate, the amount of deviation is set to be the smallest difference between the detection amount of the secondary electrons obtained when the non-patterned test piece is placed on the test piece surface, and the difference between the openings of the plural number is as described above. In the detector of the secondary optical system, the detection amount of the secondary electrons is minimized between the openings, so that the detection rate of the secondary electrons of the secondary optical system can be suppressed, thereby enabling higher-precision inspection. And the present invention is characterized in that the above-mentioned electronic wire device is used to perform a five-price parity on a wafer in a manufacturing process. Since the electronic wire device of the present invention is used to evaluate the manufacturing of a wafer in a private process, higher precision can be performed. High-efficiency wafer evaluation 31 (amendment page) 312765 1288424 'price operation. For the t-line that is released with - (4), the opening has two opening plates with a plurality of openings to form a plurality of electron lines, which are opened by the σ The electronic line is reduced by a department and projected on the surface of the test piece to perform scanning. Due to the distortion of the two under-optical systems, there is a possibility that the electronic lines cannot be projected at a desired position. One of the surface of the test piece has a light 舆 == a difference, so the vicinity of the optical axis of the secondary optical system has different problems from the size and shape of the electronic wire of the axis. The secondary electrons emitted by the test piece are projected on the detector. Used by the group The second 2 is also a problem of the difference, and thus constitutes a problem that the secondary electrons cannot cast the desired position of the detector group. y The present invention is to solve the above problems of the conventional electronic line device, and the object of the present invention is to provide correction. The distortion of the secondary optical system and the secondary optical system are the same as the non-point-receiving electronic wire device of the secondary optical system. The present invention provides the use of the above-mentioned electronic wire device for the manufacturing process: For the purpose of manufacturing a device for improving the yield of a semiconductor device by using various evaluations, the opening plate of the electron beam emitted by the electron gun of the present invention is used for the reduction of the primary electron beam passing through the plurality of openings. The 氺 氺 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄 丄The position of the plurality of openings. - The invention of the present invention is an electron beam that is discharged by electrons and irradiated with a plurality of openings (correction page) 312765 32 1288424; = a first plurality of aperture plates, which will pass through the opening of the plurality of openings An optical system is projected on the test piece, and the test piece is placed on the surface of the test piece, and the m-du: a secondary optical system is expanded and detected by a detector formed by a plurality of elements. The apparatus is formed in the opening position of the plurality of aperture plates, and is provided in the front surface of the detector, and the distortion of the secondary optical system is corrected. ^发发: When the electron beam emitted by the electron gun is irradiated to the opening with a plurality of openings, the image of the secondary electron beam passing through the opening of the plurality of pixels = an optical image is scanned on the test piece, and The image of the one electron beam emitted from the front substrate is projected onto the detector by the secondary optical system, and the shape of the plurality of openings is set in a state in which the field of view of the secondary optical system is not corrected. Electronic grab The outgoing electron beam is irradiated onto the opening plate having a plurality of openings, and the reduced image of the secondary electron beam passing through the opening is projected onto the test piece by the secondary optical system including the Μ separator, and the test piece is released. The image of the secondary electron beam is projected onto the detector by the photographic optical system, and the image data is obtained by multichannel, and the image of the secondary electron beam is directed to the main surface of the Εχβ separator. For the image to be in the form of 4 pieces! I' and the image of one of the openings of the plurality of openings is imaged on the deflecting main surface of the aforementioned splitter. The monthly electronic device can be applied to the defect inspection. One of a group such as a device, a line width measuring device, a matching accuracy measuring device, a potential contrast measuring device, a defect evaluation device, and a stroboscopic (SEM) device. 33 (Amendment page) 312765 1288424 'The present invention The electronic wire device may irradiate the test piece with a plurality of electron beams of the electron gun, and the secondary electron beam discharged from the test piece is detected by the plurality of detectors corresponding to the plurality of electron guns. The invention of the electronic wire device can be used for evaluating the wafer in the manufacturing process. The conventional technology has no specific method for detecting secondary electrons by using multiple detectors, and whether the test piece can be inspected with high decomposition energy. The evaluation is also unclear. Once again, the electron beam of the optical system is irradiated obliquely to the surface of the test piece, and the electrostatic object lens and the test piece are not axially symmetric, so there is a problem that the electron beam cannot be focused into a thin line. Further, although the technique of separating the secondary electrons of the test piece by the helium separator to introduce the detection benefit is known, the bias vector and the deflection direction of the electron line biased by the electric field of the EXB separator are used for the low-energy electron line and The high-energy electron lines are different, so there is a problem of color difference.

ExB分離器時,很難於檢查試件的附近確保有設置偏向器 之空間的問題。 “本發明欲解決之一課題為提供對於照像投影型光學系 之電子線衣置设置βχβ分離器,而用複數之電子線檢查試 件以達到以向生產率實行試件檢查·評價等及高信賴性之 2體的電子線裝置。本發明欲解決之另-課題為提供能將 β電子線聚焦成細線的電子線裝置。本發明欲解決之又一課 題為提供能修正因使用ΕχΒ分離器而產生之色收差子 線裝置。 电丁 ^ i發明欲解決之又另一課題為提供將電子線裝置之光 ’、又置行複數列而能以高生產率及高信賴性實行試件 (修正頁)312765 34 1288424 -之檢查•評價的裝置。本發明欲解決之又一課題為提供由 兼用ΕχΒ分離器及偏向器而能將ExB分離器及偏向器兩者 均能設置在最適當位置之電子線裝置。本發明欲解決之又 一課題為提供使用如上述之電子線裝置以對製造過程中之 試件實行評價之裝置製造方法。 上述課題可由以的手段解決。即本發明之一為具備· 放出電子線之單一的電子槍;設有複數之孔的開口板」具 有複數之透鏡及相互離開而設置之至少二個以上的Εχβ分 離器以將前述電子槍放出之電子線照射於被檢查之試件面 上的一次光學系;以及將前述試件放出之二次電子用前述 ExB分離器内之一自一次光學系分離並射入二次電子檢測 裝置以檢測的二次光學系,而為用前述電子搶放出之電子 線照射在前述開口板以形成複數之孔的像,使 孔的像的位置與前述ExB分離器之各個位置一致,並且由 前述各ExB分離器的電場偏向之電子線的方向從試件面上 看為互相成反方向。由於上述的構成可用複數之電子線以 高生產率及高信賴性對試件實行檢查及評價。又由於能 Μ分離器構成之色收差實行修正,因而能更加將電子線 聚焦成細線而能確保高檢查精度。 …本發明之電子線裝置的另一態樣將前述Εχβ分離器之 電%偏向的電子線的偏向量與由磁場的偏 面上看為互相纽μ並且魏隸為相料構成=件 又如上述之電子線裝置,將Εχβ分離器偏向之二次 徑路為互不干涉的配置成二行的複數列亦可。由此能以高 (修正頁)312765 35 1288424 生產率及高信賴性實行試件的檢查及評價。 本申請案之另-發明為具備:放出電子線之單一的電 子,;設有複數之孔的開口板;具有複數之透鏡及ExB分 ^器而使前述電子搶的電子線照射於被檢查之試件面上的 第1光學系;將前述試件放出之二次電子用前迷ΕχΒ分離 器自第1光學系分離以射入二次電子檢測裝置以檢測之第 2光學系,而為以前述電子搶放出之電子線照射至前述開 1板以形成複數之孔的像,使前述複數之孔的像的位置血 前述ΕχΒ分離器之位置一致,並且使掃描電壓重量於前:In the case of the ExB separator, it is difficult to check the vicinity of the test piece to ensure that there is a problem of setting the space of the deflector. "One of the problems to be solved by the present invention is to provide a βχβ separator for an electronic wire clothing of a photographic projection type optical system, and to examine a test piece by a plurality of electronic wires to achieve high-performance test and evaluation of productivity, and the like. Another object of the present invention is to provide an electron beam device capable of focusing a β-electron wire into a thin wire. Another object to be solved by the present invention is to provide a correction for the use of a helium separator. The resulting color-receiving sub-line device. Another problem to be solved by the invention is to provide the light of the electronic wire device and to perform multiple samples to enable the test piece to be carried out with high productivity and high reliability ( Amendment page) 312765 34 1288424 - Apparatus for inspection and evaluation. Another object to be solved by the present invention is to provide both the ExB separator and the deflector in the most appropriate position by using both the splitter and the deflector. Another object to be solved by the present invention is to provide a device manufacturing method for evaluating a test piece in a manufacturing process using the above-described electronic wire device. That is, one of the methods of the present invention is a single electron gun having a discharge electron beam; an aperture plate having a plurality of holes" having a plurality of lenses and at least two Εχβ separators disposed apart from each other to The electron beam emitted from the electron gun is irradiated onto the primary optical system on the surface of the test piece to be inspected; and the secondary electrons emitted from the test piece are separated from the primary optical system by one of the ExB separators and injected into the secondary electron detection. The device is configured to detect the secondary optical system, and the electron beam that is emitted by the electrons is irradiated onto the opening plate to form an image of a plurality of holes, so that the position of the image of the hole coincides with each position of the ExB separator, and The direction of the electron lines to which the electric field of each of the ExB separators is biased is opposite to each other as viewed from the surface of the test piece. Due to the above composition, the test piece can be inspected and evaluated with high productivity and high reliability with a plurality of electronic wires. Further, since the color difference formed by the Μ separator can be corrected, the electron beam can be further focused into a thin line to ensure high inspection accuracy. Another aspect of the electronic wire device of the present invention is that the partial vector of the electron line deflected by the electric current of the Εχβ separator is viewed as a mutual μ from the bias surface of the magnetic field and the Wei is a phase material. In the above-described electronic wire device, the secondary path in which the Εχβ separator is biased may be a plurality of rows arranged in two rows without mutual interference. Therefore, the inspection and evaluation of the test piece can be carried out with high productivity (correction page) 312765 35 1288424 productivity and high reliability. Another application of the present application is to provide: a single electron that emits an electron beam; an opening plate having a plurality of holes; and a plurality of lenses and an ExB divider to illuminate the electron beam of the electron to be inspected a first optical system on the surface of the test piece; and a second optical system in which the secondary electrons are separated from the first optical system by the pre-confining separator for discharging the test piece and injected into the secondary electron detecting device to detect the second optical system. The electron rushing electron beam is irradiated onto the opening 1 plate to form an image of a plurality of holes, so that the position of the image of the plurality of holes is consistent with the position of the ΕχΒ separator, and the scanning voltage is weighted before:

ExB分離器的電場而使前述電子線發生偏向動作。由以上 的構成而兼用ExB分離器及偏向器,能將兩者配 當位置。 a月述之一發明及另一發明的電子線裝置可應用於缺陷 檢查裝置、線幅測定裝置、缺陷評價裝置、仙測試震置及 電位對比測定裝置之任-。本案之又一發明為使用前述電 子線裝置以對製造過程中之晶圓評價以實行裝置之製造。 本發明之目的為提供以電子光學的並在短時間内實行 電子光學系的對焦之電子線裝置,以及使用該裝置之半導 體裳置製造方法。為達成該目的,本發明為用一次光學系 將複數之-次電子線照射在試件,將試件放出之二次電^ 線於通過對物透鏡後以ExB分離器將其投入二次光學系, 投入後至少用一段的透鏡使複數之二次電子線間的間隔擴 大,然後以複數之檢測器將其檢測的電子線裝置,而提供 對於對物透鏡至少個別的供給三個不同的勵起電壓,以測 (修JE 頁)312765 36 1288424 疋將平行於第1方向之圖形邊ed代)掃描於第2 方7所得之對應於二次電子線的強度之表示電氣訊號之上 ^度之至〉、二個數據為其特徵的電子線裝置。由此能對 电子光學系的對焦於短時間實行。 又以上述之電子線裝置為鏡筒與複數試件對向配置, 各鏡2之一次光學系使複數之一次電子線在試件上與其他 的鏡筒照射在不同的位置的構成亦可。由此可提高生產率。The electric field of the ExB separator causes the aforementioned electron beam to deflect. According to the above configuration, the ExB separator and the deflector are used together, and the two can be positioned. One of the inventions described in a month and the electronic wire device of another invention can be applied to any of the defect inspection device, the wire width measurement device, the defect evaluation device, the sensation test device, and the potential contrast measurement device. Still another invention of the present invention is to use the aforementioned electronic wire device to evaluate the wafer in the manufacturing process to carry out the manufacture of the device. SUMMARY OF THE INVENTION An object of the present invention is to provide an electronic wire device which is electro-optically and which performs focusing of an electro-optical system in a short time, and a semiconductor body manufacturing method using the same. In order to achieve the object, the present invention irradiates a plurality of secondary electron beams to a test piece by a primary optical system, and discharges the secondary electric wires of the test piece through the objective lens and then puts it into secondary optics with an ExB separator. After the input, at least one segment of the lens is used to enlarge the interval between the plurality of secondary electron lines, and then the electron detector is detected by a plurality of detectors to provide at least three different excitations for the objective lens. The voltage is measured (repaired on JE page) 312765 36 1288424 疋 will be parallel to the pattern edge of the first direction ed) scanned on the second side 7 and the intensity corresponding to the secondary electron line is expressed above the electrical signal To the second, the two data are characterized by an electronic line device. Thereby, the focus of the electro-optical system can be performed in a short time. Further, the electron beam device is disposed opposite to the plurality of test pieces, and the optical system of each of the mirrors 2 is configured such that a plurality of primary electron beams are irradiated to different positions of the test piece and the other lens barrels. This can increase productivity.

、、電子線裝置最好構成在晶圓上的圖形為帶電的狀態 以求出對物透鏡的勵起條件。 本發明又提m光㈣照射複數之f子線於試 件。。由4件放出之二次電子線於通過對物透鏡後以Εχβ分 離器射入二次光學系,射人後至少用―段的透鏡將複數之 :次電子線間的間隔擴大,職由複數之檢測器將測 的電子線裝置。 迟電子線衣置之對物透鏡具備施加有近於接地電位 二1 ί壓的第1電極,及施加有比第1電壓為大的第2 二 電極,而由變化施加於第1電極之第1㈣以 :起手鏡之焦點距離的構成,又用於勵起對物透鏡之 /長為要能大幅的變化對物透鏡的焦點距離而含有變 2電極之電•的手段’及為要使焦點距離在短 ==化而變更施加於第1電極之電壓的手段。本發明 ^供❹上述電子線裝置以對製造過財或終了後之晶 圓貫行評價為其特徵的半導體裝置製造方法。 實際上是否能將以複數之檢測器檢測二次電子以高分 (修正頁)312765 37 1288424 -解能對試件實行檢查•評價的電子線裝置實用化則未必明 確。又該電子線裝置有需要能使用於生產率高但解像度較 低之只能檢測較大缺陷的模式(以下稱標準模式)及生產率 低但解像度高而能檢測非常小之缺陷的模式(以下稱高解 像度模式)之兩種不同的模式。然具有上述功能之實用的裝 置仍未開發。 、 ^ 再則以一個裝置使用於兩種模式時,有需要變更複數 電子線的掃描幅及變更二次光學系之靜電透鏡的擴大率 等,然如將掃描幅調整為比標準模式狹小則於複數電子線 間,生掃描的間隙,並發生二次光學系之電子線尺寸與檢 測盗之晝素尺寸不-致的問題。本發明則以解決上述課題 為目的。 〇〇為解決上述的課題,本申請案的發明之一為具備將由 單電子槍放出#電子線用設有複數之孔的開口板使其變 成複數電子線並將前述複數電子線以至少兩段的靜電透鏡 f其縮小以對被檢查之試件實行掃描的-次光學系,及將 則述4件放出之二次電子於通過靜電對物透鏡後用Μ分 離器自-次光學系分離,然後由至少一段的靜電透鏡將呈 擴大以射入複數之檢測裝置的二次光學系,而為配合以生 產率局但解像度較低的模式及生產率低但解像度較高的模 式對試件評價,至少用二種類以上的晝素尺寸對試件實行 ,,於上述的構成’能用複數之電子線以高生產率及 ^只/丁试件的檢查、評價。並且能將標準模式及高 解像度模式由一個裝置實行。 (修正頁)312765 38 1288424 本發明之電子線裝置的另一態樣為於一次光學系之複 數電子線的縮小率與二次光學系之靜電透鏡的擴大率之間 有相關關係。 本發明之電子線裝置的另一態樣為將一次光學系之交 疊(cross-over)像於前述生產率高而解像度較低的模式時 為形成在靜電對物透鏡的主面。 本發明之電子線裝置之又一態樣為將二次光學系的擴 大率由設在比設置在二次光學系之開口圈(aperture)更近 檢測器之靜電透鏡調整。依本發明為以上述電子線裝置對 製造過程中之晶圓實行評價以進行裝置之製造。 習用的掃描電子顯微鏡由於以細小電子線對試件表面 實行掃描,因此對大面積試件實行評價時有生產率太低的 問題。又以前述已知之充電檢測功能,則對各種電流有必 要以高時間分解能實行檢測,而不一定能正確的檢測充電 的狀態。 本發明為有鑑於上述的問題,發明所欲解決之一課題 為提供提高生產率並以更高信賴性對試件實行評價之電子 線裝置。本發明欲解決之另一課題為提供用複數之電子線 同時照射於試件以提高生產率,並且提高充電檢測功能以 提高評價之信賴性的電子線裝置。本發明解決之又一課題 為提供使用上述之電子線裝置而以高成品率對製造過程中 或後之試件實行評價的裝置製造方法。 本申請案之一發明為具備:產生一次電子線並將其聚 焦以對試件掃描照射之一次光學系;容前述試件之電子線 39 (修正頁)312765 1288424 二$部分放出之二次電子射入之至少含有一段透鏡的二次 光子系,以及檢測前述二次電子之檢測器,而為對前述電 子線照射部放出的二次電子實行加速,帛ΕχΒ分離器將其 自次光學系分離以射入前述二次光學系,然後將前述二 -人電子之像由前述透鏡放大再由檢測器檢測的電子線裝 置釗述一次光學系為產生複數之一次電子線同時照射於 ”戈件别述檢測器為對應於前述一次電子線的數設置複 數’並備有對前述試件施加減速電壓(retarding voltage) 之減速電壓施加裝置及用於調查前述試件之充電狀態的充 電調查功能所構成。 上述發明之電子線裝置更具備由前述充電調查功能依 據有關充電狀恕的資料決定最適當的減速電壓以施加於前 述忒件的功能,或變化一次電子線之照射量的功能亦可。 本申請案之另一說明的電子線裝置為具有將複數之電 子線照射於試件的光學系及充電調查功能,前述充電調查 功能為於前述一次電子線照射於前述試件而產生之二次電 子用複數之檢測器檢測形成畫像時,對前述試件之特定部 分的圖形失真或圖形模糊實行評價,其結果如圖形失真或 圖形模糊大時評價為充電大的構成。 對前述各發明之電子線裝置,其前述充電調查功能為 具有能對試件施加可變減速電壓值,在至少施加兩種減速 電壓的狀態形成試件之圖形密度有大幅變化之境界附近的 旦像,而將上述晝像顯示以供操作者對圖形失真或圖形模 糊實行評價的裝置亦可。 (修正頁)312765 40 1288424 、,申θ案之又發明為提供使用上述之電子線裝置以 、:衣k過耘中或終了後之晶圓實行缺陷檢測為其特徵之裝 置製造方法。 ^將第54圖所示習用例構成之ΕχΒ能量過濾器使用於用 ,子線得到晶圓之畫像財行評價之檢查裝置的ΕχΒ分離 ~寺其—人電子線實質的不發生收差而直進之光軸周圍 的領域並不大。 ,其理由之一為習用的ΕχΒ能量過濾器之構造複雜,對 稱2十分好之故。亦即由於對稱性不良,於計算收差時 而只行二次元的電場解析及三次元的磁場解析以致計算 複雜。因此欲設計成最適當的收差需相當費時。另一理由 為於習用的ΕχΒ能量過遽器,其電場及磁場直交於光軸並 且其強度分佈大致相同的領域為狹小之故。 本發明有鑑於上述習甩例的問題,其第丨目的為提供 構造簡單及收差計算容易,並且磁場及電場強度相同之光 軸周圍領域較大之ΕχΒ分離器。本發明之第2目的為提供 使用為達成第1目的之ΕχΒ分離器之電子線裝置及使該電 子線裴置實行半導體晶圓之評價的半導體裝置製造方法。 為達成上述第1目的,本發明之用於產生與光軸直交 之電場及磁場而將進行方向不同之至少兩電子線分離的Ε xB分離器為產生電場之一對平行之平板狀的電極,而為具 備含有電極相互之間隔為設定比與電場直交之電極的長度 =短的電極之靜電偏向裔,及使電子線偏向於該靜電偏向 态之相反方向之環(toroidal)型或鞍(saddle)型之電磁偏 (修正頁)312765 41 1288424 -向器。又將上述£χΒ分離器之靜電偏向器構成至少含有產 生電場之六極的電極,以產生可能旋轉的電場亦可。 又於上述ExB分離器之環型或鞍型電磁偏向器最好具 有產生電場及磁場之兩方向的磁場之兩組的電磁線圈,而 能由調整流通該二組之線圈的電流比以使由電磁偏向器構 成之偏肖方向成為與靜電偏肖器構《之偏肖方向為相反的 構成。 又於上述ExB分離器之鞍型或環型電磁偏向器的内部 ^好設置靜電偏向H ’由此可將電磁偏向器形成二分割狀 態,並裝設在靜電偏向器之外周為mExB分離哭 之製造容易。 ro 本發明又對於以複數之一次電子線照射半導體晶圓而 以複數之檢測器檢測由該晶圓之二次電子線求出晝像 以對半導體晶圓之加工狀態實行評價的檢查裝 上述£xB分離器以分離一次電子 一\ 、吏用 ^ 人电于線與一次電子線之檢查裝 置。 一 本發明欲解決之課題為提供省略灯工台之差動排氣 機構以使構造能為簡單而小型化之帶電電子線裝置。本發 明欲解決之另-課題為提供對於收容χγ王台之殼體内抽 ,空並設有對該試件面上的帶電電子線照射的領域實行排 風之差動排氣機構的帶電電子線裝置。本發明欲解決之又 上述帶電電子線裝置對試件表面實行檢 查之缺陷檢查裳置,或提供對試件表面描晝圖形之露光裝 置本fx明欲解决之又—課題為提供使用上述帶電電子^ (修 JL 頁)312765 42 1288424 -裝置以製造半導體裝置之半導體裝置製造方法。 本發明之對於載置在χγ工台上之試件照射帶電電子 線的裝置,其XY工台為收容在殼體内並以靜壓軸承對殼體 為非接觸的支持,對收容該工台的殼體為抽真空,又對帶 電電子線裝置之試件面上照射帶電電子線的部分周圍設有 對於試件面上之帶電電子線照射的領域實行排氣的差動排 氣機構。 t 依此發明的帶電電子線裝置,其漏入真空容室内之靜 壓軸承用的高壓氣體首先由連接於真空容室的真空排氣用 配管排氣。然後由於在帶電電子線照射部分的周圍設置對 帶電電子線照射的領域實行排氣之差動排氣機構,由以使 帶電電子線照射領域的壓力比真空容室内的壓力大幅減 少,能無問題的用帶電電子線對試件實行處理並在安定的 真空度實行。亦即由使用具有與大氣中一般使用之靜壓軸 承式之工台為同樣之構造的工台(未設差動排氣機構支持 靜壓轴承的工台),能安定的對工台上的試件實行應用帶電 電子線的處理。 本發明之帶電電子線裝置,其供給於前述XY工台之靜 壓軸承的氣體為乾氮氣或高純度之非活性氣體,該乾氮氣 或高純度非活性氣體由收容該工台之殼體排氣後再受加 壓,再供給於前述靜壓轴承。 依本發明則在真空殼體内之餘留氣體成分為高純度之 非活性氣體,因此在殼體形成之真空容室内的試件表面及 構造物表面不會受到水分及油分等的污染,並且有非活性 43 (修正頁)312765 1288424 氣f刀子吸著在試件表面時,如將其露出在差動排氣機構 或帶電電子線照射領域的高真空部即會迅速的由試件表面 、離口此了抑制帶電電子線照射領域對真空度的影塑於 最小限,使帶電電子線對試件的處理安定化。/“於 本發明為使用前述帶電電子線裝置於檢查半導體晶圓 表面之缺1¾的晶圓缺陷檢查裝置。由此能以廉會提供工合 ^位置決定性能為高精度並且帶電電子線之照射領域的真 空度為安定的檢查裝置。本發明為使用前述帶電電子線裝 ,於對半導體表面或以標線描晝半導體裝置之電路圖形的 路光衣置。由此能以廉價提供工台之位置決定性能為高精 度’並且帶電電子線照射領域的真空度為安定之露光裝置。 本發明為使用前述帶電電子線裝置於製造半導體之半 f體製造方法’由於使用卫台之定位性能為高精度,並且 ▼電電子線照射領域之真空度為安定的裝置製造半導體, 因此能形成微細的半導體電路。 、+以對%雙透鏡形成縮小透鏡系時,需要二段的透鏡而 必需使各透鏡之尺寸比之比率與縮小比相等。例如形成 1/1々0之,小系時’由於較小侧之透鏡不能形成比以加工精 專夬疋的尺寸’例如形成孔(b〇re)徑為5mm 0,透鏡間 隙為5mm程度時’較大侧之透鏡的孔徑為5〇顏必,透鏡間 隙亦為50mm,不能不形成相當大尺寸的透鏡,又如於實際 的裳置變化其倍率,則發生對稱雙透鏡條件亂掉的問題。 本發明有鑑於上述的問題,以提供對二 系可調整其倍率,並以單透鏡可修正其倍率色收差^= (修正頁)312765 1288424 光學系為目的。本發明又以提供 管杆梦罟制i止昧搂士、a 、 处裝置以早期發現 貝灯裝置製構成成品率低 方法為n 原因而貫行晶圓評價的 本毛明為以,有電容器之透鏡系對複數之電子線實行 U用對物透鏡於試件上結像之電子線1置,而提供將 上述對物透鏡之前段的透鏡形成之上述電子線的交疊位置 為設定在該對物透鏡之上述透鏡系側近傍位置為其特徵的 電子線裝置。具體言之,上述交疊位置為設定在對物透鏡 之主面之近於上述透鏡祕。由於交疊位置為如上設定, 在試件上結像之產生於電子線的收差,特別是色收差可 低。 上述複數之電子線可以為由單—的電子搶放射而通過 複數之開口形成之複數的電子線,由複數之電子搶放出之 複數㈣子線,或由形成在單—之電子搶之複數的射極放 出之複數的電子線。本發明又提供使用如上述之電子線裝 置以對製造過程中之晶圓實行評價為其特徵的裝置製造方 法0 本發明用複數之一次電子線,以複數之電子線掃描於 \元方向(X方向)而通過ΕχΒ過濾器(Wi en f i 1 ter )垂直 的射入試件表面,將由試件之二次電子以ExB過濾器自一 二人笔子線分離從一次電子線之轴的斜方向取出,再以透鏡 系使其結像或集光於檢測系。又將工台移動於一次電子線 的掃描方向(X方向)的垂直方向(y方向)以取得連讀的晝 像0 45 (修正頁)312765 1288424 -人電子線通過£χΒ分離器時所受到電場及磁場的力 為設定於強度相等而方向相反的條件(们扣條件),一次電 子線方向為直進。 :方面二次電子線由於其方向與一次電子線相反但作 用於一-人電子線之電場及磁場之力的方向相同,因此其方 =將從一次電子線的轴方向彎曲。結果使一次電子線與二 次電子線分離。電子線通過Εχβ過濾器時,受彎曲時之收 差比直進時大,因此具備對應於需要高精度之每一電子線 的^!1為,由對應之一次電子線的二次電子徑前述結像系 必疋射入對應之檢測器。由此可消除訊號的混入。檢測器 為使用閃燦H (seintillatorH光電子增倍管(phQt〇 multipner)。亦可使用PIN二極體(半導體檢測器)等。依 本發明以16條之-次電子線,各電子線徑為,電 子線電流為2GnA,得市售|置之約3倍的電流值。 置子槍(電子綠遁) 本發明之電子線源使用熱電子線源。電子放出 (emitter)材使用LaB6。亦可使用高融點(在高溫下之墓氣 麗為低作函數小的其他材料。又為得到複數之電子線 用兩種方法其-為由—條射極(―個凸起部)引出一條電 子線,使其通過設有複數之孔的薄板(開口板)以得複數之 電子線的方法’另一方法為於一條射極形成複數之凸起由 其直接引出複數之電子線的方法。上兩種方法均利用電子 線容易從凸起之前端放出的性f。又可使用其他方式之電 子線源,例如熱電場放出型的電子線。 (修正頁)312765 46 1288424 熱電子線源為將電子放出材加熱使其放出電子的方 式,而然電場放出電子線源則為對電子放出材施加高電場 使其放出電子,再對電子線放出部加熱使電子的放出安定 化的方式。 真空排氣糸 本發明之真空排氣糸為由真空泵、真空閥、真空表 (gauge)、真空配管等構成,對電子光學系、檢測部、試件 至、裝載鎖氣室(load lock)依預定的順序抽真空。對各部 分為使用真空閥以達到其必要的真空度。並經常監視各部❿ 之真二度於發生異常時使用聯鎖(l〇ck)功能對隔離 閥等實行緊急控制以確保真空度。對真空泵而言,主排氣 使用渦輪(turbo)分子泵,粗引則使用羅茨(R〇〇ts)式之乾 泵·檢查部位(電子線照射部)之壓力為1(Γ3至1〇_5pa,實用 上最好為其一位下之1〇-4至i〇-6pa。 控制系 本發明之控制系主要由主控制器、控制器、工台控制 器構成。主控制器備有人機介面(man_madiine _ =terface),操作者介以實行操作(種種之指示/命令、選 :ipe)之輸人、檢查開始之指示、自動與手動檢查模 工勺刀換+動檢查模式時之所有所需指令的輸人等)。其 :::廠之母電腦的通訊、真空排氣系之控制、晶圓等之 器之ΐ:、位置相t之控制、與其他之控制器及工台控制 曰'的傳達及資料之授受等亦由主控制器實行。 又為具備取得光學顯微鏡之晝像訊號,將工台之變動 (修正頁)312765 47 1288424 訊號回授於電子光學系以修正像之惡化的工台振動修正機 能,及檢測試件觀察位置之z方向(二次光學系的轴方向) 之變位而回授於電子光學系,以其自動的修正焦點之自動 焦點修正功能。對電子光學系之回授訊號等的授受,及由 工台之訊號的授受各為經由控制器及工台控制器實行。 控制主要擔當電子線光學系之控制(電子搶、透鏡、 調整器(aligner)、維恩過遽器等用之高精度電源的控制 等)。具體的為使其對於照射領域變更倍率時亦能經 一 定的電子電流照射,實行對於對應各倍率之各透鏡系及校# 準器之自動電壓設定等之對應於各動作模式之各透鏡系及 校準器之自動電壓設定等之控制(連動控制)。 工台控制盗主要對於有關工台之移動控制使其能以精 被的X方向及Y方向之# m次的移動(±〇. 5#m程度之誤差) 貫仃。又本工台為以誤差精度土〇3秒程度以内實行旋轉方 向之控制(0控制)。 檢查順庠 第63圖表示本發明之檢查順序。一般而言用電子線實⑩ 行缺陷檢查為價昂,因生產率比其他生產裝置低,因而目 前為使用在最需檢查之在工序(例如蝕刻、成膜、或CMp(化 學機械研磨)平坦化處理等)之後。 被檢查之晶圓通過大氣搬運系及真空搬運系,校準在 超精狯X-Y工台上後,用靜電鈎扣(chuck)機構等固定,其 後依第63圖之流程實行缺陷檢查。首先以光學顯微鏡應於 需要確涊各模子(die)之位置,及檢測各位置之高度並予記 48 (修正頁)312765 1288424 憶。光學顯微鏡取得其他欲檢查缺陷之部位的光學顯微鏡 像’使用於與電子線像的比較等。 其次將應於晶圓之種類(在那一工序後,晶圓之尺寸為 2〇cm或3〇cm等)之選譜的資料輸入於裝置,其次於實行檢 查场所的指定,電子光學系的設定、檢查條件的設定等後, 隨晝像的取得通常為實時(real time)實行缺陷檢查。單元 (cell)互相間的比較,模子比較等為由具備算術 (algorithm)之高速資料處理系統實行檢查,而應於需要將 、、、。果輸出於CRT等及記憶於記憶體。缺陷可分別為微粒 (/artlcle)缺陷、形狀異常(圖形缺陷)及電氣(配線及線頭 等之斷線及導通不良等)缺畴,亦可以實時自動的區別上 述缺陷、分類缺陷的大小及致命(kiner)缺陷(致晶片不能 使用的重大缺陷等)。 電氣缺陷的檢測可由檢測雙比異狀達成。例如對導却 不良的部位實行電子照射(500ev程度)時,通常為正的帶 電’其對比將減低而能與正㈣部位區別。於此之電子麟 =手段為指通常檢查用之電子線照射手段以外之使電位 差構成之對比顯眼而設之低能量的電子線產生手段(熱電 =子電子產生手段。而對檢查對象領域照射檢查用之 …、,,别,先產生該低能量電子線實行照射。 昭檢查用的電子線以使其本身㈣^ 5之Γ 對於晶圓等之試件施加對基準電位為 正次負之電位等(由於流通於元件之順方反而 (修正頁)312765 49 1288424 •流通容易度不同而產生)之對比不同可檢測缺陷。亦可利用 於線幅測定裝置及相對合之精度測定。 重極之清歸 於運作本發a月之電子線裝置時,由於近接相互作用(表 面附近之粒子帶電)使標的物質遊離而被高壓領域吸引,因 而使用在形成電子線及偏向之樣樣的電極會堆積有機物 質。由於表面的帶電而徐徐堆積之絕緣體將對電子線的形 成及偏向機構構成不良影響,因此必需周期的去除堆積的 絕緣體。絕緣體之周期的除去為利用設在堆積絕緣體之 域近傍的電極在真空中作成含有氫、氧或氟素及該等之^ 口物HF、〇2、HA、CmFm等的電漿(piasma),而由維持空間 内的電襞電位於使其對電極面產生嘴濺(sputter)的電位 =kv,例如用聊至5kV而由氧化、氯化、氣化只 機物除去)。 【實施方式】 象之:L第丄:及第2圖說明本發明第1實施例對檢查對 、,成有圖形之基板,即晶圓實行 一裝置2圖將半導體檢查裝 盖 以立面及平面表示。主要構成 第1實施例之半導體檢查褒置」具備 牧之晶圓之卡H(cassette)的切保持哭ι〇.、Η谷複數 ^形成工作室之主殼體3。;配置在小型環二:境室 殼體30之間而形成兩個裝載室的 广至與主 卡匣保持器1〇穿壌在 Λ又體40,將晶圓從 裝填在配置於主殼體3〇内之工台5〇上的裝 (修正頁)312765 50 1288424 載器60 ;以及裝設在真空殼體之電子光學裝置7〇,以上裝 置為依第1圖、第2圖所示關係位置配置。半導體檢查裝 置1更加具備:配置在真空之主殼體3〇内的預充電部(pre charge uni t)81,對晶圓施加電位之電位施加機構83(第8 圖所示);電子線校準機構85(第1〇圖所示);構成在工台 上實施晶圓之定位之調整控制裝置87的光學顯微鏡871。 卡£保持器10為對於將複數枚(例如25枚)之晶圓為 上下方向平行的狀態收容之卡匣c(例如Assist公司製之 SMIF、FOUP等的閉卡厘(ci〇se cassette))保持複數個(本 貫施形態為兩個)。該卡匣保持器可任意選用由機器人將卡 匣搬運而自動的裝填於卡匣保持器1〇的構造及適於由人 手裝填之開卡匣(〇pen cassette)。本實施形態之卡匣保持 益1〇選用自動裝填卡匣的形式,例如備有升降台u及使 該升降台11上下移動之升降機構12,卡匣c能以第2圖 鎖j圖所示狀態自動的安置在升降台上,安置後自動旋轉 於第2圖貫線所示狀態而面向於小型環境室内第1搬運部 =轉動軸線。又升降台U為降下至第1圖中之鎖線表示狀 怨。如上所述,自動裝填使用之卡匣保持器或用人手裝填 使用之卡ϋ保持器均可使用已知的構造而於此省略盆詳細 說明。 八、 第3Β圖所示另一實施形態為將複數之3〇〇咖必基板W =於固定在箱本體5G1之槽型袋(未圖示),實行搬運及 保官。基板搬運箱24為由關連於角筒狀的箱本體5〇ι及基 板搬出門自動開閉裝置而使箱本體5 01側面之開σ部為^ (修正頁)312765 51 1288424 閉自如之基板搬出入門5p2,設在開口部之相反侧之為裝 卸過濾益類及風扇馬達雨覆蓋開閉部之蓋體5 〇 3,保持基 板W之槽型袋(未圖示),ULPA過濾器505,化學過濾器 5 0 6 ’以及風扇馬達5 〇 7所構成。本實施例之基板w為由裝 載态60之機器人式的第丨搬運部612將其搬入搬出。 收容於卡Ec内之基板,即晶圓為接受檢查的晶圓, 該檢查為於半導體製造工序中對晶圓處理的工序後,或在 工序中實行。具體的為將接受成膜工序、CMp、離子注入等 之基板(即晶圓)’以及表面形成有配線圖形的晶圓,或未 形成有配線圖形的晶圓為收容在卡匣内。由於收容在卡歴 c内之晶圓為多數枚上下方間隔著並平行排列配置,因此 於任意的晶圓與後述之第i搬運部能將其保持的狀離使第 1搬運部之臂為可上下移動。" 第1圖至第3圖中之小型環境室20具備:形成環境受 控制之小型環境空間21之殼體22;於小型環境空間21内 使如清淨空氣的氣體循環以實行環境控制射 _供給於小型環境空間21内之一部分空氣回^ = 之排出裝置24;以及配設在小型環境空間21内之對檢查 對象的基板(即晶圓)實行粗位置之定位的預調整器^。― 殼體22包含頂壁221、底壁222及圍繞四周^周壁 223’成為使小型環境空間21與外部隔斷的構造。為^ 小型環境空間:的環境’氣體循環裝置23如第3圖所示; =設=、观境空間21内的頂壁221使氣體(本實施例為 工軋)β、乎而通過一個或以上之氣體吹出口(未圖示)將清 (修正頁)312765 52 1288424 -淨空氣向直下以層流狀流出之氣體供給部231、設置在小 型環境空間内之底壁222上將向下流動之空氣回收之回收 管(duct)、及連接回收管232與氣體供給部231以將回收 之空氣送回氣體供給部231之導管233。 依本實施形態之氣體供給部231將供給空氣之約20% 由殼體22的外部取入予以清淨,然由外部取入氣體之比例 可任意選擇。氣體供給部231備有公知之產生清淨空氣用 的構造HEPA或ULPA過濾器。清淨空氣之層流狀之下方向 的流動,亦即下向·流(down f low)主要為流徑設置在小型環 境空間21内之後述的第1搬運部的搬運面的供給,由以防 止可能由搬運部產生之塵埃附著於晶圓。 因此下向流之喷出口不一定如圖示設在近於預壁的位 置,而只要在搬運部之搬運面上侧即可。又不一定流徑小 、型環境空間内全部的空間。又依狀況可使用離子(ion)風為 清淨空氣以確保清淨度。又可於小型環境空間内設置觀察 清淨度的感測器,而於清淨度惡化時將裝置關機。於殼體 22之周壁223之鄰接卡匣保持器10的部位形成出入口 225。出入口 225近傍設置公知之構造的快門(shutter)裝 置以將出入口 225由小型環境室侧關閉亦可。形成於晶圓 近傍之層流的下向流之流速例如在0. 3至0. 4m/sec即可。 又氣體供給部不一定設在小型環境空間内,設在其外側亦 可。 排出裝置24備有設在前述搬運部之晶圓搬運面下侧 位置而在搬送部下部之吸入管241、設置在殼體22外側之 53 (修正頁)312765 1288424 ϋ人風機242、及連接吸入管241與吹風機242之導管243。 該排出裝置24將流徑搬運部之周圍之向下而含有可能由 搬運部產生之塵埃的氣體以吸入管241吸引,徑由導管 243、244及吹風機242排出於殼體22外側。又於此將其 排出於設在殼體22附近之排氣管(未圖示)内亦可。 設在小型環境空間21内之調整器25為用光學的或用 機械的檢測形成在晶圓之方向平坦部(〇rientati〇nPreferably, the electronic line device is configured such that the pattern on the wafer is charged to determine the excitation condition of the objective lens. The invention further proposes that m light (four) illuminates a plurality of f sub-lines to the test piece. . The secondary electron beam emitted from the four pieces passes through the objective lens and enters the secondary optical system with the Εχβ separator. After the shot, at least the segmental lens is used to enlarge the interval between the secondary electron lines. The detector will measure the electronic line device. The objective lens of the late electronic device has a first electrode to which a voltage close to the ground potential is applied, and a second electrode that is larger than the first voltage, and is applied to the first electrode by a change. 1 (4): The composition of the focal length of the starting mirror, and the means for energizing the lens of the object to be able to change the focal length of the objective lens greatly and containing the electric energy of the 2 electrode' The distance is changed by a short == means to change the voltage applied to the first electrode. SUMMARY OF THE INVENTION The present invention provides a method of fabricating a semiconductor device characterized by the above-described electron beam device which is characterized by a wafer that has been manufactured for a long time or has been finished. In fact, it is not clear whether it is possible to use a multi-detector to detect secondary electrons with a high score (Revision page) 312765 37 1288424 - The electronic line device that performs inspection and evaluation on the test piece is not necessarily practical. Further, the electronic wire device has a mode (hereinafter referred to as a standard mode) which can be used for detecting a large defect with high productivity but low resolution, and a mode having low productivity but high resolution and capable of detecting a very small defect (hereinafter referred to as high). Two different modes of resolution mode). However, practical devices having the above functions have not yet been developed. , ^ When using one device for two modes, it is necessary to change the scanning width of the complex electron beam and change the expansion ratio of the electrostatic lens of the secondary optical system. However, if the scanning width is adjusted to be smaller than the standard mode, The gap between the multiple electron lines, the gap of the raw scan, and the size of the electron line of the secondary optical system and the size of the detected stolen element are not caused. The present invention has an object to solve the above problems. In order to solve the above problems, one of the inventions of the present application is to provide an opening plate having a plurality of holes for discharging an electron beam from a single electron gun to form a plurality of electron lines and to form the plurality of electron lines in at least two stages. The electrostatic lens f is narrowed to perform scanning on the test piece to be inspected, and the secondary electrons emitted from the four pieces are separated by an electrostatic pairing lens and separated from the sub-optical system by a helium separator, and then A secondary optical system that is enlarged by a plurality of electrostatic lenses to be incident on a plurality of detecting devices, and at least a mode for evaluating a test piece in a mode with a low productivity but a low resolution and a low productivity but a high resolution. Two or more types of halogen elements are used for the test piece, and the above-mentioned composition "can be used for the inspection and evaluation of the high productivity and the test piece of the test piece. And the standard mode and the high resolution mode can be implemented by one device. (Revision page) 312765 38 1288424 Another aspect of the electronic wire device of the present invention has a correlation between the reduction ratio of the complex electron beam of the primary optical system and the enlargement ratio of the electrostatic lens of the secondary optical system. Another aspect of the electron beam apparatus of the present invention is that the cross-over image of the primary optical system is formed on the principal surface of the electrostatic objective lens when the above-described productivity is high and the resolution is low. Another aspect of the electron beam apparatus of the present invention is that the expansion ratio of the secondary optical system is adjusted by an electrostatic lens provided closer to the detector than the aperture provided in the secondary optical system. According to the present invention, the wafer in the manufacturing process is evaluated by the above-described electronic wire device to manufacture the device. The conventional scanning electron microscope scans the surface of the test piece with a small electronic wire, so that the evaluation of the large-area test piece has a problem that the productivity is too low. Further, with the aforementioned known charge detecting function, it is necessary to perform high-time decomposition for various currents to perform detection, and it is not always possible to correctly detect the state of charging. The present invention has been made in view of the above problems, and an object of the invention is to provide an electronic wire device which improves productivity and evaluates a test piece with higher reliability. Another object to be solved by the present invention is to provide an electronic wire device in which a plurality of electron beams are simultaneously irradiated onto a test piece to improve productivity, and a charge detecting function is improved to improve the reliability of evaluation. Still another object to be solved by the present invention is to provide a device manufacturing method for evaluating a test piece during or after a manufacturing process with high yield using the above-described electronic wire device. An invention of the present application is characterized in that: an optical system for generating a primary electron beam and focusing it to scan a test piece; and an electron beam 39 (correction page) of the test piece 312765 1288424 a secondary photon system containing at least one lens and a detector for detecting the secondary electrons, and accelerating the secondary electrons emitted from the electron beam irradiation portion, and the 帛ΕχΒ separator separates the secondary optical system An electron beam device that is incident on the secondary optical system and then magnifies the image of the two-human electrons from the lens and then detected by the detector is described as an optical line that generates a plurality of primary electron beams simultaneously irradiated to the The detector is provided with a deceleration voltage application device that applies a derating voltage to the test piece and a charge investigation function for investigating the state of charge of the test piece. The electronic wire device of the above invention further has the most suitable deceleration voltage determined by the charging investigation function based on the information on the charging state. The function of applying the element or the function of changing the amount of irradiation of the electron beam may be used. The electronic line device of another embodiment of the present application is an optical system and charging investigation having a plurality of electron beams irradiated to the test piece. The function of the charge investigation function is to detect a pattern distortion or a pattern blur of a specific portion of the test piece when detecting a formed image by a detector for detecting a secondary electron generated by the primary electron beam on the test piece. As a result, when the pattern is distorted or the pattern blur is large, it is evaluated as a configuration having a large charge. For the electronic line device of each of the above inventions, the charge investigation function is such that a variable deceleration voltage value can be applied to the test piece, and at least two deceleration voltages are applied. The state forms a denim near the realm of the pattern density of the test piece, and the above-described image is displayed for the operator to evaluate the pattern distortion or the image blur. (Revision page) 312765 40 1288424, The invention of claim θ is invented to provide a defect in the use of the above-mentioned electronic wire device to: The device manufacturing method is characterized by the feature of the device. The use of the energy filter of the conventional example shown in Fig. 54 for the use of the sub-line to obtain the image of the wafer is evaluated by the inspection device. The field around the optical axis does not have a substantial difference, and one of the reasons is that the conventional ΕχΒ energy filter has a complicated structure, and the symmetry 2 is very good. That is, due to poor symmetry, When calculating the difference, only the electric field analysis of the second element and the magnetic field analysis of the three elements are complicated, so the calculation is complicated. Therefore, it is quite time-consuming to design the most appropriate compensation. Another reason is the conventional electric energy device. The field in which the magnetic field is orthogonal to the optical axis and whose intensity distribution is substantially the same is narrow. The present invention has the problems of the above-mentioned conventional examples, and the third object thereof is to provide a simple structure and easy calculation of the difference, and the magnetic field and the electric field strength are the same. The larger separator in the field around the optical axis. A second object of the present invention is to provide a semiconductor device manufacturing method using the electron beam device for achieving the first object of the separator and performing the evaluation of the semiconductor wafer by the electron beam. In order to achieve the above first object, the Ε xB separator for generating an electric field and a magnetic field orthogonal to the optical axis and separating at least two electron lines having different directions is a plate-shaped electrode in which one of the electric fields is parallel. Further, it is an electrostatic bias having an electrode whose electrode spacing is set to be shorter than the length of the electrode orthogonal to the electric field, and a toroidal type or saddle (saddle) which biases the electron line in the opposite direction of the electrostatic deflection state. ) type electromagnetic offset (correction page) 312765 41 1288424 - directional device. Further, the electrostatic deflector of the above-mentioned separator constitutes an electrode including at least six poles for generating an electric field to generate an electric field which is possible to rotate. Further, the ring type or saddle type electromagnetic deflector of the above ExB separator preferably has two sets of electromagnetic coils that generate magnetic fields in two directions of electric field and magnetic field, and can adjust the current ratio of the coils of the two groups by adjusting The direction in which the electromagnetic deflector is formed is opposite to the direction in which the electrostatic deflector is oriented. Further, in the inside of the saddle type or the ring type electromagnetic deflector of the above ExB separator, the electrostatic deflection H' is set so that the electromagnetic deflector can be formed into a two-divided state, and is installed outside the electrostatic deflector to separate the mExB. Easy to manufacture. The present invention further relates to the inspection of a semiconductor wafer by a plurality of electron beams and a plurality of detectors for detecting an image of a secondary electron beam of the wafer to evaluate the processing state of the semiconductor wafer. The xB separator is used to separate the primary electrons from the primary and secondary electrons. SUMMARY OF THE INVENTION One object to be solved by the present invention is to provide a charged electronic wire device which omits a differential exhaust mechanism of a lamp stage to make the structure simple and compact. Another object to be solved by the present invention is to provide a charged electron for a differential venting mechanism for exhausting a casing that is housed in a casing of χγ王台, and that is provided with an exhausting electric field on the surface of the test piece. Line device. The invention is also to solve the problem that the above-mentioned charged electronic wire device performs inspection on the surface of the test piece, or provides a light-applying device for drawing the surface of the test piece, and the problem is to provide the use of the above-mentioned charged electronic device. ^ (Revision JL page) 312765 42 1288424 - Apparatus for manufacturing a semiconductor device manufacturing method of a semiconductor device. In the apparatus for illuminating a charged electron beam on a test piece placed on a χγ table, the XY stage is supported in the casing and is non-contacted by the hydrostatic bearing to the casing, and the XY stage is supported. The casing is evacuated, and a differential exhaust mechanism for exhausting the field of the charged electron beam on the surface of the test piece is disposed around the portion of the test piece surface of the charged electron beam device that is irradiated with the charged electron beam. According to the charged electric wire device of the invention, the high-pressure gas for the hydrostatic bearing leaking into the vacuum chamber is first exhausted by a vacuum exhaust pipe connected to the vacuum chamber. Then, since a differential exhaust mechanism for exhausting the charged electron beam is provided around the portion where the charged electron beam is irradiated, the pressure in the field of the charged electron beam is greatly reduced compared with the pressure in the vacuum chamber, and there is no problem. The test piece is treated with a charged electronic wire and is carried out in a stable vacuum. That is, a workbench having the same structure as a hydrostatic bearing type generally used in the atmosphere (a table without a differential exhaust mechanism supporting a hydrostatic bearing) can be stabilized on the workbench. The test piece is subjected to the application of charged electronic wires. In the charged electron beam device of the present invention, the gas supplied to the hydrostatic bearing of the XY table is dry nitrogen or a high-purity inert gas, and the dry nitrogen or high-purity inert gas is contained in the casing row of the work platform. After the gas is pressurized, it is supplied to the aforementioned hydrostatic bearing. According to the present invention, the remaining gas component in the vacuum casing is a high-purity inert gas, so that the surface of the test piece and the surface of the structure in the vacuum chamber formed by the casing are not contaminated by moisture and oil, and Inactive 43 (Revision page) 312765 1288424 When the gas f knife is sucked on the surface of the test piece, if it is exposed to the high vacuum part of the differential exhaust mechanism or the charged electron beam irradiation, it will quickly pass the surface of the test piece, This is to prevent the charging of the charged electron beam in the field of vacuum from being minimized, so that the treatment of the test piece by the charged electron beam is stabilized. / "In the present invention, the wafer defect inspection device for inspecting the surface of the semiconductor wafer using the above-mentioned charged electron beam device is provided. Thus, it is possible to provide a high precision and a charged electron beam irradiation field with a low degree of work and position determination performance. The vacuum degree is a stable inspection device. The present invention uses the above-mentioned charged electron wire package to mount the circuit pattern on the surface of the semiconductor or the circuit pattern of the semiconductor device by the marking line, thereby being capable of providing the position of the stage at a low cost. The high-precision 'and the vacuum degree in the field of charged electron beam irradiation is a stable light-emitting device. The present invention is a method for manufacturing a semi-fin body for manufacturing a semiconductor using the above-described charged electron beam device', since the positioning performance using the platform is high precision, and ▼The vacuum in the field of electric electron beam irradiation manufactures a semiconductor for a stable device, so that a fine semiconductor circuit can be formed. When a reduction lens system is formed with a % double lens, a two-stage lens is required, and the size ratio of each lens must be made. The ratio is equal to the reduction ratio. For example, it forms 1/1々0, and when it is small, it cannot be due to the lens on the smaller side. The lens is formed to have a hole diameter of 5 mm 0 and a lens gap of 5 mm. When the lens gap is 5 mm, the aperture of the lens on the larger side is 5 Å, and the lens gap is 50 mm. The problem that the symmetric double lens condition is disordered may occur if the lens of a relatively large size cannot be formed, and the magnification is changed as in the actual skirting. The present invention has the above problems, and the magnification of the second system can be adjusted, and The single lens can be used to correct the magnification of the color difference ^= (correction page) 312765 1288424 optical system. The present invention further provides a tube rod nightmare system, a, and a device for early detection of the beacon device The method of performing the wafer evaluation by the method of low yield is that the lens of the capacitor is applied to the plurality of electronic wires by using the electron beam 1 for the image of the objective lens on the test piece. Providing an overlapping position of the electron beam formed by the lens of the preceding stage of the objective lens as an electronic line device set to a near-inch position of the lens system on the lens side. Specifically, the overlapping position is Set in right The main surface of the object lens is close to the above lens. Since the overlapping position is set as above, the image formed on the test piece is caused by the difference in the electron line, and in particular, the color difference can be low. a plurality of electron lines formed by a plurality of electrons rushing through a plurality of openings, a plurality of (four) sub-lines released by a plurality of electrons, or a plurality of electrons emitted by an emitter formed by a plurality of electrons The present invention further provides a device manufacturing method using the electronic wire device as described above to evaluate the wafer in the manufacturing process. The present invention uses a plurality of electronic wires to scan a plurality of electron lines in the direction of the \ yuan. (X direction) and perpendicular to the surface of the test piece by the ΕχΒ filter (Wi en fi 1 ter ), the secondary electrons of the test piece are separated from the one or two pen lines by the ExB filter from the axis of the primary electron line The direction is taken out, and then the lens system is used to image or collect light in the detection system. Further, the worktable is moved in the vertical direction (y direction) of the scanning direction (X direction) of the primary electron beam to obtain the image of the continuous reading 0 45 (correction page) 312765 1288424 - the human electron wire is passed through the separator The electric field and the magnetic field force are set to the conditions of equal strength and opposite directions (the conditions are deducted), and the direction of the primary electron beam is straight forward. The secondary electron beam has the same direction as the primary electron beam but the direction of the electric field and the magnetic field used for the one-person electron beam, and therefore the square wire is bent from the axial direction of the primary electron beam. As a result, the primary electron line is separated from the secondary electron line. When the electron beam passes through the Εχβ filter, the difference in bending is larger than that in the case of straight-through, so that ^^1 corresponding to each electron line requiring high precision is obtained by the secondary electron diameter of the corresponding primary electron line. The image system must be injected into the corresponding detector. This eliminates the mixing of signals. The detector is a flashing H (seintillator H photomultiplier tube (phQt〇multipner). A PIN diode (semiconductor detector) or the like can also be used. According to the invention, 16 electrons are used, and each electron diameter is The electronic line current is 2GnA, which is commercially available. It is about 3 times the current value. The gun (electronic green) The electronic line source of the present invention uses a hot electron source. The electron emission (Laitter) material uses LaB6. It is possible to use a high melting point (other materials that are low in the tomb gas at high temperatures), and two methods for obtaining a plurality of electronic wires, which are derived from a strip-element ("bulge") The electron beam is passed through a thin plate (opening plate) having a plurality of holes to obtain a plurality of electron wires. Another method is a method in which a plurality of protrusions are formed by a single emitter to directly extract a plurality of electron lines. Both of the above methods utilize the property of the electron beam which is easily discharged from the front end of the bump. Other sources of electron sources such as a hot electric field discharge type electron beam can be used. (Revision page) 312765 46 1288424 The source of the hot electron source is Heating the electronic discharge material The method of emitting electrons, and the electric field discharging the electron beam source is a method in which a high electric field is applied to the electron emitting material to emit electrons, and then the electron beam emitting portion is heated to stabilize the electron emission. The vacuum exhaust port is composed of a vacuum pump, a vacuum valve, a vacuum gauge, a vacuum pipe, and the like, and evacuates the electron optical system, the detecting portion, the test piece, and the load lock in a predetermined order. Each part uses a vacuum valve to achieve the necessary vacuum degree, and often monitors the truth of each part. When an abnormality occurs, an interlocking valve (l〇ck) function is used to perform an emergency control on the isolation valve to ensure the degree of vacuum. For example, the main exhaust gas uses a turbo molecular pump, and the rough lead uses a Roots (R〇〇ts) type dry pump. The pressure at the inspection site (electron line irradiation unit) is 1 (Γ3 to 1〇_5pa). In practice, it is better to use one of the lower ones from 1 to 4 to i〇-6pa. Control System The control system of the present invention is mainly composed of a main controller, a controller, and a bench controller. The main controller is provided with a man-machine interface. (man_madiine _ =terface), The author introduces the operation (instructions of various instructions/commands, selections: ipe), the instructions for the start of inspection, automatic and manual inspection of the mold cutters, and the input of all required commands when the mode is checked. Its::: the communication of the mother computer of the factory, the control of the vacuum exhaust system, the device of the wafer, etc.: the control of the position phase t, the communication with other controllers and the control of the station, and the transmission of the data. It is also carried out by the main controller. In order to obtain the image signal of the optical microscope, the change of the stage (correction page) 312765 47 1288424 is sent back to the electro-optical system to correct the deterioration of the image. And detecting the displacement of the z-direction (the axial direction of the secondary optical system) of the observation position of the test piece and returning it to the electro-optical system, and automatically correcting the focus of the automatic focus correction function. The transfer of feedback signals to the electro-optical system, and the transmission and reception of signals from the workstations are performed by the controller and the bench controller. The control mainly serves as the control of the electron beam optical system (the control of the high-precision power supply for the electronic grab, lens, aligner, Wien filter, etc.). Specifically, in order to change the magnification of the illumination field, a certain electron current can be irradiated, and each lens system corresponding to each operation mode, such as automatic voltage setting for each lens system and calibration device corresponding to each magnification, is performed. Control of the automatic voltage setting of the calibrator (linkage control). The control of the turret is mainly for the movement control of the relevant work station so that it can move in the X direction and the Y direction of the fine (± 〇. 5#m degree error). In addition, the power station controls the rotation direction (0 control) within 3 seconds with an error accuracy of the soil. Inspection 庠 Figure 63 shows the inspection sequence of the present invention. In general, 10 lines of defect inspection by electronic wire are expensive, because the productivity is lower than other production devices, so it is currently used in the most inspected process (such as etching, film formation, or CMp (chemical mechanical polishing) planarization. After processing, etc.). The wafer to be inspected is calibrated on an ultra-precision X-Y table by an air transport system and a vacuum transport system, and then fixed by a chuck mechanism or the like, and then a defect inspection is performed according to the procedure of Fig. 63. First, the position of each die should be determined by an optical microscope, and the height of each position should be detected and recorded (Revised) 312765 1288424. The optical microscope acquires an optical microscope image of another portion to be inspected for defects, and is used for comparison with an electron beam image. Secondly, the data of the selected type of wafer (after that process, the size of the wafer is 2〇cm or 3〇cm, etc.) is input to the device, followed by the designation of the inspection site, and the electro-optical system. After setting or checking the setting of the inspection conditions, the defect inspection is usually performed in real time with the acquisition of the image. The comparison of the cells with each other, the comparison of the molds, etc. is performed by a high-speed data processing system having an arithmetic algorithm, and the , , , , and It is output to CRT, etc. and is stored in memory. Defects can be caused by particle (/artlcle) defects, shape abnormalities (graphic defects), electrical (wire breaks and wire breaks, etc.), and can automatically distinguish the above defects and classification defects in real time. A kiner defect (a major defect that the wafer cannot be used, etc.). The detection of electrical defects can be achieved by detecting double ratios. For example, when electron irradiation (at a degree of 500 ev) is performed on a poorly-conducted portion, the positive charge is usually 'the contrast is reduced and can be distinguished from the positive (four) portion. Here, the electronic lining means means a low-energy electron beam generating means (thermoelectric=sub-electron generating means) which is formed by a contrast potential which is formed by a potential difference other than the electron beam irradiation means for inspection, and the inspection target area is irradiated. Use...,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, Etc. (due to the smoothness of the component flow (correction page) 312765 49 1288424 • The ease of circulation is different) The contrast can be detected by different detectable defects. It can also be used for the measurement of the line width measuring device and the relative accuracy. When it is returned to the electronic line device of the month of operation, the electrode is attracted to the high voltage field due to the proximity interaction (the particles near the surface are charged), so the electrode used in the formation of the electron line and the bias will accumulate organic. Substance. The insulator that slowly accumulates due to the charging of the surface will adversely affect the formation of the electron beam and the biasing mechanism. The accumulated insulator is removed. The period of the insulator is removed by using an electrode disposed near the region of the stacked insulator to form hydrogen, oxygen, or fluorine, and the like, HF, 〇2, HA, CmFm, etc. in a vacuum. Piasma, and the electric potential in the holding space is located at a potential that causes a nozzle to be sputtered on the electrode surface = kv, for example, by oxidizing, chlorinating, vaporizing, removing only 5 kV) . EMBODIMENT OF THE INVENTION: FIG. 2 and FIG. 2 illustrate a first embodiment of the present invention for performing a check on a patterned substrate, that is, a wafer is implemented by a device 2 and the semiconductor inspection is covered with a facade and Plane representation. Main configuration The semiconductor inspection device of the first embodiment has a card holder H (cassette) for cutting the wafer, and a plurality of clams. The main casing 3 of the studio is formed. Between the small ring two: the chamber casing 30, two loading chambers are formed, and the main cassette holder 1 is passed through the crucible 40, and the wafer is loaded from the main housing. The load on the 5th floor of the workbench (correction page) 312765 50 1288424 carrier 60; and the electro-optical device 7〇 installed in the vacuum casing, the above device is based on the relationship shown in Fig. 1 and Fig. 2 Location configuration. Further, the semiconductor inspection apparatus 1 further includes a precharge unit 81 disposed in the main casing 3 of the vacuum, and a potential application mechanism 83 for applying a potential to the wafer (Fig. 8); electronic line calibration Mechanism 85 (shown in FIG. 1); an optical microscope 871 constituting an adjustment control device 87 for performing wafer positioning on a workbench. The card holder 10 is a cassette C for accommodating a plurality of (for example, 25) wafers in a vertical direction (for example, a ci〇se cassette of SMIF or FOUP manufactured by Assist). Keep a plurality of (the two forms are two). The cassette holder can be arbitrarily selected from a structure in which the robot automatically transports the cassette to the cassette holder 1 and a cassette which is adapted to be loaded by a human hand. The cassette of the present embodiment is in the form of an automatic loading cassette, for example, a lifting table u and a lifting mechanism 12 for moving the lifting table 11 up and down, and the cassette c can be locked as shown in FIG. The state is automatically placed on the lifting platform, and after being placed, it is automatically rotated in the state shown in the second drawing line and faces the first conveying portion = the rotation axis in the small environment room. Further, the lifting table U is lowered to the lock line in Fig. 1 to indicate a complaint. As described above, the known configuration can be used for the cassette holder for automatic filling or the cassette holder for manual filling, and the detailed description of the pot is omitted here. 8. In another embodiment shown in Fig. 3, a plurality of 〇〇 必 必 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 The substrate carrying case 24 is an automatic opening and closing device that is connected to the corner of the box body and the substrate carrying-out door automatic opening and closing device, so that the opening σ portion of the side surface of the case body 051 is ^ (corrected page) 312765 51 1288424 5p2, on the opposite side of the opening, is a cover body 5 〇3 for loading and unloading filter and fan motor rain cover opening and closing portion, a grooved bag for holding the substrate W (not shown), a ULPA filter 505, a chemical filter 5 0 6 ' and fan motor 5 〇7. The substrate w of the present embodiment is loaded and carried out by the robot-type second transport unit 612 in the loaded state 60. The substrate housed in the card Ec, that is, the wafer is a wafer to be inspected, and the inspection is performed after the process of processing the wafer in the semiconductor manufacturing process or in the process. Specifically, a substrate (i.e., wafer) that receives a film formation process, CMp, ion implantation, or the like, and a wafer on which a wiring pattern is formed, or a wafer in which a wiring pattern is not formed, are housed in a cassette. Since the wafers accommodated in the cassette c are arranged in parallel and arranged in parallel with each other, the arbitrary wafer and the ith transport unit which will be described later can be held apart, and the arm of the first transport unit is Can move up and down. " The small environmental chamber 20 in Fig. 1 to Fig. 3 is provided with a casing 22 forming a small environmental space 21 controlled by the environment; and circulating a gas such as clean air in the small environmental space 21 to perform environmental control shooting A discharge device 24 that supplies a portion of the air to the small environment space 21 and a pre-regulator that is disposed in the small environment space 21 to perform positioning of the coarse position on the substrate (ie, the wafer) to be inspected. ― The casing 22 includes a top wall 221, a bottom wall 222, and a structure surrounding the surrounding wall 223' to block the small environmental space 21 from the outside. For the environment of the small environment: the gas circulation device 23 is as shown in Fig. 3; = set =, the top wall 221 in the view space 21 makes the gas (in this embodiment, the rolling) β, and passes through one or The above gas outlet (not shown) will clear (correction page) 312765 52 1288424 - the gas supply portion 231 which flows out in a laminar flow straight down, and the bottom wall 222 which is disposed in the small environmental space will flow downward. The air recovery duct (duct) and the connection recovery pipe 232 and the gas supply unit 231 return the recovered air to the duct 233 of the gas supply unit 231. According to the gas supply unit 231 of the present embodiment, about 20% of the supply air is taken in from the outside of the casing 22 to be cleaned, and the ratio of the externally taken in gas can be arbitrarily selected. The gas supply unit 231 is provided with a known HEPA or ULPA filter for generating clean air. The flow in the direction of the laminar flow of the clean air, that is, the downward flow (down f low) is mainly the flow path provided in the small environment space 21, and the supply of the transport surface of the first transport unit, which will be described later, is prevented. Dust generated by the transport unit may be attached to the wafer. Therefore, the discharge port of the downward flow is not necessarily provided at a position close to the pre-wall as shown in the drawing, and may be provided on the conveyance surface side of the conveyance unit. It is not necessary to have a small flow path and all the space in the type of environmental space. According to the situation, ion (ion) wind can be used to clean the air to ensure the cleanliness. It is also possible to provide a sensor for observing the cleanness in a small environmental space, and to shut down the device when the cleanliness is deteriorated. An inlet 225 is formed in a portion of the peripheral wall 223 of the casing 22 adjacent to the cassette holder 10. The entrance and exit 225 is provided with a shutter device of a known configuration to close the door 225 from the small environmental chamber side.至4. 4m/sec可以。 The flow rate of the flow of the lower layer of the laminar flow. Further, the gas supply unit is not necessarily provided in a small environmental space, and may be provided on the outer side. The discharge device 24 includes a suction pipe 241 provided at a lower side of the wafer conveyance surface of the conveyance unit, and a suction pipe 241 disposed at a lower portion of the conveyance portion, 53 (correction page) 312765 1288424, a fan 242, and a suction suction provided outside the casing 22. Tube 241 is connected to conduit 243 of blower 242. The discharge device 24 sucks the gas containing the dust generated by the conveyance portion downward from the periphery of the flow path conveying portion by the suction pipe 241, and the diameter of the pipe 243, 244 and the blower 242 is discharged outside the casing 22. Further, it may be discharged to an exhaust pipe (not shown) provided in the vicinity of the casing 22. The adjuster 25 disposed in the small environmental space 21 is formed in the flat direction of the wafer by optical or mechanical detection (〇rientati〇n

Pi at)(形成在圓形晶圓之外周的平坦部)或形成在晶圓之 外周緣之一個或以上之V型缺口(notch)以將晶圓的軸線 0!-0〗之周圍旋轉方向位置以大約±1度之精度預先將其定 位。預調整器構成申請專利範圍記載之發明之決定檢查對 象之座標的部分機構以擔當對檢查對象之粗定位。上述預 調整态本身可用公知之構造而於此省略其橼造與動作說 明。 又於此雖未做圖示,然亦可在預調整器的下部設排出 裝置用的回收管以將預調整器排出之含有塵埃的空氣排出 外部。 第1圖及第2圖中之形成工作容室31之主殼體3〇具 備殼體本體32,該殼體本體32為由設在台框(frame)36 上之振動遮斷裝置即防振裝置37上之殼體支持裝置33支 持。敢體支持裝置33具有組裝成矩形之框構造體331。殼 體本體32為固定設置在框構造體331上而與框構造體上之 底壁321、頂壁322、底壁321及連接頂壁322之四周的周 壁323形成工作容室31將其與外部隔離。本實施形態中之 (修正頁)312765 54 1288424 底i 321為用肉厚比較厚的鋼板構成使其免於因設置工台 I置算機器的重量而變形’然用其他構造亦可。 本貝靶形恶之殼體本體及殼體支持裝置犯為形成剛 硬構造,❿用防振裝置37 P且止設置台框36之基部之振動 傳達^該剛硬構造。殼體本體32之周壁323中鄰接後述之 裝載殼體(loader housing)的周壁形成有晶圓出入用之 入口 325。 防振裝置可使用含有空氣彈簧、磁氣軸承等之活性 (如ive)方式或非活性(passive)方式。上料為公知的構 造而於此省略其構造及功能說明。工作容室31為以公知之 真空裝置(未圖示)維持於真空環境。台框36之下部設有控 制裝置全體之動作的控制展置2。 第1圖、第2圖、第4圖中,裝載殼體4()具備形成第 1裝載室41與第2裝載室42之殼體本體43。殼體本體㈡ 具有底壁431、頂壁432、圍繞四周之周壁及間隔第i 裝載室41與第2裝载室42之間隔壁434,並使兩裝載室 自外部隔離。於間隔壁434形成於兩裝載室間實行晶圓之 ^的開口,即出入口 435。又於周壁433之鄰接小型環 楗室及主殼體的部分形成出入口 436及437。 上述裝载殼體40之殼體本體43為載置在殼體支持裝 置33之框構造冑331上並由其支持。因此基部之振動不合 傳達至裝载室4G。裝載室4G之出人口㈣及小型環^ 之殼體22的出人口 226為整合並設有選擇的阻止小产 空間21與第!裝载室41之連通的快門裝置27。快門 (修正頁)312765 55 1288424 27含有圍繞出入口 226及436之周圍而密切的接觸於周壁 433而固定之密封材27卜與密封材271共同阻止經由出入 口流通空氣之門272、及使該門開關之驅動裝置273。 又於裝載室40之出入口 437與殼體本體32之出入口 325為整合而於整合處設有選擇的阻止第2裝載室42與工 作容室31之連通的快門裝置45。快門裝置45含有圍繞出 入口 437及325之周圍而與側壁433及323密切接觸而固 定之密封材451、與密封材451共同阻止經由出入口流通 空氣之門452、及使該門開關之驅動裝置453。 再則於形成在間隔壁434的開口設有以門461將其關 閉以選擇的密封阻止第丨及第2裝載室間之連通的快門裝 ,46。上述快門裝置27、45及46在關閉狀態可將各容室 密封。該等快門裝置可應用公知的裝置而於此省略其構造 及,作說明。又小型環境室2〇之殼體22之支持方法與裝 載室之支持方法不同,為防止基部之振動介由小型環境室 傳達至裝載室40及主殼體30,可於殼體22與裝载室4〇 之⑽㈣“π周圍的狀態設[防振用之緩衝(叫心 。、第1裝載至41内設有將複數(本實施形態為2枚)之蓋 圓2上下間隔以水平狀態支持之晶圓架47。晶圓架47為曰E 如第5圖所示,具有互為相隔於矩形之基板之四 直立狀態固定之支挺47?,久*^/17〇攻 ^ η ΑΊΑ 支柱472為形成2段的支持 = 473及474’而將晶圓k周緣載在支持部上的保持。 6後由鄰接後述之第1及第2搬送部之臂的前端之支柱間 (修正頁)312765 56 1288424 -接近晶圓用臂把持晶圓。 裝載至41及42為用來圖示之包含真处 々 =之真空排氣裝置(未圖示)抽氣而控制直空二;的直構 10-5^ 10-¾) 〇 , 41 室保持於低真空環境,第2裝魅42為高直处:^—谷 高真空環境,由此可有效的防止晶圓受污毕二持於 電由採用亡述裝載室可與後述之複數 裝置原理共同提咼缺陷檢查的生產率,又可 對要求為高真空狀態之條管狀態的電子源周邊的真空产责 可能的保持在高真空狀態。 、第1及第2裝載室41及42各連接真轉氣配管及非 活性氣體(例如乾燥純氮素)用通氣管&61^)(均未圖示)。 即由非活性氣體通氣管(注入非活性氣體以防止非活性氣 體以外之氧氣體等附著於表面)達成各裝載室内之大氣壓 狀態。如上述實行非活性氣體通氣之裝置本體為公知的構 造,於此省略其詳細說明。 依使用電子線之本發明的檢查裝置,其使用於後述之 電子光學系的代表性的六硼化鑭(LaB6)等一度達到放出熱 電子程度的高溫狀態時,以盡量使其不接觸氧等為不縮短 其壽命的重要措施,以上可由晶圓為搬入設置電子光學系 之工作容室的前階段實行如上述之環境控制而可確實達到 目的。 工台裝置50具備:設在主殼體3〇之底壁301上之固 57 (修正頁)312765 1288424 疋台51 ’在固定台上栽包+人X7 面的方向)之Y台5二二方向(第1圖上為 左右方向)…53;:χ=移動於X方向(第1圖上為 在旋轉台54上之保持部5^可疑轉之旋轉台54 ;及設 ’、、邛55。在保持部55之晶圓載置面551 上將晶圓裝卸自如的伴牲位扯★ 、 靜電钩扣方切“、寺保持邛可為將晶圓用機械的或 靜電釣扣方式裝卸自如而把持之公知構造。 工台裝置5G用伺服馬達、編碼器(encQder) 咸 測器(未圖示)使上述複數之工台動作以使保持在載置面 551上之保持部所保持之晶圓對電子光學裝置所照射之電 子線以決定其位置於X方向、γ方向及z方向(第】圖中為 上下方向)以及對晶圓之支持面垂直的軸線之旋轉方向 (Θ方向)以高精度蚊位置。又對於2方向之定位例如可 將保持部上之載置面的位置在2方向能做微調整。於此可 用微細徑雷射之位置測定裝置(使用干涉計原理之雷射干 涉測距裝置)檢測載置面之基準位置,然後以未圖示之回授 電路控制其位置,連同該測定或代以測定晶圓之缺口或方 向平坦部以檢測晶圓之對於電子線的平面位置及旋轉位 置,而由旋轉使旋轉台能以微小角度控制之步進式馬達等 貫行控制。 、 為要盡量防止工作容室内發生塵埃,將工台褒置用之 伺服馬達521、531及編碼器522、532設置在主殼體3〇 之外側。又工台裝置50例如可使用於步進器(stepper)等 所用之公知構造,於此省略其詳鈿說明。又上述雷射干涉 測距裝置亦可使用公知構造而省略其詳細說明。 (修正頁)312765 58 1288424 將對於電子線之晶圓的旋轉位置及χ、γ位置預先輸入 於後述之訊號檢測系或晝像處理系可圖得其所得訊號的基 準化。再則设在該保持部之鈎扣機構為鈎扣晶圓所用之電 壓施加於靜電鈎扣的電晶而按壓晶圓外周部之三點(最好 依圓周方間以等間隔相隔)以實衫位。晶圓鈎扣機構具備 -個固定定位銷(pin)&m的夹銷⑹卿咖)。央 銷可實現自動鈎扣及自動解扣,並構成施加電愿 位。 依本實施形態於第2圖中之移動於左右方向的工台為 /㈣於上下方向之工台為γ台’但同圖中以移動於 J右方向的工台為Y台,移動於上下方向之工台為x台亦 具有設在小型環境室2()之殼體心的機器 式的笛946$ 及叹在弟2裝載室42内之機器人 旋3。第1搬運部61具有對驅動部w :軸:“周圍的多節之臂&12。㈣ 的構化,本貫施形態具有可互相轉動之 1搬運部61之臂612的一部分 h之一口^弟 部分為裝設動部611側之第1 構(未函示)轉動之軸613。 内之公知構造的堪動機 臂612可由軸613以軸線〇卜〇 也 間的相對旋轉以全體而言可對軸線二心轉動,並由部分 向。物之最離開轴613的^ 之機械式鈎扣或靜電鈎扣等之::㈣設有公知構造 巾於把持晶圓的把持裝置 (修正頁)312765 59 1288424 七6。驅動部6ί]用公知構之 向移動。 。升降機構615使其可上下方 第1搬送部61之劈 aPi at) (flat portion formed on the outer circumference of the circular wafer) or a V-notch formed on one or more outer circumferences of the wafer to rotate the circumference of the wafer axis 0!-0 The position is pre-positioned with an accuracy of approximately ±1 degree. The pre-adjuster constitutes a part of the mechanism for inspecting the object of the object of the invention described in the patent application to serve as a rough positioning of the object to be inspected. The above-described pre-adjustment state itself can be constructed in a known manner, and its construction and operation description are omitted here. Although not shown in the figure, a recovery pipe for the discharge device may be provided in the lower portion of the pre-regulator to discharge the dust-containing air discharged from the pre-conditioner to the outside. The main casing 3A forming the working chamber 31 in FIGS. 1 and 2 is provided with a casing body 32 which is a vibration blocking device provided on a frame 36, that is, vibration-proof. Supported by the housing support device 33 on the device 37. The body support device 33 has a frame structure 331 that is assembled into a rectangular shape. The housing body 32 is fixedly disposed on the frame structure 331 and forms a working chamber 31 with the bottom wall 321 , the top wall 322 , the bottom wall 321 , and the peripheral wall 323 surrounding the top wall 322 of the frame structure. isolation. In the present embodiment (correction page) 312765 54 1288424, the bottom i 321 is formed of a steel plate having a relatively thick flesh thickness so as not to be deformed by the weight of the installation table I. The shell body and the housing supporting device of the shell-shaped object are formed to form a rigid structure, and the vibration-proof device 37 P is used to transmit the vibration of the base portion of the frame 36 to convey the rigid structure. An inlet 325 for wafer entry and exit is formed in the peripheral wall 323 of the casing body 32 adjacent to a peripheral wall of a loader housing to be described later. The anti-vibration device can use an active (e.g., ive) or passive method including an air spring, a magnetic bearing, or the like. The loading is a well-known configuration and its construction and function description are omitted here. The working chamber 31 is maintained in a vacuum environment by a known vacuum device (not shown). The lower portion of the frame frame 36 is provided with a control display 2 for controlling the overall operation of the device. In the first, second, and fourth figures, the loading case 4 () includes a case body 43 that forms the first loading chamber 41 and the second loading chamber 42. The casing body (2) has a bottom wall 431, a top wall 432, a peripheral wall surrounding the periphery, and a partition wall 434 separating the i-th loading chamber 41 and the second loading chamber 42, and isolating the two loading chambers from the outside. The partition wall 434 is formed between the two load chambers to perform the opening of the wafer, that is, the inlet and outlet 435. Further, inlets 436 and 437 are formed in a portion of the peripheral wall 433 adjacent to the small annular chamber and the main casing. The housing body 43 of the loading case 40 is mounted on and supported by the frame structure 331 of the housing supporting device 33. Therefore, the vibration of the base is not transmitted to the load chamber 4G. The population of the loading room 4G (4) and the population of the small 22 housing 22 are integrated and have a choice to prevent the small production space 21 and the first! A shutter device 27 that is connected to the loading chamber 41. The shutter (correction page) 312765 55 1288424 27 includes a sealing member 27 that is fixed in contact with the periphery of the inlet and outlet ports 226 and 436 and is in close contact with the peripheral wall 433, and the sealing member 271 prevents the door 272 from flowing through the inlet and outlet, and the door switch Drive device 273. Further, the inlet and outlet 437 of the loading chamber 40 and the inlet and outlet 325 of the casing body 32 are integrated, and a shutter device 45 for selectively preventing the communication between the second loading chamber 42 and the working chamber 31 is provided at the integration. The shutter device 45 includes a seal member 451 which is fixed in close contact with the side walls 433 and 323 around the entrances and exits 437 and 325, a door 452 which prevents the air from flowing through the inlet and outlet together with the seal member 451, and a drive device 453 for the door switch. Further, a shutter member 46 is formed in the opening formed in the partition wall 434 so as to be closed by the door 461 to selectively block the communication between the second and second loading chambers. The above shutter devices 27, 45 and 46 can seal the chambers in the closed state. A well-known device can be applied to the shutter devices, and the configuration and the description thereof will be omitted. The method of supporting the casing 22 of the small environment chamber is different from the method of supporting the loading chamber. In order to prevent the vibration of the base from being transmitted to the loading chamber 40 and the main casing 30 through the small environmental chamber, the housing 22 and the loading are possible. (4) (4) (4) "The state around π is set [the buffer for anti-vibration (called the heart. The first load to 41 is provided with the cover circle 2 of the plural (two in this embodiment)). The wafer holder 47. The wafer holder 47 is 曰E as shown in Fig. 5, and has four erect state fixings 47 which are mutually separated from each other by a rectangular substrate, and a long time*^/17〇 attack^ η 支柱 pillar 472 is to hold the support of the wafer k on the support portion in order to form two stages of support = 473 and 474'. 6 is connected between the pillars of the front end of the arm of the first and second transfer portions (the correction page) 312765 56 1288424 - The wafer is held close to the wafer arm. The loads 41 and 42 are used to illustrate the straight line of the vacuum venting device (not shown) that contains the vacuum venting device (not shown). 10-5^ 10-3⁄4) 〇, Room 41 is kept in a low vacuum environment, and the second enchantment 42 is high straight: ^—Valley high vacuum environment, which is effective Preventing contamination of the wafer by the use of the dead load chamber can be combined with the principle of the plurality of devices described later to improve the productivity of the defect inspection, and the vacuum around the electron source requiring the state of the tube in a high vacuum state. The production responsibility may be maintained in a high vacuum state. The first and second load chambers 41 and 42 are connected to a true gas transfer pipe and a vent pipe for an inert gas (for example, dry pure nitrogen) & 61^) That is, an inert gas vent pipe (injecting an inert gas to prevent oxygen gas or the like other than the inert gas from adhering to the surface) achieves an atmospheric pressure state in each of the load chambers. As described above, the apparatus body for performing inert gas ventilation is known. The structure of the inspection apparatus according to the present invention using an electron beam is used in a high temperature state in which the degree of thermoelectron emission is once reached when a typical lanthanum hexaboride (LaB6) or the like of an electro-optical system to be described later is used. In order to prevent it from coming into contact with oxygen, it is an important measure that does not shorten its life. The above stage can be implemented by the wafer in the pre-stage where the working chamber of the electro-optical system is placed. The environmental protection can be achieved by the environmental control. The work station device 50 is provided with a solid 57 (correction page) 312765 1288424, which is provided on the bottom wall 301 of the main casing 3, and is mounted on the fixed table + human X7 surface. Y direction 5 22 direction of direction) (left and right direction on the first drawing) ... 53; χ = movement in the X direction (the first picture is the rotation table 54 on the rotating table 54 where the holding portion 5^ is suspiciously rotated) And ', 邛 55. On the wafer mounting surface 551 of the holding portion 55, the wafer is detachably attached to the cradle, and the electrostatic hook is cut", and the temple can be used to mechanically use the wafer. Or a known structure in which the electrostatic fishing buckle is detachably attached and held. The stage device 5G operates the plurality of stages by a servo motor or an encoder (not shown) to hold the wafer held by the holding portion held on the mounting surface 551 to the electro-optical device. The electron beam to be irradiated is determined to have a high-precision mosquito position in the X direction, the γ direction, and the z direction (the vertical direction in the drawing) and the rotation direction (the Θ direction) of the axis perpendicular to the supporting surface of the wafer. Further, for the positioning in the two directions, for example, the position of the mounting surface on the holding portion can be finely adjusted in the two directions. Here, the position measuring device for the fine-diameter laser (the laser interference distance measuring device using the principle of the interferometer) can be used to detect the reference position of the mounting surface, and then the position is controlled by a feedback circuit not shown, together with the measurement or generation. The stepping or direction flat portion of the wafer is measured to detect the planar position and the rotational position of the wafer with respect to the electron beam, and the stepping motor or the like that can control the rotating table at a slight angle by rotation is continuously controlled. In order to prevent dust from occurring in the working chamber as much as possible, the servo motors 521 and 531 and the encoders 522 and 532 for placing the table are disposed outside the main casing 3〇. Further, the stage device 50 can be used for a known structure such as a stepper or the like, and a detailed description thereof will be omitted. Further, the above-described laser interference distance measuring device may be a known structure, and a detailed description thereof will be omitted. (Revision page) 312765 58 1288424 The rotation position and the χ, γ position of the wafer for the electron beam are input in advance to the signal detection system or the image processing system to be described later to calculate the basis of the obtained signal. In addition, the hooking mechanism provided in the holding portion is an electric crystal applied to the electrostatic hook by a voltage applied to the hook wafer, and presses three points on the outer peripheral portion of the wafer (preferably spaced at equal intervals between the circumferential sides). Shirt position. The wafer hooking mechanism has a pin (6) that fixes the pin (ample) & m. The automatic sales can realize automatic hooking and automatic unbuckling, and constitute the electric power. According to the embodiment, the table moved in the left-right direction in FIG. 2 is / (d) the table in the vertical direction is the γ stage, but in the same figure, the table moving in the right direction of J is the Y stage, and is moved up and down. The direction table is also a machine type flute 946$ provided in the housing center of the small environment chamber 2 (), and a robot screw 3 in the second load chamber 42. The first transport unit 61 has a configuration of the drive unit w: the axis: "the surrounding multi-section arm & 12 (4), and the present embodiment has a part of the arm 612 of the transport portion 61 that is mutually rotatable. The younger part is a shaft 613 for rotating the first structure (not shown) on the side of the moving portion 611. The well-known structure of the arm 612 can be rotated by the axis 613 by the relative rotation of the axis 以The mechanical hook or electrostatic hook that can rotate the axis of the axis and is partially away from the shaft 613: (4) a holding device for holding the wafer with a known structural towel (correction page) 312765 59 1288424 VII 6. The drive unit 6 ί] moves in the direction of the known structure. The elevating mechanism 615 makes it possible to move up and down the first transport unit 61 a

Ec内之任一的 向保持在卡匣保持部之兩個卡Any of the Ec's two cards that remain in the cassette holder

r方向ΑΠ或M2伸出並劈膝 内之一枚晶圓裁置在# 4、字收谷在卡匣C 示)將其把持著取出。其後==^臂之前端_的釣扣(未圖 至臂能伸出至預啕整二 弗2圖所不狀態)而旋轉 然後再伸出臂“持:臂之的曰方向M3的位置時停止旋轉。 由預調整器接 室4Γ伸長的位置( 使▲其二止在能向第2裝载· 晶圓接受部47。 )將*予第2裝载室41内之 用機械方式把持晶_,為把持晶圓之周 ^随)或背面。其仙在除周緣部在晶圓全面形2 =路配線)’如對該部分把持則有恐破壤裝置,^ 缺陷等。 如王The r direction ΑΠ or M2 is extended and one of the wafers in the knee is cut at #4, and the word is shown in the cassette C). After that ==^ the front end of the arm _ the fishing shackle (not shown to the arm can be extended to the state of the pre-twisting 2 volts 2 map) and then rotate the arm "hold: the position of the arm in the 曰 direction M3 The rotation is stopped. The position where the pre-adjuster chamber 4 is extended (the second is placed in the second loading/wafer receiving portion 47) is mechanically held in the second loading chamber 41. _, for holding the wafer of the week ^ or the back. Its immortal in the peripheral part of the wafer in the overall shape 2 = road wiring) 'If the part is controlled, there are fear of breaking the device, ^ defects, etc..

第2搬送部63之構造基本上與第1搬送部相同, 晶圓搬運為在晶圓架47與工台裝置之載置面上實行之; 不同而於此省略說明。 处 上述裝載II 6G之第1及第2搬運部61及63為將晶圓 大約保持於水平狀態自保持於卡匿保持部之卡匣搬運至設 在工作容室31内之工台裝置50上及相反方向的搬運,其 搬運部之臂只在將晶圓自卡匣取出及掙回,將晶圓氧置在 晶圓架及取出,以及將晶圓載置在工台裝置及取出時有上 下移動。因此對於大型的例如30cm的晶圓亦能順利的移 (修正頁)312765 60 1288424 動q 其次依序說明由支持在卡匣保持部之卡匣C將晶圓搬 運至工作容室31内之工台裝置50的動作。 卡匣保持部10如前所述依其為由人手操作卡匣或由 自動操作卡ϋ各選用適當的構造。本實施形態之卡匣(:於 安置在卡匣保持部1 〇之升降台丨丨上時,升降台u由升降 機構12使其下降使卡匣c與出入口 225整合。 卡E與出入口 225整合後,設在卡匣的蓋(未圖示)開 啟又於卡匣c與小型環境室之出入口 225之間設有筒狀的 覆盍以將卡匣内及小型環境室間内自外部遮斷。上述構造 為公知而於此省略其構造及動作說明。又於小型環境室 側設有開閉出入口 225之快門裝置時則使該快門裝置動作 以將出入口 225開啟。 一方面第1搬送部61之臂6丨2為停在方向M1或M2 之任一方向的狀態(本說明中為Ml的方向),出入口 225 開啟=伸出其臂由其前端接受收容在卡㈣之—枚晶圓。 又本貝,形悲中對於臂及該由卡匣取出之晶圓的上下方卢 之位置5周整為由第1搬送部61之驅動部611及臂612之」 :移動實行1亦可由卡㈣持部之升降台的上下移動, 或由上兩者達成。 轉至臂倉^太置守),八次臂612以軸線〇1一〇1為中心翁 端或由長的狀態。然後臂伸出以將把持衫 夺之曰曰圓放置在預調整器25上,由該預調潜 (修正頁)312765 61 1288424 器將晶圓的旋轉方向的方向(垂直於晶圓平面之令心軸線 的旋轉的方向)定位於預定的範圍内。定位完了後搬送部 61以其臂的前端自預調整器25接受晶圓然後將臂縮入, 使其成為能向方向M4伸出的狀態。然後快門裴置2?之門 272動作使出入口 226及436開啟,臂612伸出將晶圓載 置在第1襄载室41内之晶圓架47的上段側或下段侧。又 如前所述在快門裴置27開啟而晶圓架47接受晶圓之前, 形成在間隔壁434之開口 435為由快門裝置46之門461 關閉於氣密狀態。 上述第1搬送部之晶圓搬送過程中,設在小型環境室 之殼體上的氣體供給部231以層流狀流出清淨空氣(下向 防止晶圓在搬運途中附著塵埃於其上。搬運部周邊之 空氣的一部分(本實施形態為由供給部供給之空氣的大約 2〇^而主要為污空氣)受排出裝置24之吸入管241的吸引排 出殼體外。其餘的空氣經由設在殼體底部之回收管232回 收而送回氣體供給部231。 、由第1搬運部61將晶圓載置在裝載容室4〇之第i裝 f室41内之晶圓架47内時,快π裝置27關閉將第i裝裁 室41j^封。其後對第〗裝載室41㈣充非活性氣體以 Γ空^趕出,、㈣排出非活性氣體使第1裝载室41内成真 第1衣载至41内的真空環境抽成低真空度即可。者 1裝載室41内達到某真空程度時,快門裝置46動作使田由 門樹密閉的出入口 434開啟,第2搬運部63之臂632 伸出而以其前端之把持裝置由晶圓架〇取得—枚晶圓(載 (修正頁)312765 62 1288424 ,於刖端上或由設在前端之鈎扣把持)。晶圓之授受完了後 孑縮入’快門裝置46再動作而以門461將出入口 435關閉。 快門裝置46開啟前,臂632為預先向著晶圓架47的 方向N1能伸長的狀態。又如前述在快門裝置開啟前用 :」裝置45的門452將出入口 437、325關閉,將第2裝 載至42内與工作容室31内以氣密狀態阻止其連通,對第 2裝載室42抽真空。 决門裝置46將出入口 435關閉後,再度對第2裝載室 抽真空’使其達到比第1裝載室更高的真空度。在其間第 2搬運部63之臂為旋轉至能向工作容室31内之工台裝置 50的方向伸長的位置。一方面工作容室31内之工台農置 為將Y台52依第2圖為向上方移動以使χ台53之中心線 〇0 - 〇。與通過第2搬運部63之轉動軸線〇2_〇2之义軸線^1 大約成為—致的位置,並使Χ台53在第2圖上4移動至接 f最左側的位置而在此狀態待機。當第2裝載室與工作容 室之真空狀態大約相同時,快門裝置45之門452動作而開 啟出二口 437、325 ’臂伸長而保持晶圓之臂的前端接近於 工作谷至31内之工台裝置。然後將晶圓載置在工台裝置 5〇之載置面551上。晶圓之載置完了後臂縮回,快門裝置 45將出入口 437、325關閉。 以上說明將卡Ec内之晶圓搬運至工台裝置上的動 =而將载置在=台裝置上處理完了之晶圓由工台裝置搬 ,E c内時則實行與前述相反的動作。又為載置複數之 曰曰圓於晶圓架47時,則用第2搬運部於晶圓架與工台裝置 (修正頁)312765 63 :之間搬運晶圓期間可由 運晶”此能提高檢查處=;p於卡s與晶圊架之間搬 A及未處理二第2搬運部之晶圓架47有處理完之晶圓 衣恳理之晶圓B時,首先蔣去疮 台裝置5〇而開始處理。在 自工台I置5 缸 /、处理中以臂將處理完之晶圓hThe structure of the second conveying unit 63 is basically the same as that of the first conveying unit, and the wafer conveyance is performed on the mounting surface of the wafer holder 47 and the stage device; the description is omitted here. The first and second transport units 61 and 63 of the loading II 6G are transported from the cassette held by the concealing holding unit to the stage device 50 provided in the working chamber 31 while holding the wafer in a horizontal state. In the opposite direction, the arm of the transport unit only takes the wafer out of the cassette and retrieves it, places the wafer oxygen on the wafer holder and takes it out, and places the wafer on the workbench and removes it. mobile. Therefore, it is also possible to smoothly move a large wafer such as 30 cm (revision page) 312765 60 1288424. Next, the operation of transporting the wafer into the working chamber 31 by the cassette C supported in the cassette holding portion will be described next. The operation of the stage device 50. The cassette holding portion 10 selects an appropriate configuration for each of the cassettes or the automatic operation cassettes as described above. The cassette of the present embodiment (when placed on the lifting table of the cassette holding portion 1), the lifting table u is lowered by the lifting mechanism 12 to integrate the cassette c with the inlet and outlet 225. The card E is integrated with the inlet and outlet 225. Thereafter, a cover (not shown) provided on the cassette is opened, and a cylindrical cover is disposed between the cassette c and the entrance and exit 225 of the small environment chamber to block the inside of the cassette and the small environmental chamber from the outside. The above-described structure is known, and the structure and operation description thereof are omitted here. When the shutter device that opens and closes the inlet and outlet 225 is provided on the small environmental chamber side, the shutter device is operated to open the door 225. On the one hand, the first conveying portion 61 The arm 6丨2 is in a state of stopping in either of the directions M1 or M2 (in the present description, the direction of M1), and the entrance and exit 225 is opened = the arm extending from the front end receives the wafer accommodated in the card (4). In the case of the sorrow, the position of the upper and lower ribs of the arm and the wafer taken out by the cassette is 5 weeks from the driving unit 611 and the arm 612 of the first conveying unit 61: the movement execution 1 or the card (4) The up and down movement of the lifting platform of the holding section is achieved by the upper two. Keep the arm set too cartridge ^), eight arms 612 to the center axis 〇1 〇1 a state of a long side or Weng. Then the arm is extended to place the handle on the pre-adjuster 25, and the direction of the rotation direction of the wafer (the direction perpendicular to the wafer plane) is set by the pre-adjustment (correction page) 312765 61 1288424 The direction of rotation of the heart axis) is positioned within a predetermined range. After the positioning is completed, the conveying unit 61 receives the wafer from the pre-adjuster 25 with the tip end of the arm, and then retracts the arm so as to be able to extend in the direction M4. Then, the shutter 2 2 is opened by the door 272 to open the inlets and outlets 226 and 436, and the arm 612 is extended to place the wafer on the upper side or the lower side of the wafer holder 47 in the first load chamber 41. Further, before the shutter unit 27 is opened and the wafer holder 47 receives the wafer as described above, the opening 435 formed in the partition wall 434 is closed by the shutter 461 of the shutter unit 46 in an airtight state. In the wafer transfer process of the first transport unit, the gas supply unit 231 provided in the casing of the small environment chamber flows out of the clean air in a laminar flow direction (the downward direction prevents the wafer from adhering dust thereon during transportation. A part of the surrounding air (in the present embodiment, approximately 2 〇 of the air supplied from the supply unit is mainly dirty air) is sucked out of the casing by the suction pipe 241 of the discharge device 24. The rest of the air is provided at the bottom of the casing. The recovery pipe 232 is recovered and sent back to the gas supply unit 231. When the first transfer unit 61 mounts the wafer in the wafer holder 47 in the i-th mounting chamber 41 of the loading chamber 4, the fast π device 27 Closing the i-th loading chamber 41j. Thereafter, the first loading chamber 41 (four) is filled with an inert gas to be evacuated, and (4) the inert gas is discharged to make the first loading chamber 41 into a first first load. The vacuum environment in the range of 41 can be evacuated to a low degree of vacuum. When the vacuum level is reached in the loading chamber 41, the shutter device 46 is operated to open the door 434 which is closed by the door tree, and the arm 632 of the second conveying portion 63 is extended. Out of the wafer holding device with its front-end holding device - wafer (Loading (correction page) 312765 62 1288424, on the 刖 end or by the hook provided at the front end). After the wafer is finished, it is retracted into the 'shutter device 46 and then moved to close the entrance 435 with the door 461. Shutter Before the device 46 is opened, the arm 632 is in a state of being stretched in the direction N1 toward the wafer holder 47. As before, before the shutter device is opened, the door 452 of the device 45 closes the entrances and exits 437, 325, and loads the second to The inside of the working chamber 31 is prevented from communicating with the inside of the working chamber 31 in an airtight state, and the second loading chamber 42 is evacuated. The door closing device 46 closes the inlet and outlet 435 and then vacuums the second loading chamber to make it reach the first level. The loading chamber has a higher degree of vacuum. During this time, the arm of the second conveying portion 63 is rotated to a position that can be extended in the direction of the table device 50 in the working chamber 31. On the one hand, the work in the working chamber 31 is placed. In order to move the Y stage 52 upward according to Fig. 2, the center line 〇0 - 〇 of the cymbal 53 is approximately the same as the axis ^1 of the axis of rotation 〇2_〇2 passing through the second transport unit 63. Position and move the platform 53 on the second picture 4 to the leftmost position of the f When the vacuum state of the second loading chamber and the working chamber are about the same, the door 452 of the shutter device 45 is actuated to open the two ports 437, 325 'the arm is extended to keep the front end of the arm of the wafer close to the working valley to The work station device in 31. The wafer is then placed on the mounting surface 551 of the work station device 5. After the wafer is placed, the rear arm is retracted, and the shutter device 45 closes the entrances and exits 437, 325. The movement of the wafer in the Ec to the stage device is performed, and the wafer that has been placed on the unit is processed by the station device, and the operation opposite to the above is performed in the case of Ec. When the wafer carrier 47 is placed on the wafer holder 47, the second carrier can be transported between the wafer holder and the station device (correction page) 312765 63: Inspection site =; p between the card s and the crystal truss, the A and the unprocessed second carrier, the wafer holder 47 has the processed wafer, the wafer B, the first to remove the sore device 5〇 and start processing. At the self-contained station I set 5 cylinders /, the processing will be processed by the arm h

用臂㈣, 晶圓架47,同樣將未處理之晶圓C 晶圓架47抽出,經預調整器定位後,將其移動 主衣载至41之晶圓靼山, 的處理期間將處理:曰 在晶圓47中,於删 月間將處理完之晶圓A更換為未處理之晶圓C。 么由湘上述裝置貫行檢查及評價方法的不同,可將 口裝置50並列複數台,由各裝置自一晶圓架移動晶 圓而能對複數枚之晶圓實㈣樣的處理。 _ *第6圖表示主殼體之支持方法的變形例。第6[A]圖所 不艾形例之殼體支持33a用厚肉之矩形鋼板331&構成,於 =板士設殼體本體32a。因此殼體本體32a之底壁321a比 ^述K施形悲為形成較薄的構造。第6[β]圖所示變形例為 以殼體支持裝置33b之框構造體336b將殼體本體32b及裝 載室40b以吊下狀態支持。固定在框構造體336b之複數的 縱框337b之下端為固定在殼體本體32b之底壁321b的四 角’而由其底壁支持周壁及頂壁。其防振裝置37b為設置 在框構造體336b與台框36b之間。 裝載室40亦用固定在框構造體336之吊下部49b吊 下。依第6[B]圖之殼體本體32b的變形例,由於用吊下式 的支持,因此能圖得主殼體及設在其中之各種機器全體的 64 (修正頁)312765 1288424 低重心化。以上述包含變形例之主殼體及裝载室之支持方 法,由基台之振動不致傳及主殼體及裝载室。 、 又依未圖示的變形例,只有主殼體之殼體本體由殼體 支持裝置由下支持,裝載室射與鄰接U環境室同樣 方法設在基台上。再依未圖示之另一變形例只有主殼體之 殼體本體由框構造體以吊下方式支持,裝载室可與鄰接 小型環境室同樣的方法設於基台上。' 電子光學裝置7〇(第1實施例,第i圖)備有固定在殼 體本體32之鏡筒7卜如第7圖、第8圖之概略圖所示, 中认含有一次電子光學糸(以下簡稱一次光學系)72、二 次電子光學系(以下簡稱二次光學系)74之電子光學系及 檢測系76。一次光學系72為將電子線照射於檢查對象之 曰曰圓W的表面之光學系,具備··放出電子線的電子槍π 1 ; 使電子槍721放出之一次電子線聚焦之靜電透鏡即電容器 透鏡722 ;設在電容器透鏡722之下方具有複數之開口以 將次電子線形成為複數之一次電子線,即複數電子線的 複數開口板723 ;使一次電子線縮小之靜電透鏡式的縮小 透鏡724;維恩過濾器即ExB分離器725;及對物透鏡726, 以上各部如第7圖所示以電子槍721置於最上部依序並以 電子搶放出之一次電子線之光軸對檢查對象S的表面為垂 直的設置。 為要去除縮小透鏡724及對物透鏡726之像面彎曲收 差的影響,形成在複數開口板723之複數(本實施形態為9 個)之開口 723a為如第8圖所示形成以光軸為中心之圓的 65 (修正頁)312765 1288424 ,圓周上,並且使該開口在x軸上之投影像之x方向的間隔 Lx為相同的設置。 二次光學系74具備:通過經瓦\6分離器725自一次光 學系分離之二次電子之二段的靜電透鏡式之擴大透鏡741 及742,及複數開口檢測板743。形成在複數開口檢測板 743之開口 743a與形成在一次光學系之複數開口板723之 開口 7 2 3 a為一對一的對應。 檢測系76具備對應於二次光學系74之複數開口檢測 板743之各開口 743a並與其接近所設之複數(本實施形態 為9個)檢測器761,及對各檢測器761經由A/D變換器762 電氣的連接之晝像處理部763。 其次說明上述構成之電子光學裝置(第2實施例,第7 圖)的動作。由電子槍721放出之一次電子線由一次光學系 72之電容器透鏡722將其聚焦而於點P1形成交疊。一方 面由電容器透鏡722聚焦之一次電子線通過複數開口板之 複數的開口 723a形成複數之一次電子線,再經縮小透鏡 724縮小後投影於位置P2。對於位置P2聚焦後更用對物透 鏡726使其合焦於晶圓W的表面上。一方面一次電子線受 到設置在縮小透鏡724與對物透鏡726之間配置的偏向器 727的作用使其於晶圓W的表面上掃描•偏向。 經聚焦之複數(本實施形態為9條)的一次電子線對試 件S照射複數之點,由該被照射之複數的點將放出二次電 子。該二次電子受對物透鏡726之電場的吸引聚焦成細 線,經ExB分離器725偏向後投入二次光學系74。二次電 66 (修正頁)312765 1288424 -子之像為聚焦在距分離器725比位置P2為近的位置P3 處。其原因為一次電子線在晶圓上有5〇OeV的能量,而二 次電子線則只有數eV的能量之故。 聚焦在位置P3之二次電子的像經二段擴大透鏡741、 742後再聚焦於複數開口檢測板743之對應的開口 743a, 該像由對應各開口 743a而設置之檢測器761檢測。檢測器 761將檢測之電子線變換為表示其強度的電氣訊號。如上 述變換之電氣訊號由各檢測器761輸出各經A/D變換器 762變換成數位訊號後,輸入晝像處理部763。晝像處理部 763將輸入之數位訊號變換為晝像數據。對於晝像處理部 763供給有使一次電子線偏向之掃描訊號,因此晝像處理 部為顯示晶圓表面的晝像。將該晝像與預先設定在設定器 (未圖示)的標準圖形用比較器(未圖示)比較以檢查晶圓w 之被檢測(評價)圖形是否良好。再則如圖像重合 (registration)將晶圓W之被測定圖形移近一次光學系的 光軸,實行線掃描(line scan)以取出線幅評價訊號,並對 其適當的校正即可測定形成在晶圓表面之圖形的線幅。 又以一次光學系之通過複數開口板723之開口的一次 電子線對焦於晶圓W之表面而使由晶圓放出之二次電子結 像於檢測器761時,特別有必要使一次光學系發生的失 真,軸上色收差及視野非點之三收差的影響為最小。 對於複數之一次電子線間之間隔與二次光學系之關係 則一次電子線間之間隔設定比二次光學系之收差為大的距 離'消除複數之電子線間的串擾。 (修正頁)312765 67 1288424 ; 預充電部(Pre charge uni t)81為如第1圖所示於工 作容室31内鄰接電子光學裝置7〇之鏡筒71設置。本檢查 裝置為對檢查對象之基板即晶圓以電子線掃描照射以檢查 形成在晶圓表面之裝置圖形等之形式的裝置.,而以由電子 線的照射產生之二次電子等之資料為晶圓表面的資料,然 由於晶圓材料,照射電子之能量等的條件有使晶圓表面變 成充電(charge up)的狀態。又於晶圓表面有可能產生強充 電的部位及弱充電的部位。如晶圓表面之充電量發生不均 則二次電子資料亦產生不均以致不能得正確的資料。本實 施形態即為防止上述不均而設具備帶電粒子照射部811之 預充電部81。在對於欲檢查之晶圓的預定部位照射檢查電 子前,用該預充電部之帶電粒子照射部811照射帶電粒子 以消除充電之不均。對晶圓表面實行充電時為預先形成晶 圓面的晝像’對該晝像评價以檢測’然後依檢測結果動作 預充電部81。亦可用該預充電部將一次電子線模糊照射。 第9圖所示電位施加機構83為依據由晶圓放出之二次 電子資料(二次電子發生率)依存於晶圓之電位的事實而對 載置晶圓之工台的設置台施加士數V之電位以控制二次電 子之發生者。該電位施加機構並具有對照射電子當初具有 的能量減速,使晶圓具有1〇〇至5〇〇eV程度之照射電子能 量之用。 如第9圖所示,電位施加機構83具備電氣的連接工台 裝置50之載置面541之電壓施加裝置831,及充電調查及 電壓決定系統(以下稱調查及決定系統)832。調查及決定系 68 (修正頁)312765 1288424 統832具備電氣的連接於電子光學裝置7〇之檢測系76之 晝像形成部763之監視器833、連接於監視器833之操作 器(operator)834、及連接於操作器 834 之 CPU 835。CPU 835 供給訊號給前述電壓施加裝置831及偏向器727。前述電 壓施加機構為設計成求得使檢查對象之晶圓不容易充電的 電位並施加該電位。 對檢查試件實行電氣缺陷檢查的方法亦可利用本來電 氣的為絕緣的部分與該部分為通電狀態時其部分之電壓為 不同的現象。亦即事前對試件帶有電荷,使本來電氣的絕 緣部分之電壓與本來為電氣的絕緣部位但因某原因變成通 電狀態部位之電壓間產生電位差,其後對其照射本發明之 電子線以取得具有電位差的資料,而由解析該取得資料檢 查通電狀態。 、 第10圖所示電子線校準機構85具備設置在前述旋轉 台上晶圓之載置面541之側部之複數位置之複數的電子流 電流測定用的法拉第杯(Farady cup)851及852。法拉第杯 851為細電子線用(約0 2//m),法拉第杯852為粗電子線 用(約必30// m)。細電子線用之法拉第杯851於旋轉台步進 旋轉時測定電子線分佈(prof i le)。粗電子線用之法拉第杯 852計測電子線的總電流量。法拉第杯851及852之上表 面形成與放置在載置面541上之晶圓W的上表面同樣高 度。而以上述狀態經常監視由電子搶放出之一次電子線。 電子搶並非經常能放出固定的電子線,在使用期間其放出 量會變化。 (修正頁)312765 69 1288424 、调整控制裝置87為使用工台裝置5〇將晶圓f對於電 子光學裝置70實行定位的裝置,而為對於晶圓實行使用光 學顯微鏡871由廣視野觀察之概略定位(比電子光學系之 倍率為低的測定)、使甩電子光學裝置7〇之電子光學系的 兩七率疋位、焦點調整、檢查領域設定、圖形調整等之控 制。如上述用光學系以低倍率檢查晶圓為自動的檢查晶^ =圖形,而用電子線以小視野觀察晶圓圖形實行晶圓調整 時則有必要用電子線容易的檢測調整記號之故。 光學顯微鏡871設在殼體(可移動的設在殼體内亦 可)’使光學顯微鏡動作之光源亦設在殼體内。以高倍率實 行觀察之電子光學系為與電子光學裝置7〇之電子光學系只 (一次光學系72及二次光學系74)共用。第u圖表示其概 略構成。以低倍率觀察晶圓上之被觀察點,時為使工台妒置 5〇之X台53移動於X方向以使晶圓之被觀察點移動於、光 學顯微鏡的視野内。用光學顯微鏡871以廣視野視認晶圓 而將晶圓上該觀察的位置經由CCD 872顯示在監視器 873’大約將觀察位置決定。此時將光學顯微鏡之倍率由低 倍率變更為高倍率亦可。 * 其次將工台裝置50移動相當於電子光學裝置之光 軸與光學顯微鏡871之光軸之間隔h的距離以將由光學 顯微鏡預先決定《晶圓上的被觀察點移動至電子光學裝置 之視野位置。於此之電子光學裝置之軸線與光學顯微 鏡871之光軸〇4一〇4間的距離“(本實施形態設兩者只在 沿X軸線方向有位置偏差’但沿γ轴方向位移亦可)為預知 (修正頁)312765 70 1288424 因此只移動該值άχ即可將被觀察點移至視認位置。電子 光學裝置之被觀察點移至視認位置後,用電子光學系以高 倍率對被觀察點實行SEM照像將晝像記憶,顯示於監視器 765 〇 如上述用電子光學系以高倍率將晶圓之觀察點顯示在 監視器後,以公知的方法檢測晶圓對於工台裝置5〇之旋轉 之旋轉中心的旋轉方向之位置偏差,亦即檢測電子光 學系對光轴〇3-〇3之晶圓旋轉方向的偏差占0,並檢測對電 子光予裝置之預疋圖形之X軸及γ轴方向的位置偏差。然 後依其檢測值及由別途所得設在晶圓之檢查記號的數據或 ^關曰曰圓之圖形形狀等的數據以控制工台装置之動作 實行對晶圓的調整。 制八人參照第12圖及第13圖說明本發明之半導體裝置 ▲衣V方法的貝轭例。第12圖表示本發明之半導體裝置製 造方法之一實施例的流程圖。本實施例之製造工序包含以 下之主工序。 :圓之曰曰圓製造工序(或準備晶圓之晶圓準備工序) 偌使用於路光之遮膜製造工序(或準備遮膜之遮膜準 1有工序) =)對晶圓實行必要之加工處理的晶圓處理工序 動作上之晶片(叫)-侧㈣,使其成為可 利邛之日日片形成工序。 ⑸對製成之晶片實行檢查之晶片檢查工彳 又上述各主工序更含有數個副工序。 (修正頁)312765 71 1288424 上述主工序中對半導體裝置之性能有決定性影響的為 (3)之晶圓處理工序。該工序中將設計之電路圖形順次積層 在晶圓上,形成多數之動作為記憶體及Mpu之晶片。該晶 圓處理工序包含以下各工序。 ⑷形成構成絕緣層之介電體薄膜及配線部,或構成電極部 之金屬薄膜等的薄膜形成工序(使用CVD及喷鍍法等) (Β)對該薄膜層及晶圓基板實行氧化之氧化工序 用對薄膜層及晶®基板等選擇的加工之遮膜(reticule) 形成抗蝕膜圖形之照像製版工序 ⑻用抗㈣圖形對薄臈層及基板實行加工之钱刻工序(例 如使用乾蝕刻技術) (E) 離子.不純物注入擴散工序 (F) 抗餘膜剝離 工序 (G) 對加工之晶圓實行檢查的工序 :圓處理工序依需要重複所需層數’製成依設 導體裝置。 j印心干 版第13圖表示第12圖之晶圓處理工序之中心的照像製 工序之流程圖。該照像製版工序包含以下各工序。 ^對前段之工序形成電路圖形之晶圓上塗布抗㈣之抗 域膘塗布工序 (b)對抗蝕膜實行露光的工序 序。對路光之杬蝕膜實行顯像以得抗蝕膜之圖形的顯像工 使,、、、員像之抗#膜圖%安定化之勒化工彳 72 (修正頁)312765 1288424 - 上述半導體裝置製造工序,晶圓處理工序,照像製版 工序為周知的技術而不需更加說明。 卜對上述(G)之檢查工序使用本發明之缺陷檢查方法、缺 k查裝置則對於具有微細圖形之半導體裝置亦能以良好 ^產率實行檢查,亦可對全數實行檢查而能提高製品之成 品率,並能防止缺陷製品的出貨。 依本發明可達到以下效果。 (甲)由於能將使用複數之電子線的檢查裝置之各構成機器 以功能的組合,因此能以高生產率處理檢查對象。 (乙)由於在小型環境空間内設置觀察清淨度之感測器,因 此能監視著空間内之塵埃以對檢查對象實行檢查。 (丙)由於設置預充電部,因此以絕緣物構成之晶圓亦不易 受到充電的影響。 第14A圖表示本發明第3實施例之電子線裝置woo 之光學系的概略圖。複數射極1〇〇1、1 002、1〇〇3放出之一 k電子線由電谷裔透鏡1 〇 〇 4將其縮小投影於像面1 〇 〇5,With the arm (4), the wafer holder 47, the unprocessed wafer C wafer holder 47 is also withdrawn, and after being positioned by the pre-adjuster, the moving main garment is carried to the wafer of 41, and the processing will be processed during the processing: In the wafer 47, the processed wafer A is replaced with the unprocessed wafer C during the ruin. Depending on the method of inspection and evaluation by the above-mentioned devices, the device 50 can be arranged in parallel, and each device can be processed from a wafer holder to process a plurality of wafers. _ * Figure 6 shows a modification of the method of supporting the main casing. The housing support 33a of the sixth embodiment of Fig. 6 is composed of a rectangular steel plate 331 & of thick meat, and is provided with a housing body 32a. Therefore, the bottom wall 321a of the casing body 32a is formed to have a thinner configuration than the K. In the modification shown in Fig. 6 [β], the casing main body 32b and the loading chamber 40b are supported by the frame structure 336b of the casing supporting device 33b in a suspended state. The lower end of the vertical frame 337b fixed to the frame structure 336b is fixed at the four corners ' of the bottom wall 321b of the casing body 32b, and the peripheral wall and the top wall are supported by the bottom wall thereof. The vibration isolating device 37b is provided between the frame structure 336b and the frame 36b. The load chamber 40 is also suspended by a lower portion 49b of the frame structure 336. According to the modification of the casing main body 32b of the sixth drawing [B], since the support of the hanging type is supported, it is possible to reduce the center of gravity of the main casing and the various machines (correction page) 312765 1288424 provided therein. According to the above-described support method including the main casing and the loading chamber of the modification, the vibration of the base is not transmitted to the main casing and the loading chamber. According to a modification not shown, only the housing body of the main housing is supported by the housing support device, and the loading chamber is placed on the base in the same manner as the adjacent U environmental chamber. According to another modification, not shown, only the casing body of the main casing is supported by the frame structure in a hanging manner, and the loading chamber can be provided on the base in the same manner as the adjacent small environmental chamber. The electro-optical device 7A (the first embodiment, the i-th image) is provided with a lens barrel 7 fixed to the casing body 32 as shown in the schematic views of Fig. 7 and Fig. 8, and the primary electron microscope is recognized. (hereinafter referred to as primary optical system) 72, an electron optical system and a detection system 76 of a secondary electron optical system (hereinafter referred to as secondary optical system) 74. The primary optical system 72 is an optical system that irradiates an electron beam on the surface of the circle W of the inspection object, and includes an electron gun π 1 that discharges the electron beam, and a capacitor lens 722 that is an electrostatic lens that focuses the electron beam that is emitted from the electron gun 721. Provided below the capacitor lens 722 having a plurality of openings to form the sub-electron lines into a plurality of primary electron lines, that is, a plurality of opening plates 723 of the plurality of electron lines; an electrostatic lens type reduction lens 724 for reducing the primary electron lines; The filter is the ExB separator 725; and the objective lens 726, as shown in Fig. 7, the surface of the inspection object S is the optical axis of the primary electron beam which is placed in the uppermost portion by the electron gun 721 and is intermittently discharged by electrons. Vertical setting. In order to remove the influence of the curvature reduction of the reduction lens 724 and the objective lens 726, the plurality of openings 723a formed in the plurality of aperture plates 723 (nine in the present embodiment) are formed as shown in FIG. The center of the circle 65 (correction page) 312765 1288424, on the circumference, and the interval Lx in the x direction of the projection image of the opening on the x-axis is the same setting. The secondary optical system 74 includes two types of electrostatic lens-type enlarged lenses 741 and 742, which are separated from the primary optical system by the primary/six separator 725, and a plurality of aperture detecting plates 743. The opening 743a formed in the plurality of opening detecting plates 743 has a one-to-one correspondence with the opening 7 2 3 a formed in the plurality of opening plates 723 of the primary optical system. The detection system 76 is provided with a plurality of detectors 761 corresponding to the respective openings 743a of the plurality of aperture detecting plates 743 of the secondary optical system 74, and is disposed adjacent thereto, and the detectors 761 are connected to the respective detectors 761 via A/D. The transducer 762 is electrically connected to the image processing unit 763. Next, the operation of the above-described electro-optical device (second embodiment, Fig. 7) will be described. The primary electron beam emitted by the electron gun 721 is focused by the capacitor lens 722 of the primary optical system 72 to form an overlap at point P1. The primary electron beam focused by the capacitor lens 722 on one side passes through the plurality of openings 723a of the plurality of aperture plates to form a plurality of primary electron lines, which are then reduced by the reduction lens 724 and projected at the position P2. After focusing on the position P2, the objective lens 726 is used to focus on the surface of the wafer W. On the one hand, the primary electron beam is subjected to the action of the deflector 727 disposed between the reduction lens 724 and the objective lens 726 to scan and deflect the surface of the wafer W. The primary electron beam of the focused plurality (the nine in the present embodiment) illuminates the plurality of points of the sample S, and the secondary electrons are emitted from the plurality of points irradiated. The secondary electron is attracted to the thin line by the attraction of the electric field of the objective lens 726, and is biased toward the secondary optical system 74 via the ExB separator 725. Secondary Power 66 (Revision Page) 312765 1288424 - The sub-image is focused at a position P3 that is closer to the separator 725 than the position P2. The reason is that the primary electron beam has 5 〇 OeV of energy on the wafer, while the secondary electron ray has only a few eV of energy. The image of the secondary electrons focused on the position P3 is focused on the corresponding opening 743a of the plurality of aperture detecting plates 743 via the two-stage enlarged lenses 741 and 742, and the image is detected by the detector 761 provided corresponding to each of the openings 743a. Detector 761 converts the detected electronic line into an electrical signal indicative of its intensity. The electric signals converted as described above are converted into digital signals by the respective A/D converters 762, and are input to the imaging processing unit 763. The key processing unit 763 converts the input digital signal into key image data. Since the imaging processing unit 763 supplies a scanning signal for deflecting the primary electron beam, the imaging processing unit displays an image of the wafer surface. This image is compared with a standard pattern comparator (not shown) previously set in a setter (not shown) to check whether the detected (evaluated) pattern of the wafer w is good. Then, as the image registration, the measured pattern of the wafer W is moved closer to the optical axis of the optical system, and a line scan is performed to take out the line evaluation signal, and the appropriate correction can be performed to determine the formation. The line width of the graphic on the surface of the wafer. Further, when the primary electron beam passing through the opening of the plurality of aperture plates 723 of the primary optical system focuses on the surface of the wafer W and the secondary electrons emitted from the wafer are imaged on the detector 761, it is particularly necessary to cause the primary optical system to occur. The distortion, the axial color difference and the visual field non-point three are the least affected. For the relationship between the intervals of the first plurality of electron lines and the secondary optical system, the interval between the primary electron lines is set to be larger than the difference between the secondary optical systems, and the crosstalk between the complex electronic lines is eliminated. (Revision page) 312765 67 1288424; A precharge unit (Pre charge uni t) 81 is provided in the lens barrel 71 adjacent to the electro-optical device 7 in the working chamber 31 as shown in Fig. 1. The inspection device is a device that scans a wafer, which is a substrate to be inspected, by electron beam scanning to inspect a device pattern formed on the surface of the wafer, and the like, and the secondary electrons generated by the irradiation of the electron beam are The data on the surface of the wafer is in a state in which the surface of the wafer is charged up due to conditions such as the material of the wafer and the energy of the electrons. Further, on the surface of the wafer, there is a possibility of generating a strong charging portion and a weakly charged portion. If the amount of charge on the surface of the wafer is uneven, the secondary electronic data will also be uneven so that the correct data cannot be obtained. In the present embodiment, the precharge unit 81 including the charged particle irradiation unit 811 is provided to prevent the above unevenness. Before the inspection electrons are irradiated to a predetermined portion of the wafer to be inspected, the charged particle irradiation portion 811 of the precharge portion irradiates the charged particles to eliminate the unevenness in charging. When the surface of the wafer is charged, the image of the wafer is formed in advance, and the image is evaluated to detect, and then the precharge portion 81 is operated in accordance with the detection result. The pre-charging portion can also be used to illuminate the primary electron beam. The potential application unit 83 shown in FIG. 9 applies a number of places to the installation table of the stage on which the wafer is placed, based on the fact that the secondary electronic material (secondary electron generation rate) emitted from the wafer depends on the potential of the wafer. The potential of V to control the occurrence of secondary electrons. The potential applying mechanism has a deceleration of energy originally generated by the irradiated electrons, and the wafer has an electron energy of about 1 〇〇 to 5 〇〇 eV. As shown in Fig. 9, the potential application mechanism 83 includes a voltage application device 831 on the mounting surface 541 of the electrical connection station device 50, and a charge investigation and voltage determination system (hereinafter referred to as a survey and determination system) 832. The investigation and decision system 68 (amendment page) 312765 1288424 system 832 includes a monitor 833 electrically connected to the image forming portion 763 of the detection system 76 of the electro-optical device 7A, and an operator 834 connected to the monitor 833. And a CPU 835 connected to the operator 834. The CPU 835 supplies a signal to the voltage applying means 831 and the deflector 727. The voltage applying means is designed to find a potential at which the wafer to be inspected is not easily charged and apply the potential. The method of performing an electrical defect inspection on the inspection specimen may also use the phenomenon that the portion of the incoming gas is insulated and the voltage of the portion is different when the portion is energized. That is, the test piece is charged beforehand to cause a potential difference between the voltage of the originally electrically insulated portion and the voltage which is originally an electrically insulating portion but becomes a conductive state portion for some reason, and thereafter irradiates the electronic wire of the present invention with The data having the potential difference is obtained, and the power-on state is checked by analyzing the acquired data. The electronic wire calibration mechanism 85 shown in Fig. 10 includes a plurality of Farady cups 851 and 852 for measuring the currents of the current flowing at a plurality of positions on the side of the mounting surface 541 of the wafer on the rotary table. The Faraday Cup 851 is for fine wire (about 0 2/m), and the Faraday cup 852 is for thick wire (about 30//m). The Faraday cup 851 for fine wire is used to measure the electron line distribution (prof i le) when the rotary table is stepped and rotated. The Faraday cup for the thick electronic wire 852 measures the total current of the electronic wire. The upper surfaces of the Faraday cups 851 and 852 are formed to have the same height as the upper surface of the wafer W placed on the mounting surface 541. In the above state, the electronic wires that are discharged by the electrons are often monitored. Electronic robbing does not always release a fixed electronic line, and its release amount changes during use. (Revision page) 312765 69 1288424 The adjustment control device 87 is a device for positioning the wafer f to the electro-optical device 70 using the table device 5, and is used for the wafer to perform the general positioning of the optical microscope 871 by wide field of view. (measurement that is lower than the magnification of the electro-optical system), control of the two-seventh rate of the electro-optical system of the 甩electron optical device 7, focus adjustment, inspection field setting, pattern adjustment, and the like. As described above, it is necessary to inspect the wafer at a low magnification by an optical system to automatically check the crystal pattern, and to observe the wafer pattern with a small field of view to perform wafer adjustment, it is necessary to easily detect the adjustment mark by the electron line. The optical microscope 871 is provided in the casing (movable in the casing). The light source for operating the optical microscope is also disposed in the casing. The electron optical system that is observed at a high magnification is shared only with the electro-optical system of the electro-optical device 7 (primary optical system 72 and secondary optical system 74). Figure u shows its outline. When the observed point on the wafer is observed at a low magnification, the X stage 53 on which the stage is placed is moved in the X direction to move the observed point of the wafer into the field of view of the optical microscope. Using the optical microscope 871 to view the wafer in a wide field of view, the observed position on the wafer is displayed on the monitor 873' via the CCD 872 to approximately determine the viewing position. In this case, it is also possible to change the magnification of the optical microscope from a low magnification to a high magnification. * Next, the stage device 50 is moved by a distance corresponding to the interval h between the optical axis of the electron optical device and the optical axis of the optical microscope 871 to determine in advance the position of the field of view on the wafer to be moved to the position of the electron optical device by the optical microscope. . The distance between the axis of the electro-optical device and the optical axis 〇4 to 4 of the optical microscope 871 "(In this embodiment, both of them may have a positional deviation in the X-axis direction but may be displaced in the γ-axis direction) For the prediction (correction page) 312765 70 1288424, therefore, only by moving the value άχ, the observed point can be moved to the viewing position. After the observed point of the electro-optical device is moved to the viewing position, the observed point is observed at a high magnification by the electron optical system. Performing SEM imaging to display the image memory on the monitor 765. After displaying the observation point of the wafer at a high magnification by the electron optical system as described above, the wafer is detected by a known method for the power station device 5 The positional deviation of the direction of rotation of the center of rotation of the rotation, that is, the deviation of the direction of rotation of the wafer of the optical axis 〇3-〇3 by the detecting electron optical system is 0, and the X-axis of the pre-turn pattern of the electron-lighting device is detected and Positional deviation in the γ-axis direction. Then, according to the detected value and the data of the inspection mark set on the wafer or the shape of the graphic shape of the circle, the wafer is adjusted by the action of the control station device. An eight-figure example of a semiconductor device according to the present invention will be described with reference to FIGS. 12 and 13. FIG. 12 is a flow chart showing an embodiment of a method for fabricating a semiconductor device according to the present invention. The manufacturing process includes the following main steps: The manufacturing process of the round circle (or the wafer preparation process for preparing the wafer) 偌 The manufacturing process of the mask used for the road light (or the process of preparing the mask for the mask) =) The wafer processing process in which the wafer processing operation is performed on the wafer, which is necessary for processing, is made into a wafer forming process. (5) Wafer inspection for inspection of the fabricated wafer In addition, the above-mentioned main processes further include several sub-processes. (Revision page) 312765 71 1288424 The wafer processing process of (3) which has a decisive influence on the performance of the semiconductor device in the above main process. The circuit pattern is sequentially laminated on the wafer to form a plurality of wafers for the memory and the Mpu. The wafer processing step includes the following steps: (4) forming a dielectric thin film and a wiring portion constituting the insulating layer, or forming Film forming step of a metal film such as a pole portion (using CVD, sputtering, etc.) (Β) oxidizing the film layer and the wafer substrate, and etching the film layer and the crystal substrate, etc. (reticule) Photolithography process for forming a resist pattern (8) A process for etching a thin layer and a substrate by using an anti-(four) pattern (for example, using a dry etching technique) (E) Ions. Impurity injection diffusion process (F) Residual film peeling process (G) The process of inspecting the processed wafer: the round processing process repeats the required number of layers as needed to make the conductor device. The j-printed dry plate 13th shows the wafer of the 12th figure A flowchart of a photographing process at the center of the processing step. The photolithography step includes the following steps. ^ On the wafer forming the circuit pattern in the previous step, the anti-(4) anti-domain coating process (b) the step of exposing the resist film. The development of the film of the light of the road light to obtain the pattern of the resist film, the image of the film, the image of the image of the film, the stability of the chemical industry 72 (revision page) 312765 1288424 - the above semiconductor The device manufacturing process, the wafer processing process, and the photolithography process are well-known techniques and need not be further described. By using the defect inspection method of the present invention and the lack of k check device for the inspection process of the above (G), the semiconductor device having the fine pattern can be inspected at a good yield, and the entire number can be inspected to improve the product. Yield and prevent shipment of defective products. According to the present invention, the following effects can be achieved. (A) Since each of the constituent devices of the inspection apparatus using a plurality of electronic wires can be combined in function, the inspection target can be processed with high productivity. (B) Since the sensor for observing the cleanness is provided in a small environmental space, it is possible to monitor the dust in the space to inspect the object to be inspected. (C) Since the precharge portion is provided, the wafer formed of the insulator is also less susceptible to charging. Fig. 14A is a schematic view showing an optical system of an electronic wire device woo according to a third embodiment of the present invention. The complex emitters 1〇〇1,1 002,1〇〇3 release one of the k electron lines from the electric grain lens 1 〇 〇 4 to reduce it to the image plane 1 〇 〇5,

再由透鏡1006、對物透鏡1008縮小,縮小投影於試件面 1010。複數射極在第14圖上只示一列,但如第1 7 A圖所示 設複數列。第17A圖表示3x3的射極,第1 7B圖表示第1 7A 圖沿17B-17B之剖面圖。第17A圖、第17B圖中1〇21為Further, the lens 1006 and the objective lens 1008 are contracted and projected onto the test piece surface 1010. The complex emitters show only one column in Figure 14, but a complex sequence is shown as shown in Figure 17A. Fig. 17A shows the emitter of 3x3, and Fig. 7B shows the cross section of Fig. 17B along 17B-17B. In Fig. 17A and Fig. 17B, 1〇21 is

Si基板022為Mo射極,1023為Au引出電極,】024為 Si 3沁絕緣膜。射極數可適當選擇。透鏡為以具2至1 直徑之開口的2枚至3枚之平面電極依光軸方向以2至 1 Omni間隔設置,對各電極施加不同電壓構成凸透鏡作用。 (修正頁)312765 1288424 由被數射極1001、1002、1003放出之一次電子線照射 而自試件面放出之二次電子受到施加在試件面I 〇〗〇與對 物透鏡1 0 〇 8間的加速電場加速,使由大放出角放出之二次 電子在射入對物透鏡1 〇〇8前絞成細線,再通過開口絞 1007 ’由透鏡1〇〇6使其結像於與一次電子線同樣的像面 1005 〇 在像面1005之位置設有ExB分離器1〇〇9,使通過透 鏡1 006之二次電子自一次光學系分離。£xB分離器1〇〇9 為於試料面1010之垂直於其法線(紙面之上方向)的平面 内使電場與磁場直行的構造,其電場、磁場、一次電子能 量之關係為使一次電子直進的設定。 經分離之二次電子由透鏡1〇11、1〇12光學的擴大而) 檢測面1013形成複數的像。於檢測面1〇13設有對應於^ 後數射極100卜1〇〇2、1003之一次電子線的檢測器1〇14 1015、1G16,由其檢測各電子線照射試件面而放出之二$ 電子。複數射極_、_、刪為要修正—次光學系3 像面幫曲’在z軸方向少許偏位設置。即光轴上之射極100 认在離4件取遇的位置,離開光轴之射極⑽2設在比射才』 1001近係面3曲值於試件側,而離開光軸更遠之射極⑽ 則設在更近於試件的位置。 為知、射试件全面,自潘盤* ▽—— 目禝數射極之一次電子線由靜電偏 向裔1017貫行掃描。又遠叙 二;h > 運動於一次電子線的掃描亦使設名 一二人光子系的靜電偏向器1 、 掃描位置使其經常射W ^田’以使不依二次電子泛 預疋之私測器1014、1015、1016The Si substrate 022 is a Mo emitter, 1023 is an Au extraction electrode, and 024 is a Si 3 沁 insulating film. The number of emitters can be selected as appropriate. The lens is provided with two to three planar electrodes having openings of 2 to 1 diameter at intervals of 2 to 1 Omni in the optical axis direction, and different voltages are applied to the respective electrodes to constitute a convex lens. (Revision page) 312765 1288424 Secondary electrons emitted from the surface of the test piece by the primary electron beam emitted by the number of emitters 1001, 1002, 1003 are applied to the test piece surface I 〇 〇 and the objective lens 10 〇 8 The accelerating electric field is accelerated, so that the secondary electrons emitted by the large exit angle are twisted into a thin line before being incident on the objective lens 1 〇〇8, and then twisted by the opening 1007' by the lens 1〇〇6. The same image surface 1005 of the electron beam is provided with an ExB separator 1〇〇9 at the image surface 1005, so that the secondary electrons passing through the lens 1 006 are separated from the primary optical system. The £xB separator 1〇〇9 is a structure in which the electric field and the magnetic field are made straight in a plane perpendicular to the normal line (the direction above the paper surface) of the sample surface 1010, and the relationship between the electric field, the magnetic field, and the primary electron energy is such that the primary electron Straight forward setting. The separated secondary electrons are optically enlarged by the lenses 1〇11 and 1〇12, and the detecting surface 1013 forms a plurality of images. On the detecting surface 1〇13, detectors 1〇14 1015 and 1G16 corresponding to the primary electrons of the rear emitters 100b1, 2, and 1003 are provided, and the electron beam is irradiated to the surface of the test piece to be released. Two $ electrons. The complex emitter _, _, is deleted to be corrected - the secondary optical system 3 image surface helper ' is slightly offset in the z-axis direction. That is, the emitter 100 on the optical axis recognizes the position where the four pieces are taken, and the emitter (10) 2 that is separated from the optical axis is set to be closer to the test piece side than the optical axis 1001, and is further away from the optical axis. The emitter (10) is located closer to the test piece. In order to know and shoot the test piece comprehensively, from Pan Pan* ▽ —— —— —— —— —— —— —— —— —— —— —— —— —— —— —— —— —— —— —— —— —— —— —— —— —— —— —— Further, the second chapter; h > movement in the scanning of an electronic line also makes the electrostatic deflector 1 of the photonic system of 1 or 2, scanning position so that it often shoots W ^ field 'to make it not secondary to secondary electrons Private detectors 1014, 1015, 1016

(修正頁)3Π765 74 1288424 的控制。即由射極1001、1002、1003之一次電子線的二次 電子為各射入檢測器1014、1015、1016的控制。檢測器等 為於施加有20kV程度之電壓的pIN二極體之前面設有檢測 器之數的孔之曲面上的電極,對該電極施加有lkV程度的 電壓。受到從孔漏出之20kV電壓形成之電場的凸透鏡作 用,到達孔之近傍的二次電子均通過孔而進入檢测器。曲 面之形狀形成修正一次光學系之像面彎曲的形狀。 其次說明複數之一次電子線的照像位置間隔與二次光 學系的關係。第15圖表示二次光學系與開口角。如圖所馨 示,設受入角度αΐ内之二次電子經過對物透鏡1〇〇8、開 口絞1007、透鏡1006結像於像面1〇〇5。於此之於像面1〇〇5 之開口半角為ai,設二次光學系之擴大倍率為Μ,則由對 物透鏡1008所見外觀角度α〇與ai之關係為ai/a〇= 1/M。又設對物透鏡1〇〇8之電子線電位為V8、二次電子之 初期能量為Vini,則角度之關儀為(α1/α〇) = V8/Vini 〇 第16圖表示於試件面丨〇1〇之收差與開口半角j i的 關係第16圖中占s為球面收差,占c〇ma為形像(c〇ma) 收差、5C為色收差,占total為其總計。 設如容許20//m之收差,則開口半角α 土有必要設在 5· 3mrad以下。又需檢測之二次電子的初期能量vi ni考慮 • leV至l〇eV即充分,而設擴大倍率μ為5,於對物透鏡 1008之電子線電位V8為爾,則α l = ii85财㈣· 9。。 由於將文入角度〇。至6〇。之9〇%以上之二次電子收入 (修正頁)312765 75 1288424 ;(參照美國專利第5412210號說明書第6圖),如設二次光 學系之開口半角a i即分解能為5. 3mard程度,檢測器之 尺寸以試件面換算為2〇 的4倍程度,則可將90%以上 之二次電子無串擾的收集。又如設複數射極之間隔為100 #m程度,則射極間的串擾不成問題。對於二次電子不必 要收集90%以上,如收集5〇%以上即能得充分S/N比時,將 小於45°的角度放出之二次電子由檢測器收集即可。其原 因為二次電子之收集率^可由下式表示。 S45。 · 0 sin^ cos^ / S 〇9〇esin^ cos^ =〇. 5 如上所述,一次電子線互相為照射在由二次光學系之 距離分解能更離開的位置。第14B圖表示由上看之電子線 照射面的擴大圖,圖中之距離N為通過透鏡1〇〇8、l〇u、 1012以試件面換算的分解能。如圖所示,距離N為等於或 大於能識別之二點間的距離而能得無串擾之複數電子線, 並得高生產率。該構成之電子線裝置可利用於半導體裝置 之缺陷檢查及微小距離之測定。 第12圖、第13圖所示半導體襞置之製造方法例的流 程圖之晶片檢查工序中,如利用第14A圖之電子線裝置, 則能以良好生產率檢查,又可對全數製品檢查而能提高製 品的成品率’防止缺陷製品的出貨。 由以上的說明可明瞭,依第14A圖之電子線裝置由於 自試件放出之二次帶電粒子之大部分可不發生串擾的檢 測,因此能實行S/N比高的缺陷檢查或圖形線幅測定。 又一-人光學系之收差於試件面為2 〇 # m程度亦可得充 (修正頁)312765 76 1288424 高精度之二次光學系,而一 因此形成複數之帶電粒子簡 :分的檢測結果,因此不必使用 次光學系為對試件垂直設置, 單' 學夺5 H減件面與一次光學系之初段透鏡間之對一次光 電場而對二次光學系則形成加速電場,因此容 册-_人▼電粒子線收絞,又能對廣角度範圍放出之二-欠 I電粒子於初段透雜該成細電子線,能率 測粒子:因而能得S/N良好㈣ 圖、第18β圖表示帶電電子線裝置之習用的直 二工=)。::視㈣19_習心機 Θ 圖、第20Β圖表示本發明第4實 1之^電電子線裝置(工台等)2咖的概略剖視圖,第^ 二二=第5實施例之帶電電子線裝置(工台等)2100 線裝υ Λ’422表示本發明第6實施例之帶電電子 第二二之概略斷面圖,第23圖表示本發明 圖itf _工台等则之概略剖視 、不本發明弟8貫施例之帶電電子線農置(工 口寺)2400之概略剖視圖,第 + 部分註以同-符號。弟圖中同樣構成 置2〇T〇2::;第_圖表示第4實施例之帶電電子線裝 .^ 。 之Y方向可動部2005之上面設有較大 =勺水平的伸出於+γ方向及_γ方向(第_圖中為左右 m間隔板觀,與χ方向可動部靠之上面間構成 吊小之收絞部_ °又於X方向可動部_上面 (修正頁)312765 77 1288424 亦構成同樣之向±X方向(第20A圖上為左右方向)伸出之間 隔板2 012 ’而與工台2 0 0 7上面之間經常構成收絞部£ 〇 51。 工台2007在殼體2008内以公知方法固定在底壁上。 因此無論試件台2004移動於何位置亦經常形成收絞 部2050及2051,可動部2005及2006移動時即使由導引 (guide)面2006a及2007a放出氣體亦由收絞部2050及 2051阻止放出氣體的移動,因此可將帶電電子線照射之試 件近傍之空間2024的壓力上升抑制至非常小。 於工台之可動部2003侧面及下面及可動部2006之下 面,於靜壓軸承2009的周圍形成有如第19圖所示之差動 排氣用的槽並用該槽實行真空排氣,因此形成收絞部 2050、2051時,由導引面之放出氣體主要由該等差動排氣 部排氣。因此在工台内部之空間2013及2〇15之壓力成為 比容室C内之壓力為高的狀態。因此不但以差動排氣槽 2017及2018對空間2013及2015排氣,於別處設真空排 氣的部位則可降低空間2013及2015之壓力,更加減小試 件近傍2024之壓力上升。為此設有真空排氣通路 及2011-2。排氣通路為貫通工台2〇〇7及殼體2〇〇8通至殼 體2008外部。又排氣通路2011 —2向形成在χ方向可動部 2006之X方向可動部2006的下面設有開口。 由於間隔板2 012及2 014的設置,以致有必要增大容 至以使容室C與間隔板不干涉,但將間隔板形成伸縮自如 的構造可改善上述問題。本實施例可考慮將間隔板用橡膠 構成蛇腹狀,其移動方向之端部對於間隔板2〇14為固定在 78 (修正頁)3Π765 1288424 X方向可動部2006的内壁,而對間隔板2〇12為固定於殼 體2 0 0 8之内壁的構成。 第21圖表示本發明第5實施例之帶電電子線裝置 2100。依第5實施例在鏡筒的前端部即在帶電電子線照射 邰^002之周圍以與試件s上面之間形成收絞部的狀態構成 □筒狀的間、部2016。依此構成時,由χγ工台放出氣體 而谷至c内的壓力上升時,亦由於間隔之内部2〇24為由間 隔部2016間隔而由真空配管2〇1〇排氣,因此容室c内及 間隔之内部2024之間產生壓力差,由此能將間隔内部的空鲁 =2024之壓力上升壓低。間隔部2〇16與試件間之間隙依 谷至C内之照射部與周邊之壓力的維持程度而異,一般以 數十//m至數mm程度為宜。又間隔部2〇16内與真空配音 為以公知方法連通。 ^ π電電子線知射褒置有對試件S施加數kV程度之高電 塵的狀態’如將具導電性之材料設置在試件近傍則有發生 放電之虞。於此可用陶莞等之絕緣物構成間隔部2〇16,由赢 此可避免試件S與間隔部2016間發生放電。 警 设在试件S〈晶圓)周圍之圈狀部2004-1為固定在試件 台2004之板狀的調整零件,對如晶圓之試件的端部照射電 子線衿,以對於間隔部2〇16之前端部全周形成微小間隙 2052的狀態設定與晶圓同―的高度。由此使得對於試件$ 之4何位置…、射電子線時,於間隔部2 〇 16的前端部經常形 成固定的微小間隙2咖,由此能妓的保持鏡筒前端部_ 圍之空間2024的壓力。 · 79 (修 JL 頁)312765 1288424 第22圖表示本發明第6實施例之帶電電子線裝置 2200。於鏡筒2001之帶電電子線照射部2002的周圍設内 裝有差動排氣構造之間隔部2019。間隔部2019形成圓筒 狀’其内部形成圓周槽2 02 0,由該圓周槽向上方延伸有排 氣通路2021。排氣通路經由内部空間2022連通真空配管 2023。間隔部2019之下端與試件S的上面間形成數十 至數mm程度之微小間隙。 依上述構成於隨工台之移動由工台放出氣體使容室c 内的壓力上升而有氣體欲流入前端部即帶電電子線照射部 2002時,亦由間隔部2〇19使其與試件s間之間隙收絞而 使導通非常小,氣體流通受阻撓而減小流入量。又由於流 入之氣體為由圓周槽2020排氣於真空配管2023,因此能 大致消除流入帶電電子線照射部2〇〇2周圍之空間2〇24的 氣體,能將帶電電子線照射部2〇〇2之壓力維持於希望之高 真空度。 第23圖表示本發明第7實施例之帶電電子線裝置 2300。於容室c與帶電電子線照射部2〇〇2之周圍設有間隔 邛2026將▼電電子線照射部2〇〇2自容室c隔開。該間 隔部2026介由銅及料熱傳導性良好的材料形成的支持 部2029連結於冷練機·將其冷卻至_1〇代至—2〇代程 =零件2027甩於阻止冷卻之間隔部2〇26與鏡筒間的熱 2 ^ ”莞及樹脂等熱傳導不良的材料形成。零件 =’、由陶瓷等之絕緣體形成,設在間隔部別%之下端 -阻止4件S與間隔部2G26之間發生放電的功用。 (修正頁)312765 80 1288424 - 依上述的構成,由容室c内欲流入帶電電子線照射部 的氣體分子受到間隔部2026阻擋,即使流入亦受到間部 2026表面涞結捕集,因此能保持帶電電子線照射部2〇24 於低壓力。冷凍機可使用液體氮素之冷卻,或He冷凍機, 脈衝管(pulse tube)式冷凍機等各種冷凍機。 第24圖表示本發明第8實施例之帶電電子線裝置 2400。工台2003之兩可動部設有如第2〇圖之間隔板2(Π2 及2014,試件台2004移動至任何位置亦由該等間隔板將 工台内之空間2013及容室C内收絞部2050及2051間隔。 又於帶電電子線照射部2002之周圍形成與第21圖同樣之 間隔部2016 ’將容室c内與帶電電子線照射部2002之空 間2024介由收絞部2052間隔。因此於移動工台時,即使 吸著在工台氣體放出於空間2〇13使該部分的壓力上升,亦 可將容室c内的壓力上升壓低,空間2〇24之壓力上升則可 更壓低。由此可雄持帶電電子線照射空間2〇24於低壓力狀 態。又例如將間隔部2016形成内裝有差動排氣機構之間隔 部2019’或形成如第22圖所示以冷凍機冷卻之間隔部2026 則可將空間2024更加維持於安定之低壓狀態。 第25圖表示本發明第9實施例之帶電電子線裝置 2500之光學系及檢測器模式。光學系為設在鏡筒内,本光 學系及檢測器為舉例表示而應於需要可用任意之光學系及 檢測器°帶電電子線裝置之光學系2060具備對載置在工台 2003上之試件s照射帶電電子線之一次光學系2〇61,及收 取由試件放出之二次電子之二次光學系2071。一次光學系 81 (修正頁)312765 1288424 :2〇61具備放出帶電電子線之電子槍2062、對電子槍2〇62 放出之帶電電.子線實行聚焦之由二段靜電透鏡形成之透鏡 系2063及2064、偏向器2065、使帶電電子線偏向成為其 光轴為垂直於對象之面的維恩過濾器即Εχβ分離器2 〇 6 6、 以及2段之靜電透鏡形成之透鏡系2〇67及2〇68。電子搶 2 0 6 2、透鏡糸2 0 6 3及20 6 4、偏向器2 0 6 5為如第2 5圖所 不以電子搶2062為最上部依順對帶電電子線之光轴對試 件s之表面(試件面)的垂直線為傾斜的設置。ΕχΒ偏向器 2066備有電極2661及磁鐵2662。 —次光學系2071為容試件S放出之二次電子射入之光 學系,具備設在一次光學系之ΕχΒ偏向器2066之上侧由2 段靜電透鏡形成的透鏡系2072及2073。檢測器2080檢測 經由二次光學系2071送至之二次電子。上述光學系2060 及檢測器2080之各構成要素之構造及功能與習用技術袓 同,於此省略其詳細說明。 電子槍2062放出之帶電電子線經由電子槍之正方形 開口整形,受2段的透鏡系2063及2064縮小,由偏向器 2065調整其光軸使其於ΕχΒ偏向器2066之偏向中心面形 成—邊為1· 25mm之正方形結像。£\6偏向器2066於對試 件之法線垂直的平面内其電場及磁場為直交的構造,當電 磁場、電子之能量關係滿足一定的條件時使電子直進, 他狀恶為由該等電場、磁場及電子之能量的相互關係偏 力於預疋方向。第25圖所不為由電子槍的帶電電子線垂直 的射入試件S,而由試件放出之二次電子為設定直進檢測 82 (修正頁)312765 1288424 器2080的方向。經ExB偏向器偏向之成形電子線由透鏡系 2067、2068縮成1/5投影於試件S。由試件S放出之帶有 圖形晝像資料的二次電子為由透鏡系2067、2068及2072、 2073擴大,於檢測器2080形成二次電子晝像。該四段之 擴大透鏡中,透鏡系2067及2068形成對稱雙透鏡,透鏡 系2072及2073亦形成對稱雙透鏡而構成無失真透鏡。 第12圖及第13圖之半導體裝置之製造方法例流程圖 之(G)檢查工序或(C)之露光工序如使用本發明第3至8實 施例之缺陷檢查設及缺陷檢查方法,露光裝置及露光方 法,則由於能對微細的圖形以高精度並安定的實行檢查及 路光,因此能提南製品之成品率,防止缺陷製品的出貨。 依本發明第3至8實施例之電子線裝置可達成以下的 效果。 ⑷依第4及5實施例(第2〇圖、第21圖),工台裝置在真 ,内能發揮高精度的定位性能,又帶電電子線照射位置之 堅力不易上升。即對試件之帶電電子線處理能以高精度實 體幾乎不合通過ΠΡ$ 持部放出之 二至帶電電子線照射領域側。由此 月匕女疋帶電電子線照射位置的真空度。 (C)依第7實施例(第23 Fn ^ 又 子線照射領⑽,容易出氣财容易通過帶電 ⑷依第8實施例域的真&quot; 割成帶電電子線照射室, (修正頁)312765 83 1288424 狀態。各室之壓力依低壓的順序構成帶電電子照射室 中 間室、靜壓軸承室之真空排氣系。中間室之壓 2士弓 ^4抑制於更低,帶電電子線照射室之壓力變動由更一尸 之間隔部更加減低,而能將壓力變動減低至丧 又 題的程度。^ ^ ^ ^ ^ ^ …質上不成問 (e) 依本發明第5至7實施例,能壓低工台移動時之壓力上 升0 (f) 依本發明第8實施例(第24圖),更能壓低工△浐勒 之壓力上升。 勒守 (g) 依本發明第5至8實施例,工台之位置決定性能為高精 又,並能實現帶電電子線之照射領域的真空度為安定的檢 查裝置’因此能提供檢查性能高,無虞污染試件之檢查裝 置。 ~ (h)依本發明第5至8實施例,工台之位置決定性能高精 度,並能實現帶電電子線照射領狀真空度為安定的露光 裝置,因此能提供露光精度高,無虞污染試件之露光裝置。 (1)依本發明第5至8實施例,工台之位置決定性能為高精 度’並由帶電電子線照射領域之真空度為安定的装置製造 半導體,因此能形成微細的半導體電路。 其次翏照第26圖至第32圖說明本發明第1〇實施例之 缺陷檢查裝置。第26圖表示本發明第1〇實施例之缺陷檢 查裝置3000之概略構成。缺陷檢查裝置3〇〇〇為所謂照像 投影型之檢查裝置,具備:放出一次電子線的電子搶 3001,使放出之一次電子線偏向,成形之靜電透鏡3〇〇2 ; 84 (修正頁)312765 1288424 -使成形之一次電子線於電場E及磁場B直交處偏向成約垂 直的射入半導體晶圓3005之ExB偏向器3003 ;使偏向之 一次電子線結像在晶圓3005上之對物透鏡3010 ;設在能 真空排氣之未圖示的試件室内以載置晶圓3005的狀態能 在水平面内移動之工台3004 ;使一次電子線照射在晶圓 3005所放出二次電子線及/或反射電子線以預定的倍率照 像投影而結像之照像投影系之靜電透鏡3006 ;將所結之像 當做晶圓之二次電子晝像檢測之檢測器3007;以及對裝置 全體實行控制,並依據檢測器3007檢測之二次電子晝像實 行晶圓3005之缺陷檢查處理之控制部3016。上述二次電 子晝像不但指二次電子,並包含散亂電子及反射電子等, 於此稱其為二次電子晝像。 對物透鏡3010與晶圓3005之間設有以電場使對於晶 圓3005之入射角度偏向之偏向電極3011。該偏向電極3011 連接於對該偏向電極之電場實行控制之偏向控制器 3012。偏向控制器3012連接於控制部3016,控制偏向電 * - ,· 極3 011使其產生應於控制部3 016之指令的電場。又偏向 控制器3012可構成控制供給於偏向電極3011之電壓的電 壓控制裝置。 檢測器3007只要能將靜電透鏡3006結像的二次電子 晝像變換成其後能處理的訊號則可形成任意的構成。例如 第31圖所示,檢測器3007可包含複數通道板(multi channel plate)3050、螢光面 3052、中繼(relay)光學系 3054、多數之CCD元件形成之攝像感測器3056所構成。複 85 (修正頁)312765 1288424 -數通道板3050於板内備有多數的通道,使由靜電透鏡3006 結像的二次電子通過該通道内的期間產生更多數的電子。 亦即放大二次電子。螢光面3052受到放大的二次電子發生 螢光而將二次電子變換為光。中繼透鏡3054將該螢光導入 CCD攝像感測器3056,CCD攝像感測器3056將晶圓3005 表面上之二次電子之強度分佈變揍為每元件之電氣訊號即 數位晝像數據以輸出於控制部3016。 控制部3016為如第26圖所示可由一般之個人電腦等 構成。該個人電腦具備依預定的程式實行各種控制及演算 處理的控制部本體3014、顯示本體3014之處理結果的CRT 3 015、及供操作者輸入指令之鍵盤及滑鼠等的輸入部 3018。當然亦可用缺陷檢查裝置專用之硬體,或工作站 (work station)構成控制部 3016。 控制部本體3014由未圖示之CPU、RAM、ROM、硬碟機、 視頻基板等之各種控制基板等構成。RAM或硬碟機等之記 憶體上分配有記憶由檢測器3007收到之電氣訊號即晶圓 3005之二次電子晝像之數位晝像數據之二次電子晝像記 憶領域3008。又於硬碟機上設有預先記憶無缺陷之晶圓的 基準晝像數據的基準晝像記憶部3013。 硬碟機除收容有控制缺陷檢查裝置全體之控制程式之 外,收容有自記憶領域3008讀出二次電子晝像數據,依該 晝像數據以預定算術自動的檢查晶圓3005之缺陷的缺陷 檢查程式3009。該缺陷檢查程式3009之詳細如後述,為 將由基準晝像記憶部3013讀出之基準晝像與實際檢測之 86 (修正頁)312765 1288424 - 二次電子線畫像匹配以自動的檢查缺陷部分,如判斷有缺 陷時則具有對操作者警告顯示的功能。此時於CRT 3015 之顯示部顯示二次電子畫像3017亦可。 其次參照第28圖至第30圖之流程圖為例說明第10 實施例之缺陷檢查裝置3000之作用。 如第28圖之主流程所示,首先將檢查對象之晶圓3005 安置在工台3004上(步驟3300)。於此亦可為由未圖示之 裝載器將其收容多數的晶圓以每一枚自動的載置在工台的 形態。 其次於晶圓表面之XY平面上由部分重合以取得互相 變位之複數之被檢查領域之各晝像(步驟3304)。上述需取 得其晝像之複數之被檢查領域為如第32圖所示,為於晶圓 檢查表面3034上以符號3032a、3032b…3032k所示矩形領 域,該等領域在晶圓之檢查圖形3030的周圍以部分為重合 的偏離其位置。如第27圖所示,為取得16個之被檢查領 域之晝像3032(被檢查晝像)。第27圖所示晝像之矩形的 格子相當於1晝素(或比晝素大的部段(block)單位),其中 塗黑的格子相當於晶圓上之圖形的晝像部分。步驟3304 之詳細容參照第29圖之流程圖後述。 其次將步驟3304取得之複數被檢查領域與記憶在晝 像數據記憶部3013之基準晝像數各比照(第28圖之步驟 3308),判斷上述複數之被檢查領域網羅之晶圓檢查面是否 有缺陷。於此工序實行所謂晝像數據互相的匹配處理,其 詳細容參照第30圖之流程圖後述。 87 (修正頁)312765 1288424 n隹之日日®檢查面有缺陷時(步驟 — 作者警示有缺陷(步驟夠。警示方法例:=: -大旦像3017。於此可即時由試件 陷 將其放置在與無缺陷晶圓不同的保管處(步驟出3=』 ^ Γ8&quot;tb ^ ^ &quot;J % aaa«3005 ^ ^ 曰^驟3312否定判幻’狀現在檢查對象之晶圓藝 疋否逛有未檢查領域(步驟33⑷。如仍有該檢查的領域 (步驟咖4肯定判定),驅動工台4移動晶圓娜以使其 次欲檢查之領域進入一次電子線的照射領域内(步驟 3316)。其後回到步驟3304對該另一檢查領域重複同樣之 處理。 如無該檢查的領域遺留時(步驟3314否定判定),或於 缺陷晶圓抽出工序(步驟3319)之後,判斷現在為檢查對象 的曰日圓3 0 0 5疋否為农後的晶圓,即判斷未圖示之裝載器中 是否還有未檐ί查的晶圓(步驟3320)。如非為最後的晶圓時 (步驟3320否定判定)’則檢查完之晶圓保管於預定的收容 處’並將新的未檢查晶圓安置在工台3004(步驟3322)。其 後回到步驟3304對該晶圓重複同樣的處理。如為最後的晶 圓時(步驟3320肯定判定),將檢查完之晶圓保管於預定之 收容處,終了全部工序。 其次參照第29圖之流程圖說明步驟3304之處理。首 先設定畫像號碼i於初期值(步驟3 3 3 0)。該晝像號碼為順 88 (修正頁)312765 1288424 :::硬數之被檢查領域晝像之識別號碼。其次對設定之 被檢查領域決定其晝像位置(Xi、Yl)(步驟 〜像,置為用於劃定被檢查領域之該領域内的特 且,例如定義為該領域内之中心位置。當前之i = 1, 因此為晝像位置(Χ1、γι),即例如為該#於第 被 檢查領域職a之中心位置。全部被檢查晝像領 位置為預定,而為記憶在控制部3316之硬碟上而於步 3332將其讀出。、 其次由偏向控制器3012對偏向電極3〇11施加電位(第 29圖之步驟3334)以使通過第26圖之偏向電極3〇u之一 次電子線照射於由步驟3332決定之畫像位置(以、¥1)之被 檢查晝像領域。 其次由電子搶3001放出一次電子線,通過靜電透鏡 3002、ExB偏向器3003、對物透鏡3010及偏向電極3〇u, 照射於所安置晶圓3005表面上(步驟3336)。此時一次電 子線受到偏向電極3011產生的電場發生偏向而照射於晶 圓檢查表面3034上之畫像位置(Xi、Yi)之被檢查晝像領域 全體。晝像號碼i = l時,被檢查領域為3032a。 由一次電子線照射的被檢查領域放出二次電子及/或 反射電子(以下簡稱「二次電子」)。然後將產生之二次電 子線以擴大投影系之靜電透鏡3006以預定之倍率結像在 檢測器3007。檢測器3007檢測結像之二次電子,並將其 變換為每檢測元件之電氣訊號即數位晝像數據而輸出(步 驟3338)。然後將檢測之畫像號碼i之數位晝像數據轉送 89 (修正頁)312765 1288424 至一次電子晝像記憶領域3008(步驟3340)。 其次使畫像號碼i增量1(步驟3342),判斷增量的晝 像號碼(i + Ι)是否超過預定值iMAX(步驟3344)。iMAX為應 取得之被檢查晝像數,第27圖之例為「16」。 晝像號碼i未超過預定值iMAX時(步驟3344否定判 定),再回到步驟3332就增量的晝像號碼(i + i)再決定晝像 位置(Xi + 1、Yi + l)。談畫像位置為由先前之處理決定之畫 像位置(Xi、Yi)向X方向及/或γ方向移動預定距離(Δχί、 △ Y i)之位置。第3 2圖舉的例中,被檢查領域為自(X1、γ 1) 只移動Y方向之位置(X2、Y2),移至虛線所示矩形領域 3032b。(AXi、△ = i、2、···iMAX)之值可由晶圓檢查 面3034之圖形3030從檢測器3007之視野實際的並經驗的 偏離之數據,及被檢查領域之數及面積適當的決定。 然後對步驟3332至3342之處理就iMAX個之被檢查領 域順久重複只行。該專被檢查領域如第3 2圖所示,移動k 次後的畫像位置(Xk、Yk)成為被檢查領域3030k的在晶圓 之檢查面3034上部分重合的移動其位置。如上述取得第 27圖所示16個之被檢查畫像數據於畫像記憶領域3〇〇8。 如第27圖所示,取得之複數的被檢查領域的畫像3〇32(被 檢查晝像)為將晶圓檢查面3034上之圖形3030之晝像 3030a為部分或全部包含。 增量的晝像號碼i超過iMAX時(步驟3344肯定判 定),則離開本副流程回到第28圖之主流程之比較工序(步 m 3308) 〇 90 (修正頁)312765 1288424 - 於步驟3340轉送至記憶體之畫像數據為由檢測器 3007檢測之各晝素之二次電子之強度值(所謂石數據)構 成,但為要於後段之比較工序(第28圖之步驟3308)與基 準晝像實行匹配演算,亦可以施以樣樣之演算處理的狀態 收容於記憶領域3008。上述演算處理例如包含使晝像數據 之尺寸及/或濃度與基準晝像數據之尺寸及/或濃度為一致 的正規化處理,及將預定晝素數以下之孤立的晝素群當做 雜訊予以除去的處理等。又不但是單純之/5數據,在不減 低高精細圖形之檢測精度的範圍對抽出之檢測圖形的特徵 之特徵短陣實行數據壓縮變換亦可。 上述特徵矩陣例如有將MxN晝素形成之二次元被檢查 領域分割成mxn(m&lt;M,n&lt;N)部段(block),各部段所含晝素 之二次電子強度值的總和(或該總和值以被檢查領域全體 之總晝素數所除之正規化值)為各矩陣成分之mxn特徵矩 陣。於此之基準晝像數據亦以同樣表示記憶。本發明第10 實施例之晝像數據為指/3數據,又包含如上述以任意的算 術抽出特徵之晝像數據。 其次參照第30圖之流程圖說明步驟3308的處理流程。 首先控制部3016之CPU從基準晝像記憶部3013(第26 圖)將基準晝像數據讀出並記存在RAM等之工作記憶體上 (步驟3350)。第27圖之參照號碼3036表示該基準晝像。 然後設定畫像號碼i = l(步驟3352),由記憶領域3008將晝 像號碼i之被檢查晝像數據讀出於工作記憶體上(步驟 3354) 〇 91 (修正頁)312765 1288424 其次將謂出之基準晝像數據與晝像丨之數據匹配以算 出兩者間之距離值Di (步驟3356)。該距離值Di表示基準 晝像與被檢查晝像i之間的類似度,距離值越大表示基準 晝像,被檢查畫像之差異大。該距離值Di只要為表示類似 度的里則可採用任意方式。例如晝像數據由ΜχΝ晝素形成 時,將各晝素之二次電子強度(或特微量)視作ΜχΝ次元空 間之各位置向量成分,然後演算ΜχΝ次元空間上之基準畫 像向量與晝像i向量間之亞基米得(Euclid)距離或相_ 數亦可。當然亦可演算亞基米得距離料之例如市街地距 離^。又於晝素數大時,由於演算量膨大,因此如上所述 演算mxn特徵向量表示之畫像數據互相間之距離值亦可。 其-人判斷异出之距離值Di是否比預定之閾值几為小 (步驟3_。難Th為判定基準畫像與被檢查晝像之間 十分一致之基準而由實驗求得。 〜距離值Di比預定之閾值Th為小時(步驟3358肯定异 定)’對該晶圓3005之當該檢查面3〇34判定為「無缺陷 (步驟336G)而離開本副程序。即被檢查晝像之中只有一佢 與基準畫像大約-糾料為「無㈣」。如上述由於不必 ,全部被檢查晝像實行匹配,因此能以高速實行判定。以 弟27圖之例’可知第3行第3列之被檢查畫像對基 無位置偏差而大約一致。 ¥ 距離值Di在預定閾值Th以上時(步驟_否定判 ==碼增量!(步驟3362),判定增量的晝像_ U+1)疋否超過預定值iMAX(步驟3364)。 (修正頁)312765 92 1288424 畫像號碼i未超過預定值iMAX時(步驟3364否定判 定),再回到步驟3354就增量的晝像號碼(i + Ι)讀出晝像數 據重複同樣之處理。 晝像號碼i超過預定值iMAX時(步驟3364肯定判 定),將該晶圓3005之當該檢查面3034判定「有缺陷」(步 驟3366),離開本副程式。即被檢查晝像全部不與基準晝 像大約一致則判定「有缺陷」。 本發明之缺陷檢查裝置3000不但可利用於上述照像 技術型之電子線裝置,亦可利用於所謂掃描型之電子線裝 置。以下以第11實施例參照第33圖說明該裝置。 第33圖表示本發明第11實施例之電子線裝置3100 之概略圖,如圖所示由電子槍3061放出之電子線受電容器 透鏡3062聚焦於點3064形成交疊(cross over)。 於電容器透鏡3062下方設置具備複數之開口的第1 複數開板3063,由此形成複數之一次電子線。由第1複數 開口板3063形成之各一次電子線由縮小透鏡3065縮小而 投影在點3075。於點3075對焦後,由對物透鏡3067使其 對焦在試件3068。由第1複數開口板3063射出之複數一 次電子線由設置在縮小透鏡3065與對物透鏡3067之間的 偏向器3080使其同時在試料3068面上偏向掃描。 為避免縮小透鏡3065及對物透鏡3067發生像面彎曲 收差,如第33圖右上部分所示,複數開試件板3063為形 成於圓周上設小開口而其X方向之投影為等間隔的構造。 對焦之複數的一次電子線為照射於試件3068上之複 93 (修正頁)312765 1288424 ,數的點,由受到照射之複數點放出之二次電子線由對物透 鏡3067之電場集來成細線,經ΕχΒ分離器β 3066偏向而射 入二次光學系。二次電子像為聚焦於比點3075更近於對物, 透鏡3067之點3076。原因為試件面對一次電子線有500eV 以上的能量,而二次電子線則只有數eV的能量。 二次光學系具有擴大透鏡3069及3070,通過擴大透 鏡3069及3070之二次電子線結像於第2複數開口板3071 之複數的開口。然後通過該等開口由複數之檢測器3072 檢測。如第33圖右上部分所示,設置在檢測器3072之前 的第2複數開口板3071上形成之複數開口與形成在第1 馥數開口板3063之複數開口為一對一的對應。 各檢測器3072將檢測之二次電極線變換為表示其強 度的電氣訊號。由各檢測器輸出之電氣訊號經放大器3 0 7 3 各予以放大後輸入晝像處理部3074變換成晝像數據。對於 晝像處理部3074供給有從偏向器3080之使一次電子線偏 向的掃描訊號,因此晝像處理部3074能顯示試件3068之 面的晝像該畫像相當於第1實施形態說明之位置為不同之 複數被檢查晝像(第27圖)中之一晝像。以該晝像與基準晝 像3 0 3 6比較即可檢查試件3 0 6 8的缺陷。又由圖像重合使 試件3068上之被評價圖形移動接近一次光學系的光軸,實 行線掃描以取出線幅評價訊號,對其實行適當校正即可測 定試件3068上之圖形線幅。 以通過第1複數開口板3063之一次電子線對焦於試件 3068面上,以試件3068放出之二次電子線結像於檢測器 94 (修正頁)312765 1288424 .3072之際,最好考慮使一次光學系及二次光學系產生的失 真,像面彎曲及視野非點之三個收差構成的影響為最小。 對於複數之一次電子線的間隔與二次光學系的關係, 如使一次電子線的間隔設定比二次光學系之收差為大的距 離即可消除複數之電子線間的串擾。 第33圖之掃描型電子線裝置31〇〇同樣依第28圖及第 29圖之机耘圖對试件3〇68實行檢查。於此第圖之步驟 3332之旦像位置(h、γι)為對應由掃描複數電子線所得合 成複數之線畫像之二次元畫像的中心位置。該晝像位 (XWO於後續的工序將順次變更,但例如由變更偏向器 3080之偏置(0ff set)電壓實行。偏向器3〇8〇以變化電壓 於偏置電廢周圍以實行通常的線掃描。當然設置不同於偏 向器3080之偏向手段,以其變更晝像位置(Xi、Y〇亦可。 上述第ίο及11實施例之裝置可適用於第12圖及第 13圖之半導體裝置製造工序之晶圓評價處理。第a圖及 第13圖之流程圖包含製造晶圓之晶圓製造工序(或準備晶 圓之準備卫序)、製作使甩於露域理之遮膜的遮膜製造I 序(或準備遮膜之遮膜準備工序)、對晶圓實行必要之加工 處理的晶圓處理工序、將形成在晶圓上之晶片按個切出使 其能動作之晶片、組裝I序、以及對組裝之晶片實行檢查之 晶片檢查工序。 上述工序中對半導體裝置之性能具決定性影塑的工序 的晶圓處理玉序。該工序將設計之電路圖形在日^上順* 積層,形成多數動作為記憶體及卿的晶片。晶圓處理ς (修正頁)312765 95 1288424 序包含以下各工序。 ① 形成做為絕緣體之介電體薄膜及配線部,或形成做為電 極部之金屬薄膜等的薄膜形成工序(用CVD及喷塗法等) ② 對形成之薄膜層及晶圓基板實行氧化之氧化工序 ^為要對薄膜厚及晶圓基板選擇的加工而用遮膜(reticle, 光罩)形成抗蝕膜之圖形的照像製版工序 ④ 用抗蘭®形對薄膜層及基板實行加王之崎工序(例 如使用乾韻刻工序) ⑤ 離子•不純物注入擴散工序 ⑥ 抗姓膜剝離工序 ⑦對加工之晶圓實行檢查的檢查工序 晶圓處理工序為依需要層數重複實行以 作之半導體裝置。 丁 第13圖表示上述晶圓處理工序之中心的照像製版工 序。如像製版工序包含以下各工序。 :::段:序形成有電路圖形之晶圓上塗布抗飯 膜塗布工序 ② 對抗蝕膜實行露光的露光工序 ③ 將露光之抗蝕膜實行顯像以得括黏赠&gt; π 、貝仏㈣以仔抗㈣之圖形的顯像工序 ④ 使顯像之圖形安定化的韌化工序 以上之半導體裝置製造工序,晶圓處理工序,昭像製 版工序可適用公知技術。 …、Κ 缺P = 檢查工序使用本發明上述各實施形態之 缺fe檢查裝置3000時,對於具有微細圖 口^之+導體裝置亦 (修正頁)312765 96 1288424 能在無二次電子晝像之像障礙的狀態以高精度檢查缺陷, 因此能提高製品之成品率,防止缺陷製品之出貨。— =發月不限定於上述貝施例而在本發明要旨範圍内可 ^壬忍的變更。例如被檢查試件於此以半導體晶圓3〇〇5 =:但本發明之被檢查試件不限於此,可任意選擇能以 ,檢查缺陷之任何製品。例如亦可以形成有晶圓露光 用之圖形的遮膜為檢查對象。 又本發明不但可適用於使用電子以外之帶電粒子線檢 ,缺陷的裝置,亦可適用於取得對能實行試件缺陷檢查之# 畫像的任何裝置。 再則偏向電極3011不但是在對物透鏡斯〇鱼晶圓 Γ5之間’只要能變更—次電子線較射領域則可設在任 4位置,如在ExB偏向_ _與對物透鏡3㈣之間, 2搶魏與ExB偏向器_3之間等。亦可由控制Μ(Revision page) Control of 3Π765 74 1288424. That is, the secondary electrons of the primary electron lines of the emitters 1001, 1002, and 1003 are controlled by the respective injection detectors 1014, 1015, and 1016. The detector or the like is an electrode on the curved surface of the hole in which the number of detectors is provided in front of the pIN diode to which a voltage of about 20 kV is applied, and a voltage of about lkV is applied to the electrode. A convex lens that is subjected to an electric field formed by a voltage of 20 kV leaking from the hole, and secondary electrons reaching the near hole of the hole pass through the hole and enter the detector. The shape of the curved surface forms a shape that corrects the curvature of the image plane of the primary optical system. Next, the relationship between the photographing position interval of the plurality of electron lines and the secondary optical system will be described. Figure 15 shows the secondary optics and the opening angle. As shown in the figure, it is assumed that the secondary electrons within the acceptance angle αΐ pass through the objective lens 1〇〇8, the opening twist 1007, and the lens 1006 are imaged on the image plane 1〇〇5. Here, the opening half angle of the image plane 1〇〇5 is ai, and the magnification ratio of the secondary optical system is Μ, the relationship between the appearance angle α〇 and ai seen by the objective lens 1008 is ai/a〇=1/ M. Further, it is assumed that the electron beam potential of the object lens 1〇〇8 is V8, and the initial energy of the secondary electron is Vini, and the angle is (α1/α〇) = V8/Vini 〇 Figure 16 is shown on the test piece surface.丨〇1〇's relationship with the opening half angle ji In the 16th figure, s is the spherical surface, accounting for c〇ma for the image (c〇ma), and 5C for the color difference, accounting for total . If the tolerance of 20//m is allowed, the opening half angle α soil needs to be set below 5·3 mrad. The initial energy of the secondary electron to be detected is considered to be sufficient. • LeV to l〇eV is sufficient, and the magnification magnification μ is set to 5, and the electron line potential V8 of the objective lens 1008 is er, then α l = ii85 (4) · 9. . Because of the angle of the text. To 6 〇. More than 9%% of the secondary electron income (revision page) 312765 75 1288424; (refer to the US Patent No. 5412210, the description of Figure 6), if the secondary optical system of the opening half angle ai decomposition energy can be 5. 3mard degree, detection If the size of the device is converted to 4 times the size of the test piece, more than 90% of the secondary electrons can be collected without crosstalk. If the interval between the complex emitters is 100 #m, the crosstalk between the emitters is not a problem. It is not necessary to collect more than 90% of the secondary electrons. If a sufficient S/N ratio is obtained by collecting more than 5%, the secondary electrons emitted at an angle of less than 45° may be collected by the detector. The original collection rate of secondary electrons can be expressed by the following formula. S45. · 0 sin^ cos^ / S 〇9〇esin^ cos^ =〇. 5 As described above, the primary electron beams are mutually irradiated at positions separated by the distance of the secondary optical system. Fig. 14B is an enlarged view of the electron beam irradiation surface viewed from above, and the distance N in the figure is the decomposition energy converted by the lens 1〇〇8, l〇u, and 1012 on the surface of the test piece. As shown in the figure, the distance N is equal to or greater than the distance between the two points that can be recognized, and the complex electronic line without crosstalk can be obtained, and high productivity is obtained. The electronic line device of this configuration can be used for defect inspection and measurement of minute distances in a semiconductor device. In the wafer inspection process of the flowchart of the example of the manufacturing method of the semiconductor device shown in Fig. 12 and Fig. 13, if the electronic wire device of Fig. 14A is used, the product can be inspected with good productivity, and the entire product can be inspected. Improve the yield of products 'prevent the shipment of defective products. As can be understood from the above description, the electron beam device according to FIG. 14A can detect the crosstalk without being generated by the majority of the secondary charged particles discharged from the test piece, thereby enabling the defect inspection or the pattern line width measurement with high S/N ratio. . Another-human optical system is limited to the surface of the test piece is 2 〇 # m can also be charged (correction page) 312765 76 1288424 high-precision secondary optical system, and thus the formation of a plurality of charged particles Jane: Since the detection result is not necessary, it is not necessary to use the secondary optical system to vertically set the test piece, and the single optical field is formed between the 5 H subtraction surface and the primary lens of the primary optical system, and an acceleration electric field is formed on the secondary optical system.容册-_人▼Electrical particle line twisting, and can release the wide-angle range of the second-under-I-electric particles in the initial stage to make the fine electron line, can measure the particles: thus can get good S / N (four) The 18th figure shows the conventional straight work of the charged electronic line device =). :: (4) 19 _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ Device (work station, etc.) 2100 wire assembly Λ '422 shows a schematic sectional view of the second embodiment of the charged electronic device according to the sixth embodiment of the present invention, and FIG. 23 shows a schematic cross-sectional view of the present embodiment of the present FIG. A schematic cross-sectional view of a charged electronic line farmhouse (gongkousi) 2400 of the present invention is the same as the symbol of the second part. The same figure is also shown in Fig. 2〇T〇2::; Fig. _ is a diagram showing the charged electronic wire package of the fourth embodiment. The upper side of the Y-direction movable portion 2005 is provided with a larger = scoop level extending in the +γ direction and the _γ direction (the left and right m spacers in the figure _, and the upper portion of the movable portion in the χ direction constitute a small hanging The retracting portion _ ° and the X-direction movable portion _ above (correction page) 312765 77 1288424 also constitute the same direction in the ± X direction (the left and right direction on the 20A diagram) between the partition 2 012 ' and the workbench Between the top and the bottom, the skein portion 经常51 is often formed. The work station 2007 is fixed to the bottom wall by a known method in the casing 2008. Therefore, the winding portion 2050 is often formed regardless of the position of the test piece table 2004. And 2051, when the movable portions 2005 and 2006 move, even if the gas is released from the guide surfaces 2006a and 2007a, the movement of the released gas is prevented by the winding portions 2050 and 2051, so that the space of the test piece irradiated by the charged electron beam can be made close to the space. The pressure rise of 2024 is suppressed to a very small level. On the side and the lower surface of the movable portion 2003 of the table and below the movable portion 2006, a groove for differential exhaust gas as shown in Fig. 19 is formed around the hydrostatic bearing 2009. The tank is evacuated, so that the winding portions 2050, 2 are formed. At 051, the gas discharged from the guide surface is mainly exhausted by the differential exhaust portions. Therefore, the pressure in the spaces 2013 and 2〇15 inside the table is higher than the pressure in the chamber C. Not only will the exhaust vents 2017 and 2018 vent the space 2013 and 2015, but the vacuum venting elsewhere will reduce the pressure of the space 2013 and 2015, and further reduce the pressure rise of the test piece near 2024. There is a vacuum exhaust passage and 2011-2. The exhaust passage is through the work station 2〇〇7 and the casing 2〇〇8 opens to the outside of the casing 2008. The exhaust passage 2011-2 is formed in the movable direction in the χ direction. An opening is provided in the lower surface of the X-direction movable portion 2006. Due to the arrangement of the spacers 2 012 and 2 014, it is necessary to increase the capacity so that the chamber C does not interfere with the spacer, but the spacer is formed into a telescopically flexible structure. The above problem can be improved. In the present embodiment, it is conceivable that the spacer is made of rubber in a bellows shape, and the end portion in the moving direction is fixed to the inner wall of the movable portion 2006 in the direction of the partition plate 2〇14 (modified page) 3Π765 1288424. The spacers 2〇12 are fixed to the housing 2 0 0 8 Fig. 21 shows a charged electron beam device 2100 according to a fifth embodiment of the present invention. According to the fifth embodiment, at the front end portion of the lens barrel, that is, around the charged electron beam irradiation 邰^002 and the test piece s. The state in which the winding portion is formed constitutes a tubular portion and portion 2016. In this configuration, when the gas is released from the χγ table and the pressure in the valley rises to c, the inner portion 2〇24 of the space is also the spacer portion. Since the evacuation is performed by the vacuum piping 2〇1〇 at intervals of 2016, a pressure difference is generated between the inside of the chamber c and the inside of the gap 2024, so that the pressure inside the gap is lowered to a pressure of 2024. The gap between the spacers 2〇16 and the test piece varies depending on the degree of maintenance of the pressure between the irradiated portion and the periphery in the C, and is generally in the range of several tens/m to several mm. Further, the sound in the space between the partitions 2 and 16 is communicated by a known method. ^ The π electric electron beam is placed in a state in which a high degree of electric dust of a certain kV is applied to the test piece S. If a material having conductivity is placed in the vicinity of the test piece, discharge occurs. Here, the partition portion 2〇16 may be formed of an insulator such as Taowan, and the discharge may be avoided between the test piece S and the space portion 2016. The ring portion 2004-1 surrounding the test piece S<wafer) is a plate-shaped adjustment member fixed to the test piece table 2004, and the end portion of the test piece such as the wafer is irradiated with an electron wire 衿 to the interval The state in which the minute gap 2052 is formed over the entire circumference of the front portion of the portion 2〇16 is set to the same height as the wafer. Therefore, when the position of the test piece $4 is taken, and the electron beam is applied, a fixed minute gap is often formed at the front end portion of the spacer 2 〇16, thereby maintaining the space around the front end portion of the lens barrel. 2024 pressure. 79 (Revision JL page) 312765 1288424 Fig. 22 shows a charged electronic wire device 2200 according to a sixth embodiment of the present invention. A spacer 2019 having a differential exhaust structure is provided around the charged electron beam irradiation unit 2002 of the lens barrel 2001. The partition portion 2019 is formed in a cylindrical shape. The inside thereof has a circumferential groove 208 0, and an exhaust passage 2021 extends upward from the circumferential groove. The exhaust passage communicates with the vacuum piping 2023 via the internal space 2022. A minute gap of several tens to several mm is formed between the lower end of the partition portion 2019 and the upper surface of the test piece S. When the gas is discharged from the stage by the movement of the stage, the pressure in the chamber c rises, and when the gas is to flow into the tip end portion, that is, the charged electron beam irradiation unit 2002, the spacer portion 2〇19 is also used to make the test piece. The gap between the s is twisted to make the conduction very small, and the gas flow is blocked and the inflow is reduced. Further, since the inflowing gas is exhausted to the vacuum piping 2023 by the circumferential groove 2020, the gas flowing into the space 2〇24 around the charged electron beam irradiation unit 2〇〇2 can be substantially eliminated, and the charged electron beam irradiation unit 2 can be removed. The pressure of 2 is maintained at the desired high vacuum. Figure 23 is a diagram showing a charged electronic wire device 2300 according to a seventh embodiment of the present invention. A space is provided around the chamber c and the charged electron beam irradiation unit 2〇〇2, and the electro-optical line irradiation unit 2〇〇2 is separated from the chamber c. The spacer 2026 is connected to the chiller via a support portion 2029 formed of a material having good thermal conductivity of copper and material, and is cooled to _1 至 to 2 〇 代 = part 2027 间隔 in the partition portion 2 for preventing cooling The heat between the 〇26 and the lens barrel is 2^", and the material with poor heat conduction such as resin is formed. The part = ', formed of an insulator such as ceramic, is disposed at the lower end of the spacer portion - blocking 4 pieces S and the spacer 2G26 The function of the discharge occurs. (Revision page) 312765 80 1288424 - According to the above configuration, the gas molecules flowing into the charged electron beam irradiation portion in the chamber c are blocked by the partition portion 2026, and even if they flow in, they are subjected to the surface of the portion 2026. Since the trapping is carried out, the charged electron beam irradiation unit 2〇24 can be kept at a low pressure. The refrigerator can be cooled by liquid nitrogen or various refrigerators such as a He freezer or a pulse tube type refrigerator. A charging electron beam device 2400 according to an eighth embodiment of the present invention is shown. The movable portion of the table 2003 is provided with a partition 2 between the second drawing (Π2 and 2014), and the test piece table 2004 is moved to any position and is also used by the partition plate. Will be in the space within the workbench 2013 and The gaps 2050 and 2051 in the chamber C are spaced apart. A spacer portion 2016 similar to that of Fig. 21 is formed around the charged electron beam irradiation unit 2002. The space 2024 in the chamber c and the charged electron beam irradiation unit 2002 is received. The twisted portions 2052 are spaced apart. Therefore, even when the pressure of the portion is increased by the suction of the gas in the space 2〇13 during the movement of the work platform, the pressure in the chamber c can be raised low, and the pressure in the space 2〇24 The rise can be further depressed. Thus, the charged electron beam can be irradiated to the space 2〇24 in a low pressure state. For example, the spacer portion 2016 can be formed as a spacer 2019' having a differential exhaust mechanism therein or formed as shown in FIG. The spacer 2026, which is cooled by the refrigerator, can maintain the space 2024 in a stable low voltage state. Fig. 25 shows an optical system and a detector mode of the charged electron beam device 2500 according to the ninth embodiment of the present invention. The optical system and the detector are provided in the lens barrel, and the optical system 2060, which is required to be used with any optical system and detector, is provided with an optical system 2060 for irradiating the test piece s placed on the stage 2003. Charged electronic wire The primary optical system 2〇61, and the secondary optical system 2071 that receives the secondary electrons emitted from the test piece. The primary optical system 81 (correction page) 312765 1288424: 2〇61 has an electron gun 2062 that discharges the charged electron beam, and the electron gun 2 〇62 discharged electric power. The sub-line performs focusing on the lens systems 2063 and 2064 formed by the two-stage electrostatic lens, and the deflector 2065, and the charged electron beam is deflected so that the optical axis is perpendicular to the surface of the object. The Εχβ separator 2 〇6 6 and the two-stage electrostatic lens form lens systems 2〇67 and 2〇68. Electronic grab 2 0 6 2, lens 糸 2 0 6 3 and 20 6 4, deflector 2 0 6 5 is the optical axis of the uppermost compliant group with the electron robbing 2062 as the image of the second The vertical line of the surface of the piece s (the test piece surface) is a slanted setting. The ΕχΒ deflector 2066 is provided with an electrode 2661 and a magnet 2662. The secondary optical system 2071 is an optical system in which secondary electrons are emitted from the test piece S, and includes lens systems 2072 and 2073 formed of a two-stage electrostatic lens on the side of the primary optical system 2066. The detector 2080 detects secondary electrons sent to the secondary optical system 2071. The structures and functions of the respective constituent elements of the optical system 2060 and the detector 2080 are the same as those of the conventional techniques, and detailed description thereof will be omitted. The charged electron beam discharged from the electron gun 2062 is shaped by the square opening of the electron gun, and is reduced by the two-stage lens system 2063 and 2064. The optical axis is adjusted by the deflector 2065 so as to be formed on the deflection center plane of the deflection deflector 2066. 25mm square image. The electric field and the magnetic field of the £\6 deflector 2066 are orthogonal to the normal plane of the test piece. When the energy relationship between the electromagnetic field and the electron satisfies certain conditions, the electron is straight forward, and the electric field is caused by the electric field. The relationship between the magnetic field and the energy of the electrons is biased toward the pre-twisting direction. In Fig. 25, the charged electron beam of the electron gun is perpendicularly injected into the test piece S, and the secondary electron emitted from the test piece is set to the direction of the straight forward detection 82 (correction page) 312765 1288424 device 2080. The formed electron line deflected by the ExB deflector is projected to the test piece S by the lens system 2067, 2068 to 1/5. The secondary electrons with the pattern image data emitted from the test piece S are enlarged by the lens systems 2067, 2068 and 2072, 2073, and the secondary electron image is formed in the detector 2080. In the four-stage enlarged lens, the lens systems 2067 and 2068 form a symmetrical double lens, and the lens systems 2072 and 2073 also form a symmetrical double lens to form a distortion-free lens. (G) inspection process or (C) exposure process of the semiconductor device of FIG. 12 and FIG. 13 using the defect inspection device and the defect inspection method according to the third to eighth embodiments of the present invention, the light exposure device As for the exposure method, since the inspection and the road light can be performed with high precision and stability on the fine pattern, the yield of the south product can be improved, and the shipment of the defective product can be prevented. According to the electronic wire device of the third to eighth embodiments of the present invention, the following effects can be achieved. (4) According to the fourth and fifth embodiments (Fig. 2 and Fig. 21), the stage device can exhibit high-precision positioning performance in the true and the inside, and the strength of the charged electron beam irradiation position is hard to rise. That is, the charged electron beam processing of the test piece can be performed with a high-precision solid body almost without passing through the 持$ holding portion to the charged electron beam irradiation field side. From this, the vacuum of the position of the electrified electronic wire of the moon 匕 。. (C) According to the seventh embodiment (the 23rd Fn ^ and the sub-line irradiation collar (10), it is easy to get out of the gas and easily pass the electrification (4) according to the true state of the eighth embodiment, and cut into a charged electron beam irradiation room, (correction page) 312765 83 1288424 state. The pressure of each chamber constitutes the vacuum exhaust system of the intermediate chamber of the charged electron irradiation chamber and the static pressure bearing chamber in the order of low pressure. The pressure of the intermediate chamber is suppressed to be lower, and the charged electron beam irradiation chamber is The pressure change is further reduced by the interval between the other corpses, and the pressure change can be reduced to the extent of the mourning. ^ ^ ^ ^ ^ ^ ... qualitatively not asked (e) according to the fifth to seventh embodiments of the present invention, When the pressure is lowered, the pressure rises when the table is moved. 0 (f) According to the eighth embodiment (Fig. 24) of the present invention, the pressure rise of the work ΔMule can be further suppressed. (1) According to the fifth to eighth embodiments of the present invention The position of the work bench determines the performance of the high-precision and can realize the stability of the inspection device in the field of irradiation of the charged electron beam. Therefore, it can provide an inspection device with high inspection performance and flawless contamination test pieces. ~ (h) According to the fifth to eighth embodiments of the present invention, the position of the work bench determines the performance with high precision. The utility model can realize the exposed light device with the charged vacuum to the collar, and thus can provide the exposed device with high exposure precision and flawless contamination test piece. (1) According to the fifth to eighth embodiments of the invention, the position of the work station It is determined that the performance is high-precision' and the semiconductor is manufactured by a device having a stable vacuum in the field of charged electron beam irradiation, so that a fine semiconductor circuit can be formed. Next, the first embodiment of the present invention will be described with reference to FIGS. 26 to 32. Fig. 26 is a view showing a schematic configuration of a defect inspection device 3000 according to a first embodiment of the present invention. The defect inspection device 3 is a so-called photo-projection type inspection device, and includes an electronic robbing device that emits a primary electron beam. 3001, the electron beam is deflected once, the formed electrostatic lens 3〇〇2; 84 (modified page) 312765 1288424 - the formed primary electron beam is deflected at an orthogonal point of the electric field E and the magnetic field B into an approximately vertical incident semiconductor wafer An ExB deflector 3003 of 3005; a counter lens 3010 having a biased primary electron beam image on the wafer 3005; and a wafer 300 placed in a test chamber (not shown) capable of vacuum evacuation The stage of 5 can move in the horizontal plane 3004; the primary electron beam is irradiated on the wafer 3005, and the secondary electron beam and/or the reflected electron beam is projected at a predetermined magnification to form a photo projection system. An electrostatic lens 3006; the image of the wafer is used as a detector for secondary electronic image detection of the wafer 3007; and the entire device is controlled, and the defect inspection of the wafer 3005 is performed according to the secondary electronic image detected by the detector 3007. The control unit 3016. The secondary electron image includes not only secondary electrons but also scattered electrons and reflected electrons, and is referred to herein as a secondary electron image. Between the objective lens 3010 and the wafer 3005, a deflection electrode 3011 whose electric field is inclined toward the incident angle of the crystal 3005 is provided. The deflection electrode 3011 is connected to a deflection controller 3012 that controls the electric field of the deflection electrode. The deflection controller 3012 is connected to the control unit 3016, and controls the biasing of the electric * - , · poles 3 011 to generate an electric field to be commanded by the control unit 3 016. Further, the controller 3012 can constitute a voltage control device that controls the voltage supplied to the deflection electrode 3011. The detector 3007 can be formed into any configuration as long as it can convert the secondary electron image formed by the electrostatic lens 3006 into a signal that can be processed thereafter. For example, as shown in Fig. 31, the detector 3007 may include a multi channel plate 3050, a fluorescent surface 3052, a relay optical system 3054, and a plurality of imaging sensors 3056 formed of CCD elements. Complex 85 (Revision Page) 312765 1288424 - The number channel plate 3050 has a plurality of channels in the plate to allow a greater number of electrons to be generated during the passage of secondary electrons imaged by the electrostatic lens 3006. That is, the secondary electrons are amplified. The fluorescent surface 3052 is subjected to fluorescence by the amplified secondary electrons to convert the secondary electrons into light. The relay lens 3054 introduces the fluorescence into the CCD image sensor 3056, and the CCD image sensor 3056 converts the intensity distribution of the secondary electrons on the surface of the wafer 3005 into electrical signals of each component, that is, digital image data for output. The control unit 3016. The control unit 3016 can be constituted by a general personal computer or the like as shown in Fig. 26. The personal computer includes a control unit main body 3014 that performs various control and calculation processes according to a predetermined program, a CRT 3 015 that displays the processing result of the main body 3014, and an input unit 3018 that allows the operator to input a command keyboard and a mouse. Of course, the control unit 3016 can be constructed by using a hardware or a work station dedicated to the defect inspection device. The control unit main body 3014 is composed of various control boards such as a CPU, a RAM, a ROM, a hard disk drive, and a video substrate (not shown). A memory area such as a RAM or a hard disk drive is assigned a secondary electronic image memory field 3008 that memorizes the digital signal received by the detector 3007, that is, the digital image data of the secondary electronic image of the wafer 3005. Further, a hard disk drive is provided with a reference image storage unit 3013 for pre-memorizing the reference image data of the defect-free wafer. The hard disk drive stores the secondary electronic image data from the memory area 3008 in addition to the control program for controlling the entire defect inspection device, and automatically checks the defect of the defect of the wafer 3005 by predetermined arithmetic data according to the image data. Check program 3009. The details of the defect inspection program 3009 are as follows, and the reference image read by the reference image storage unit 3013 is matched with the 86 (correction page) 312765 1288424 - secondary electron line image of the actual detection to automatically check the defective portion, such as When it is judged that there is a defect, it has a function of warning display to the operator. At this time, the secondary electron image 3017 may be displayed on the display unit of the CRT 3015. Next, the action of the defect inspection apparatus 3000 of the tenth embodiment will be described by way of an example with reference to the flowcharts of Figs. 28 to 30. As shown in the main flow of Fig. 28, the wafer 3005 to be inspected is first placed on the stage 3004 (step 3300). In this case, a wafer in which a large number of wafers are accommodated by a loader (not shown) may be automatically placed on the stage. Next, the image is superimposed on the XY plane of the wafer surface to obtain the respective images of the inspected domain of the plurality of mutually displaced regions (step 3304). The above-mentioned inspected field in which the plurality of images are to be obtained is as shown in FIG. 32, which is a rectangular field indicated by symbols 3032a, 3032b, ... 3032k on the wafer inspection surface 3034, and the inspection pattern 3030 of the fields on the wafer The surroundings are partially offset from their positions. As shown in Fig. 27, in order to obtain the image 3032 of the examined area (the image to be inspected). The rectangle of the image shown in Fig. 27 is equivalent to a single element (or a block unit larger than a halogen), and the blackened grid corresponds to the image portion of the pattern on the wafer. The details of step 3304 will be described later with reference to the flowchart of Fig. 29. Next, comparing the plurality of inspected fields obtained in step 3304 with the number of reference pixels stored in the imaging data storage unit 3013 (step 3308 in FIG. 28), determining whether the plurality of inspected areas of the inspected area have a wafer inspection surface defect. In this step, the matching processing of the image data is performed, and the details thereof will be described later with reference to the flowchart of Fig. 30. 87 (amendment page) 312765 1288424 n隹日日® When the inspection surface is defective (step – the author's warning is defective (steps are sufficient. Warning method example: =: - large image 3017. This can be immediately trapped by the test piece) It is placed in a different storage location than the non-defective wafer (step 3 = 』 ^ Γ 8 &quot; tb ^ ^ &quot; J % aaa « 3005 ^ ^ 曰 ^ 3312 negative illusion 'like now the object of inspection wafer 疋If there is an unchecked area (step 33 (4). If there is still the field of the inspection (step 4), the drive station 4 moves the wafer to make the field to be inspected into the field of illumination of the electron line (steps) 3316). Thereafter, the process returns to step 3304 to repeat the same process for the other inspection field. If the field without the inspection is left behind (step 3314 negative determination), or after the defective wafer extraction process (step 3319), it is judged now In order to check whether the target's Japanese yen is 3 0 0 5, whether it is a post-agricultural wafer, it is judged whether there is any unsearched wafer in the unillustrated loader (step 3320). If it is not the last wafer Time (step 3320 negative decision) 'then checked wafer security At the predetermined containment location and placing a new unchecked wafer on the station 3004 (step 3322). Thereafter, returning to step 3304, repeat the same process for the wafer. For the final wafer (step 3320 It is determined that the inspected wafer is stored in the predetermined storage place, and all the processes are completed. Next, the processing of step 3304 will be described with reference to the flowchart of Fig. 29. First, the image number i is set to the initial value (step 3 3 3 0). The image number is shun 88 (correction page) 312765 1288424 ::: the identification number of the hard-area image of the inspection object. Secondly, the target area to be checked determines the image position (Xi, Yl) (step ~ image, It is used to delimit the field in the field to be inspected, for example, as the center position in the field. The current i = 1, and therefore the image position (Χ1, γι), that is, for example, the # The center position of the first inspection field is a. All of the inspection image position is predetermined, and is read on the hard disk of the control unit 3316 and read in step 3332. Secondly, the deflection controller 3012 pairs the deflection electrode. 3〇11 applied potential (steps in Figure 29) 3334) The primary electron beam passing through the deflecting electrode 3〇u of Fig. 26 is irradiated onto the image of the image to be inspected by the image position determined by step 3332 (to ¥1). Next, the electron beam is discharged by the electron grab 3001. The electrostatic lens 3002, the ExB deflector 3003, the objective lens 3010, and the deflecting electrode 3〇u are irradiated onto the surface of the disposed wafer 3005 (step 3336). At this time, the primary electron beam is biased by the electric field generated by the deflecting electrode 3011. The image position (Xi, Yi) irradiated on the wafer inspection surface 3034 is examined in the entire image area. When the key number i = l, the checked area is 3032a. Secondary electrons and/or reflected electrons (hereinafter referred to as "secondary electrons") are emitted from the field to be inspected by the primary electron beam. The resulting secondary electrons are then imaged at a predetermined magnification by the electrostatic lens 3006 of the enlarged projection system at detector 3007. The detector 3007 detects the secondary electrons of the junction image and converts them into electrical signals of the detection elements, i.e., digital imaging data, and outputs them (step 3338). Then, the digital image data of the detected portrait number i is transferred 89 (correction page) 312765 1288424 to the primary electronic image memory area 3008 (step 3340). Next, the portrait number i is incremented by one (step 3342), and it is judged whether or not the incremented image number (i + Ι) exceeds the predetermined value iMAX (step 3344). iMAX is the number of images to be inspected, and the example in Fig. 27 is "16". When the key number i does not exceed the predetermined value iMAX (step 3344 is negatively determined), the process returns to step 3332 to determine the image position (Xi + 1, Yi + l) for the incremental image number (i + i). The position of the image is a position at which the image position (Xi, Yi) determined by the previous processing is moved by a predetermined distance (Δχί, ΔY i) in the X direction and/or the γ direction. In the example shown in Fig. 3, the area to be inspected is moved from the (X1, γ 1) position in the Y direction (X2, Y2) to the rectangular area 3032b indicated by the broken line. The values of (AXi, Δ = i, 2, . . . iMAX) can be derived from the actual and empirical deviation of the field of view of the detector 3007 from the pattern 3030 of the wafer inspection surface 3034, and the number and area of the area being inspected is appropriate. Decide. Then, the processing of steps 3332 to 3342 repeats only a row for the iMAX checked fields. As shown in Fig. 3, the image field (Xk, Yk) after moving k times becomes the position where the inspection area 3030k partially overlaps on the inspection surface 3034 of the wafer. As described above, the 16 examined image data shown in Fig. 27 are obtained in the image memory area 3〇〇8. As shown in Fig. 27, the image 3〇32 (image to be inspected) of the plurality of inspected areas to be acquired is included in part or all of the image 3030a of the pattern 3030 on the wafer inspection surface 3034. When the incremental key number i exceeds iMAX (determined by step 3344), the process returns to the main process of step 28 (step m 3308) 〇 90 (correction page) 312765 1288424 - step 3340 The image data transferred to the memory is composed of the intensity values of the secondary electrons (so-called stone data) of each element detected by the detector 3007, but is a comparison process (step 3308 of Fig. 28) and the reference 要 to be performed in the subsequent stage. As in the case of performing the matching calculation, the state of the arithmetic processing can be accommodated in the memory area 3008. The arithmetic processing includes, for example, a normalization process in which the size and/or concentration of the image data is matched with the size and/or concentration of the reference image data, and an isolated pixel group having a predetermined number of primes or less is used as a noise. Removal of processing, etc. Further, it is not limited to the /5 data, and it is also possible to perform data compression conversion on the feature short array of the characteristics of the extracted detection pattern without reducing the detection accuracy of the high-definition pattern. The above characteristic matrix is, for example, a quadratic element formed by MxN halogen, which is divided into mxn (m) &lt;M,n &lt;N) block, the sum of the secondary electron intensity values of the halogens contained in each segment (or the normalized value of the sum value divided by the total number of primes in the entire field to be inspected) is the matrix component The mxn feature matrix. The reference image data here also represents the same memory. The image data of the tenth embodiment of the present invention refers to the /3 data, and further includes the image data of the above-described arbitrary extraction feature. Next, the processing flow of step 3308 will be described with reference to the flowchart of FIG. First, the CPU of the control unit 3016 reads the reference image data from the reference image storage unit 3013 (Fig. 26) and stores it in the working memory such as the RAM (step 3350). Reference numeral 3036 of Fig. 27 indicates the reference image. Then, the portrait number i = l is set (step 3352), and the image data of the image number i is read from the memory area 3008 on the working memory (step 3354). 〇91 (correction page) 312765 1288424 The reference image data is matched with the data of the image to calculate the distance value Di between the two (step 3356). The distance value Di indicates the degree of similarity between the reference image and the image to be inspected i, and the larger the distance value indicates the reference image, and the difference in the image to be inspected is large. The distance value Di may be any method as long as it is a similarity. For example, when the image data is formed by halogen, the secondary electron intensity (or special trace) of each element is regarded as the vector component of each position in the dimensional space, and then the reference image vector and the image i on the dimensional space are calculated. Euclid distances or phase numbers between vectors can also be used. Of course, it is also possible to calculate the distance between the city and the street. Further, when the prime number is large, since the calculation amount is large, the distance between the image data represented by the mxn feature vector can be calculated as described above. The person-determined distance value Di is smaller than a predetermined threshold value (step 3_. D is a criterion that is very consistent between the determination reference image and the image to be inspected and is experimentally determined. The predetermined threshold value Th is hour (step 3358 is definitely different). The wafer 3005 is determined to be "no defect (step 336G) and exits the subroutine when the inspection surface 3〇34 is determined. A channel and a reference image are approximately - the material is "none (four)". If it is not necessary, all the images to be inspected are matched, so that the determination can be performed at a high speed. In the example of the brother 27, the third row and the third column are known. The image to be inspected is approximately the same as the positional deviation of the base. When the distance value Di is equal to or greater than the predetermined threshold Th (step_negative judgment == code increment! (step 3362), the image of the increment is judged _ U+1)疋If the predetermined value iMAX is exceeded (step 3364). (Revision page) 312765 92 1288424 When the portrait number i does not exceed the predetermined value iMAX (step 3364 negative determination), return to step 3354 for the incremental image number (i + Ι) The same process is repeated for reading the image data. When the value is iMAX (determined affirmatively in step 3364), the wafer 3005 is judged to be "defective" on the inspection surface 3034 (step 3366), and the subprogram is left. That is, all the images to be inspected are not approximately the same as the reference image. The defect inspection device 3000 of the present invention can be used not only in the above-described photographic type electronic wire device but also in a so-called scanning type electronic wire device. Hereinafter, the eleventh embodiment will be described with reference to FIG. Fig. 33 is a schematic view showing an electron beam device 3100 according to an eleventh embodiment of the present invention, in which an electron beam discharged from an electron gun 3061 is focused by a capacitor lens 3062 at a point 3064 to form a cross over. A plurality of first open plates 3063 having a plurality of openings are provided under the capacitor lens 3062 to form a plurality of primary electron lines. The primary electron lines formed by the first plurality of open plates 3063 are reduced by the reduction lens 3065 and projected at a point 3075. After the point 3075 is in focus, the object lens 3067 is focused on the test piece 3068. The plurality of primary electron lines emitted by the first plurality of aperture plates 3063 are disposed on the reduction lens 3065 and The deflector 3080 between the object lenses 3067 is simultaneously deflected on the surface of the sample 3068. To avoid the image bending defect of the reduction lens 3065 and the object lens 3067, as shown in the upper right part of Fig. 33, the plurality of test pieces are opened. The plate 3063 has a structure in which a small opening is formed in the circumference and the projection in the X direction is equally spaced. The primary electron beam of the plurality of focusing is a point 93 (correction page) 312765 1288424 irradiated on the test piece 3068, a number of points, The secondary electron beam emitted from the plurality of points irradiated is formed into a thin line by the electric field of the objective lens 3067, and is deflected by the helium separator β 3066 to enter the secondary optical system. The secondary electron image is focused closer to the object than point 3075, point 3076 of lens 3067. The reason is that the test piece has more than 500 eV of energy for the primary electron beam, while the secondary electron line has only a few eV of energy. The secondary optics has enlarged lenses 3069 and 3070, and expands the plurality of openings of the second plurality of aperture plates 3071 by expanding the secondary electron beam junctions of the lenses 3069 and 3070. It is then detected by a plurality of detectors 3072 through the openings. As shown in the upper right portion of Fig. 33, the plurality of openings formed in the second plurality of aperture plates 3071 provided before the detector 3072 are in a one-to-one correspondence with the plurality of openings formed in the first number of aperture plates 3063. Each detector 3072 converts the detected secondary electrode line into an electrical signal indicative of its intensity. The electric signals outputted from the respective detectors are amplified by the amplifiers 3 0 7 3 and input to the imaging processing unit 3074 to be converted into imaging data. Since the imaging processing unit 3074 is supplied with the scanning signal for deflecting the primary electron beam from the deflector 3080, the imaging processing unit 3074 can display the image of the surface of the test piece 3068. This image corresponds to the position described in the first embodiment. Different plurals are checked for one of the images in the image (Fig. 27). The defect of the test piece 3 0 6 8 can be checked by comparing the image with the reference image 3 0 3 6 . Further, the image is superimposed so that the evaluated pattern on the test piece 3068 moves closer to the optical axis of the primary optical system, and the line scan is performed to take out the line evaluation signal, and the pattern line on the test piece 3068 can be measured by appropriately correcting the line. It is preferable to focus on the surface of the test piece 3068 by the primary electron beam passing through the first plurality of aperture plates 3063, and the secondary electron beam junction discharged from the test piece 3068 is on the detector 94 (correction page) 312765 1288424 .3072. The distortion caused by the primary optical system and the secondary optical system is minimized by the three-dimensional difference between the image curvature and the field of view. For the relationship between the interval of the plurality of primary electron lines and the secondary optical system, if the interval between the primary electron lines is set to be larger than the difference between the secondary optical systems, the crosstalk between the complex electronic lines can be eliminated. The scanning type electronic wire unit 31 of Fig. 33 also inspects the test piece 3〇68 according to the machine drawings of Figs. 28 and 29. The image position (h, γι) of the step 3332 in this figure is the center position of the binary image corresponding to the line image of the composite number obtained by scanning the complex electron lines. The image bit position (XWO is sequentially changed in the subsequent process, but is performed, for example, by the offset (0ff set) voltage of the change deflector 3080. The deflector 3〇8〇 varies the voltage around the biased electric waste to perform the normal operation. Line scanning. Of course, a biasing means different from the deflector 3080 is provided to change the imaging position (Xi, Y). The apparatus of the above embodiments of the first and eleventh embodiments can be applied to the semiconductor device of the 12th and 13th embodiments. Wafer evaluation processing in the manufacturing process. The flowcharts in Fig. a and Fig. 13 include a wafer manufacturing process for manufacturing a wafer (or preparation of a wafer), and fabrication of a mask for covering the exposed area. A film manufacturing process (or a mask preparation process for preparing a mask), a wafer processing process for performing a necessary processing on a wafer, a wafer in which a wafer formed on a wafer is cut out to be operable, and assembly The I sequence and the wafer inspection process for inspecting the assembled wafer. The wafer processing process for the process of determining the performance of the semiconductor device in the above process. The process designing the circuit pattern on the surface of the wafer Forming more The wafer is processed as a memory and a wafer. The wafer processing ς (amendment page) 312765 95 1288424 includes the following steps: 1 forming a dielectric thin film and wiring portion as an insulator, or forming a metal thin film as an electrode portion Thin film formation process (by CVD, spray coating, etc.) 2 Oxidation oxidation process of the formed thin film layer and wafer substrate is used for the processing of the film thickness and the wafer substrate to be used for reticle The photo-engraving step 4 of forming a pattern of a resist film is carried out by applying a Kasuga process to the film layer and the substrate by using an anti-lan® shape (for example, using a dry-spinning process). 5 Ions • Impurity injection and diffusion process 6 Anti-surname film peeling process (7) Inspection process for inspecting processed wafers The wafer processing step is a semiconductor device that is repeatedly performed in accordance with the number of layers required. Figure 13 shows the photolithography process at the center of the wafer processing step. The following steps are included: ::: Section: Coating on a wafer with a circuit pattern, coating the anti-rice film coating step 2 Exposing the resist film to the exposed light process 3 Exposing the light The resist film is subjected to development to obtain a semiconductor device manufacturing process, wafer processing, and the like in the toughening process of the image forming process in which the pattern of the image is stabilized by the image forming process 4 of the image of the film (4). In the process, the image forming process can be applied to a known technique. ..., 缺 P P = Inspection process When the defect inspection device 3000 according to each of the above-described embodiments of the present invention is used, the conductor device having a fine image port (correction page) 312765 96 1288424 It is possible to check defects with high precision without the image of the secondary electronic image, so that the yield of the product can be improved and the shipment of the defective product can be prevented. - The month is not limited to the above-mentioned example. For example, the test piece to be inspected is a semiconductor wafer 3〇〇5 =: However, the test piece of the present invention is not limited thereto, and any defect can be selected arbitrarily. product. For example, a mask having a pattern for wafer exposure may be formed as an inspection object. Further, the present invention can be applied not only to a device using a charged particle line inspection other than electrons, but also to a device for obtaining a # image capable of performing a defect inspection of a test piece. Further, the deflecting electrode 3011 can be set at any position as long as it can be changed between the objective lens and the squid wafer cassette 5, as in the ExB bias __ and the objective lens 3 (four). 2 grab between Wei and ExB deflector _3. Can also be controlled by

產生的電磁場以控制其偏向方向。即㈣偏向 口口如03兼用偏向電極3〇11之功能亦可。 ▲於上述第10及u實施例實行晝像數據互相間的匹 ,較% ’為用晝素間之匹配及特徵向量間之匹配之任一 仃二但^可將兩者合用。例如最初用演算量少之特徵向 2速實行匹配,其結果對於類似度高的被檢查晝像則 =細之晝素數據實行匹配之兩階段處關可兼得高速' /、馬精度。 值雜=於本發明之第10及11實施例,被檢查晝像之位置 只以一次電子線之照射領域的位置偏離對應,但亦可 97 , (修正頁)312765 1288424 :於匹配處理前或於其間將檢索晝像數據中最適合匹配領域 之處理(例如檢出相關係數高的領域互相匹配)與本發明合 =。如此則對於被檢查晝像之大位置偏離能以本發明之一 次電子線照射領域之位置偏離對應,並且比較小的位置偏 離月b以後奴的數位畫像處理吸收,因此能提高缺陷檢查之 精度。 又對於缺陷檢查用之電子線裝置表示第%圖及第33 圖的構成,但電子光學系可任意適當的變更。例如第% 圖所示缺陷檢查裝置之電子線照射手段(3〇〇1、3〇〇2、3〇〇3)書 為對於晶圓3005的表面由垂直上方射入一次電子線的形 式,但省略ExB偏向器3003使一次電子線由晶圓3〇〇5表 面斜方向射入亦可。 第28圖之流程圖亦不限定於此。例如於步驟3312對 於判定有缺陷的試件為不實行其他領域的缺陷檢查,但亦 可網羅全領域實行缺陷檢查。又如擴大一次電子線的照射 領域而以一次照射即可大致照射全部檢查領域時,則可省鲁 略步驟3314及步驟3316。 如以上的說明,依本發明之第1〇及n實施例的缺陷_ 檢查裝置’於试件上部分的使其重合以取得互相變位之複 數的被檢查領域之各晝像,由比較該被檢查領域之晝像與 基準畫像以檢查試件之缺陷,因此具有能防止被檢查畫像 與基準畫像之位置偏離構成之缺陷檢查精度的降低之優良 效果。 又依使用本發明第1 〇及1丨實施例之裝置製造方法, (修正頁)312765 98 1288424 由於應用上述缺陷檢查裝置於試件之缺陷檢查,因此能圖 得製品之成品率的上升及缺陷製品之出貨的優良效果。 第34圖表示本發明第12實施例之電子線裝置4000 的配置圖。該電子線裝置4000具備對試件τ照射一次電子 線之電子槍4001,及檢測由試件τ放出之二次電子線的二 次電子檢測器4011。第34圖中之4020為軸對稱電極。 由電子槍4001放出之電子線由電容器透鏡4002聚焦 而於決定NA(numerical aperture)之開口 4004形成交 疊。於電容器透鏡4002之下設開口板4003,該開口板如 第35圖A所示合計設有8個開口 4〇14。該開口 4〇14由縮 小透鏡4005結像於ExB分離器4006之偏向主面,更由對 物透鏡4007縮小投影於試件面τ上形成一次電子線照射點 Ε (第36圖)。試件面了之各一次照射點]£放出之二次電子 由ExB分離器4006偏向於第34圖的右方,由二次光學系 4009擴大,結像在檢測器孔群4〇1〇。試件τ載置在移動工 口(未圖示)’移動於對第34圖之紙面垂直的方向(Υ方向)。 如第35Α圖所示,開口板4〇〇3之開口排成三行三列, 但開口 4014最好設在電子搶放出之電子亮度(電子密度) 大於某私度以上之預定直徑内,因此圖示的例中第3行第 —歹J處—未叹。又第2行及第3行之開口各對於第1行及第2 行依第35Α圖所示各向右方偏移列間之間隔D1白令1/3。又 =❹術4間的間隔I⑽為使得一次電子線在試件 的=射點E的間隔為充份離開。其目的為了使二次光學 ”之檢測效率良好用較大開口角以致收差大,而為了防止 (修正頁)312765 99 1288424 •檢測器孔群4010上的二次電子像在各電子線間引起串擾 的可能性。 第35B圖、第35C圖各表示在圓周上設有開口之開口 板4050、4060的俯視圖。第35B圖之開口板4050之開口 4051、4052…之X軸線上的投影點為等間隔Lx,同樣的第 35C圖之開口板4060之開口 4061、4062…之X軸線上的投 影點為等間隔Lx。本發明之實施例的電子線裝置4000將 二次元的射在試件面上之鄰接的一次電子線互相間的距離 之最大值成為最小的狀態形成一次電子線。 第35B圖之開口板4050之鄰接的兩個開口間的距離 50a、50b、50e、50f 各為 47mm、63mm、63mm、41mm,第 35C圖之開口板4060之鄰接的兩開口間的距離60a、60b、 60f各為56mm、57mm、41mm。比較上述兩開口板時,開口 板4060之鄰接的一次電子線互相的距離最大值為57mm, 比開口板4050之50b(63mm)為小,因此第35C圖之開口板 4060的開口配置較適當。 使用具備上述條件之開口板的利點為:實際鄰接之一 次電子線的間隔大約相等使對稱性良好,不易發生非點收 差,由於一次電子線互相離開而由空間電荷效果之一次電 子線的模糊變小,由於對試件上近於對稱的位置實行照射 而能缓和由試件之帶電的影響等。 一次電子線由上述小開口 4014分離成複數之電子 線,經縮小透鏡4005結像在ExB分離器4006之偏向主面, 再由對物透鏡4007縮小投影在試件面T,如第36圖所示 100 (修正頁)312765 1288424 -形成—次電子線照射點e。 tf物件面T之各照射點E放出之二次電子受到施加在 對^透鏡撕與試件面T之間的電場而加速•集束,再受 =對物透鏡4007與電子搶侧透鏡之間的Εχβ分離器 :向第34圖之右顯向,經由二次光學系之透鏡侧 ^,結像在設複數之開π的檢測㈣口板侧,然後由 -二人電子檢測器4GU檢測。試件τ 4載置在工台(未圖示) :^多)動該工台使試件丁移動於第%圖紙面的垂直方向 小開口 4014間之間隔D1、D2為以試件了上之一次電 子線照射點Ε之間隔為充分離開的設定。照射點£之間隔 如不固定時,其最小的間隔將成問題,因此有必要盡量辦 隔值。因為欲提高二次光學系之檢測效率而: 開口角開大以致收差大’檢測用開口板侧上之二次電子 像在二次電子線間有發生串擾的可能性而必需加以防正。 電子線掃描用之偏向器4〇12、4〇13為使試件τ上之一 次電子線的照射點Ε掃描於第36圖之由左至右方向&amp; 向)的構成,其掃描距離S為設定於照射點£之之 Η的約l/3(S=H/3切)。 間隔 將試件T'y方向移動應檢測之領域的長度後,使工 台向X方向步進的移動亦即使試件向乂方向移彻心,发 後與上述同樣的將工台向—y方向連續移動實行光柵婦^ (raster Scan)(向x方向掃描4叫蚪α )。由重複 即可得應檢測領域全部之晝一 f (^1)312765 101 1288424 :電子線裝置對試件面T實行檢查時,由移動工台 4〇 13為如上述,传久一 ·二’、間知描用偏向電極4012、 U/0, -人電子線照射點β向X方向掃描 =早例如一次電子照射點£之間隔丑為150“時,各 :二人電子照射點Ε掃描⑽續1/3)切的幅度, :以广m_(個)(=4〇〇一)之範 , 據二將試件移動於試件面之y方向的長度時,移動工象台為 ::::X方向移動4〇Mm,由以實行與上述同樣之由y 方向之折返移動的掃描。 將上述所得與預定之圖形數據的畫像可行 望的檢查。j:虛理诖疮,、,闻一 丨』只灯布 —,、处理速度以圖不之例為8個訊號取入通道, 並且除折返時間以外為連續實行檢查,因此比習用技術可 大=尚速度。關於其折返次數,賴件面之檢查領域幅 (X方向幅)為20〇mm時,200mm/〇. 4酿=5〇〇次設各折返需 0.^秒,則檢查—枚試件全體*在折返時間約為4分鐘而 可算甚少。 實行線幅測定時,可將掃描用偏向器4012、4013形成 8極(〇Ctapole)使其可掃描於y方向,由χ方向的偏向血 電子線對被敎圖形位置㈣,而實心方向掃描即可。 又測定X方向之圖形線幅時由工台位置及y方向偏向使電 子線對被測定_位置移動,以U向掃描實行與習用方 法同樣之訊號處理即可。對合精度測定時則製成可能實行 對合精度評價的圖形’實行與線幅測定同樣的掃描即可。 第12實施例(第34圖)以設有一個.電子搶4〇〇1之電子 (修正頁)312765 102 1288424 線照射系為例,但可設複數之電子槍及各所對應的開口板 及二次電子檢測器等形成之複數電子照射系,依上例相互 為^以X方向鄰接並排,f卜次之y方向的試件移動可檢查 400 # mx(電子線照射系之數)的幅度的構成。 依本,明第12實施例(第34圖),由於用複數之一次 電子線含盍廣大掃描幅(&quot;幅)的狀態,以直角於掃描 幅的方向連續移動試件實行試件面的檢查,因此可大幅縮 短對該試件面全面的掃描時間。又由於使用複數之一次電 子線^可使各電子線的掃描幅狹小,因此能抑制色收差以 使對4件面之射點E形成較小,並能使電子線間互相充 分離開二由而能抑制二次光學系的串擾。 本實施例為使試件連續移動,比較習甩之試件在靜止 狀態對其微小領域實行掃描,然後移動試件再掃描其他微 小省域之電子線裝置能大幅減少移動試件所需時間。又由 =置複數之電子搶,設置複數之電子線照㈣而能實行更 有效率的缺陷檢查。 、The generated electromagnetic field controls its direction of deflection. That is, (4) the function of deflecting the mouth such as 03 and using the deflecting electrode 3〇11. ▲ In the above-mentioned 10th and uth embodiments, the image data are compared with each other, and the % ′ is used for matching between the elements and the matching between the feature vectors, but the two can be used together. For example, the matching is performed at the second speed with the feature of the small amount of calculation, and the result is that the high-speed '/, horse precision can be achieved for the two-stage matching of the fine-grained data. In the tenth and eleventh embodiments of the present invention, the position of the image to be inspected is only offset by the position of the field of illumination of the primary electron beam, but may also be 97, (correction page) 312765 1288424: before the matching process or The process of searching for the most suitable matching field in the image data (for example, the fields in which the correlation coefficient is detected to match each other) is combined with the present invention. In this way, the large positional deviation of the image to be inspected can be offset by the position of the electron beam irradiation field of the present invention, and the relatively small position is deviated from the digital image processing of the slave after the month b, so that the accuracy of the defect inspection can be improved. Further, the electronic line device for defect inspection has a configuration of a %th view and a 33rd view, but the electro-optical system can be arbitrarily changed as appropriate. For example, the electron beam irradiation means (3〇〇1, 3〇〇2, 3〇〇3) of the defect inspection apparatus shown in Fig. 100 is a form in which the surface of the wafer 3005 is incident on the surface of the wafer 3005 vertically upward, but The ExB deflector 3003 may be omitted so that the primary electron beam may be incident obliquely from the surface of the wafer 3〇〇5. The flowchart of Fig. 28 is not limited to this. For example, in step 3312, for the defective test piece, the defect inspection in other fields is not performed, but the defect inspection may be performed in the entire field. Further, if the field of irradiation of the electron beam is enlarged once and all the inspection areas are substantially illuminated by one irradiation, step 3314 and step 3316 can be omitted. As described above, according to the first aspect of the present invention and the defect_inspection device of the n-th embodiment, the upper portion of the test piece is overlapped to obtain the respective images of the inspection target field in which the plurality of mutually deformed regions are mutually displaced. Since the image of the inspection target and the reference image are used to check the defects of the test piece, there is an excellent effect of preventing the deterioration of the defect inspection accuracy by the positional deviation of the inspection image and the reference image. Further, according to the apparatus manufacturing method of the first and second embodiments of the present invention, (amendment page) 312765 98 1288424, the defect inspection of the test piece is applied by using the above defect inspection device, so that the yield of the product can be improved and the defect can be obtained. The excellent effect of the shipment of products. Figure 34 is a view showing the arrangement of an electronic wire device 4000 according to a twelfth embodiment of the present invention. The electron beam device 4000 includes an electron gun 4001 that irradiates a test piece τ with a primary electron beam, and a secondary electron detector 4011 that detects a secondary electron beam emitted from the test piece τ. 4020 in Fig. 34 is an axisymmetric electrode. The electron beam discharged from the electron gun 4001 is focused by the capacitor lens 4002 to form an overlap in the opening 4004 which determines the NA (numerical aperture). An aperture plate 4003 is provided below the capacitor lens 4002. The aperture plate is provided with eight openings 4〇14 as shown in Fig. 35A. The opening 4〇14 is formed by the small lens 4005 on the deflecting main surface of the ExB separator 4006, and is further projected onto the test piece surface τ by the objective lens 4007 to form a primary electron beam irradiation point (Fig. 36). The secondary electrons emitted by each of the first irradiation spots of the test piece are deflected by the ExB separator 4006 to the right of Fig. 34, and enlarged by the secondary optical system 4009, and the image is formed in the detector hole group 4〇1〇. The test piece τ is placed on a moving port (not shown) to move in a direction perpendicular to the plane of the paper of Fig. 34 (Υ direction). As shown in Fig. 35, the openings of the opening plates 4〇〇3 are arranged in three rows and three columns, but the opening 4014 is preferably set in a predetermined diameter in which the electron luminance (electron density) of the electrons is released is greater than a certain degree of privacy. In the example of the figure, the third line - 歹J - is not sighed. Further, the openings of the second row and the third row are 1/3 of the interval D1 between the rows shifted to the right in the first row and the second row. Further, the interval I (10) between the four passes is such that the interval of the primary electron beam at the = shot E of the test piece is sufficiently separated. The purpose is to make the detection of the secondary optics "good" with a large opening angle so that the difference is large, and to prevent (correction page) 312765 99 1288424 • the secondary electron image on the detector hole group 4010 causes crosstalk between the respective electron lines 35C and 35C each show a plan view of the opening plates 4050 and 4060 having openings on the circumference. The projection points on the X-axis of the openings 4051, 4052, ... of the opening plate 4050 of Fig. 35B are equal. The projection point on the X-axis of the opening 4061, 4062, ... of the opening plate 4060 of the same 35C is the equal interval Lx. The electron beam device 4000 of the embodiment of the present invention shoots the second element on the surface of the test piece. The primary electron beam is formed in a state in which the maximum value of the distance between the adjacent primary electron beams is the smallest. The distances 50a, 50b, 50e, and 50f between the adjacent two openings of the opening plate 4050 of Fig. 35B are 47 mm and 63 mm, respectively. 63 mm, 41 mm, and the distances 60a, 60b, and 60f between the adjacent openings of the opening plate 4060 of Fig. 35C are 56 mm, 57 mm, and 41 mm, respectively. When the two opening plates are compared, the adjacent primary wires of the opening plate 4060 are mutually Distance The maximum value is 57 mm, which is smaller than 50b (63 mm) of the opening plate 4050. Therefore, the opening configuration of the opening plate 4060 of Fig. 35C is appropriate. The advantage of using the opening plate having the above conditions is: the actual adjacent primary electron line The spacing is approximately equal to make the symmetry good, and the non-point congruence is not easy to occur. Since the primary electron lines are separated from each other, the blur of the first electron beam by the space charge effect becomes small, and the symmetry of the position on the test piece can be moderated. The influence of the charging of the test piece, etc. The primary electron beam is separated into a plurality of electronic wires by the small opening 4014, and is imaged by the reducing lens 4005 on the deflecting main surface of the ExB separator 4006, and then projected by the objective lens 4007. The surface T, as shown in Fig. 36, 100 (correction page) 312765 1288424 - forms the secondary electron beam irradiation point e. The secondary electrons emitted from the respective irradiation points E of the tf object surface T are applied to the lens torn and tested. Acceleration/bundling of the electric field between the surface T, and then by the Εχβ separator between the objective lens 4007 and the electron-collision lens: to the right of the 34th figure, via the lens side of the secondary optics Image The detection of the opening π of the complex number (4) is on the side of the mouth plate, and then detected by the -two-person electronic detector 4GU. The test piece τ 4 is placed on the workbench (not shown): ^)) moving the test piece to move the test piece The interval D1 and D2 between the small vertical openings 4014 of the %th drawing surface are set to be sufficiently separated by the interval of the first electron beam irradiation point on the test piece. When the interval between the irradiation points is not fixed, the minimum is The interval will be a problem, so it is necessary to do the separation as much as possible. In order to improve the detection efficiency of the secondary optical system, the opening angle is large and the difference is large. The secondary electron image on the side of the detecting opening plate has a possibility of crosstalk between the secondary electron lines and must be prevented. The deflectors 4〇12 and 4〇13 for scanning the electron beam are configured such that the irradiation point of the primary electron beam on the test piece τ is scanned in the left-to-right direction of the 36th figure, and the scanning distance S is set. It is set to about l/3 (S=H/3 cut) after the irradiation point. After moving the test piece T'y direction to the length of the area to be detected, the stepping movement of the table in the X direction is performed even after the test piece is moved in the direction of the ,. The direction is continuously moved to perform raster scan (scanning 4 in the x direction). By repeating, all the fields in the field of inspection should be obtained. (^1) 312765 101 1288424: When the electronic line device is inspected on the test piece surface T, the mobile work station 4〇13 is as described above, and the transmission time is one and two.间 描 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 401 Continued 1/3) The magnitude of the cut, in the width of m_() (=4〇〇一), according to the length of the test piece moving in the y direction of the test piece surface, the moving work station is: The X direction moves 4 〇 Mm, and the scan is performed by the y-direction reversal movement in the same manner as described above. The above-mentioned result and the image of the predetermined graphic data are likely to be examined. j: imaginary acne, and, smell A 丨 』 only light cloth —, processing speed is not taken as an example of 8 signals into the channel, and in addition to the return time for continuous inspection, so than the conventional technology can be greater = still speed. About its number of reentry, Lai When the inspection area of the surface (X direction width) is 20〇mm, 200mm/〇. 4 brewing = 5〇〇 times, each folding back needs 0.^ seconds, then check - The total number of test pieces * is not much less than 4 minutes. The width of the scanning deflectors 4012, 4013 can be 8 poles (〇Ctapole) so that they can be scanned in the y direction. The direction of the deviation of the blood electrons is on the position of the image (4), and the solid direction is scanned. When the pattern width in the X direction is measured, the position of the table and the direction of the y are biased so that the pair of electrons are measured. The scanning can be performed in the same manner as the conventional method. When the matching accuracy is measured, the pattern that can be evaluated for the matching accuracy can be performed. The same scanning as the line width measurement can be performed. The twelfth embodiment (Fig. 34) There is an electronic ray grab 4 〇〇 1 electronic (correction page) 312765 102 1288424 line illumination system as an example, but can be set with a plurality of electron guns and each corresponding open plate and secondary electron detector formed by the complex electronic illumination system According to the above example, the workpieces are adjacent to each other in the X direction, and the movement of the test piece in the y direction of the f-times can check the composition of the amplitude of 400 #mx (the number of electron beam irradiation systems). According to the present invention, the twelfth embodiment ( Figure 34), due to use The number of electronic wires contains the state of the scanning frame (&quot;web), and the test piece is continuously moved at a right angle in the direction of the scanning frame, so that the full scanning time of the test piece surface can be greatly shortened. Moreover, since the scanning electron width of each electronic wire is narrowed by using a plurality of electronic wires, the color difference can be suppressed to make the shooting point E of the four faces smaller, and the electron wires can be sufficiently separated from each other. The crosstalk of the secondary optical system can be suppressed. In this embodiment, in order to continuously move the test piece, the test piece of the comparative test piece is scanned in a static state in a static state, and then the test piece is moved and then scanned by other micro-provincial electronic line devices. Can greatly reduce the time required to move the test piece. In addition, by setting the electronic number of the complex number, a plurality of electronic lines (4) can be set to perform more efficient defect inspection. ,

依本發明第12 »施例(第34®),由於將複數之一次 :子線的照射點為二次元的配置,因此可增大照射間的距 。並且對於1軸(x轴)上之投影的照射點間之距離均相 I施^而可無間㈣對試件面實行掃描。又由於使用ExB :☆而使〜人電子線垂直射人,因而能將電子線絞成細 綠0 第37圖表示本發明第 之概略構成圖。第37圖中 13實施例之電子線裝置41〇〇 ’ 4101為放出檢查用電子線之 (修正頁)312765 103 1288424 -形成一體的單一電子槍,4103為電容器透鏡,4105為使經 過電谷斋透鏡之電子線形成複數之電子線的複數開口板, 4107為設在電容器透鏡形成之電子線源的擴大像位置之 NA用開口板,41〇9及4111為對於複數開口板形成之複數 電子線以預定縮小率縮小後結像於檢查對象之試件Οa 之透鏡,4115為使通過透鏡之二次電子自一次電子分離之 ExB分離器。於此之一體的陰極的為對單結晶LaBb等之陰 極材料的前端做種種之形狀加工而形成。According to the twelfth embodiment (the 34th) of the present invention, since the plurality of times: the irradiation point of the sub-line is a two-element arrangement, the distance between the irradiations can be increased. Further, the distance between the irradiation points of the projection on the 1-axis (x-axis) is uniformly applied, and the surface of the test piece can be scanned without any (4). Further, since ExB: ☆ is used, the human electron beam is directed perpendicularly, so that the electronic wire can be twisted into fine green. Fig. 37 is a schematic view showing the first configuration of the present invention. In the 37th embodiment, the electronic wire device 41〇〇' 4101 of the embodiment is a release inspection electron beam (correction page) 312765 103 1288424 - an integrated single electron gun, 4103 is a capacitor lens, and 4105 is a lens for passing through the electric oven The electron beam forms a plurality of open plates of a plurality of electron lines, and 4107 is an NA opening plate provided at an enlarged image position of the electron beam source formed by the capacitor lens, and 41〇9 and 4111 are plural electron lines formed for the plurality of open plates. After the predetermined reduction ratio is reduced, the lens is imaged on the specimen Οa of the inspection object, and 4115 is an ExB separator that separates the secondary electrons passing through the lens from the primary electron. The cathode of one of the bodies is formed by subjecting the tip end of the cathode material such as single crystal LaBb to various shapes.

ExB分離器4115為對試件面之法線(紙面的上方向)垂 直的平面内使電場與磁場為直交的構造,並設定其電場、 磁場、一次電子能量的關係為使一次電子直進。4117為使 複數開口板410 5形成之複數電子線同時偏向以掃描試件 4113之檢查領域的偏向器,4119為二次光學系之擴大透 鏡,4121為與一次光學系之偏向器4117同步動作而無關 於喊件之掃描將複數開口板4105之開口 4105a、4105b、 4105c、4105d之電子線的射入點放出之二次電子收入對應 之4欢/則益的偏向裔’ 412 3為設有對應於一次光學系之複數 開口板之開口 4123a、4123b、4123c、4123d之二次光學系 的複數開口板,4125為設在複數開口板背後的檢測器群。 檢測益群為由應於射入之電子量產生檢測訊號之電子增倍 管荨構成。 第37圖之電子線裝置4100其電子槍4101放出之電子 線首由電容器透鏡4103收束,然後照射於形成複數電子線 的開口板4105之複數開口 4105a至4105d。通過各開口 (修正頁)312765 104 1288424 4105a、4105b、4105c、4105d之電子線交疊於決定一次光 學系數位孔經之ΝΑ開口板4107之開口位置。通過交疊之 電子線由電容器透鏡4109在對物透鏡4111之主面形成交 疊像。ΝΑ為數位孔徑(Numerical Aperture)的簡稱。 複數開口板4105之各開口的開口像由電容器透鏡 4109結像在ExB分離器4115的主面後由對物透鏡4111結 像於試件4113表面。 一方面自試件放出之二次電子由ΕχΒ分離器4115自一 次電子分離偏向於二次光學系方向,由二次光學系之擴大 透鏡4119擴大經由複數開口板4123之開口而由設在該複 數開口板之後面的檢測器群4125檢測。 由於自電子搶4101放出之電子線的電流密度在複數 開口板4105之中心開口 41 〇5d之值為最大,41 〇5c、4105b、 4105a等則隨離開光軸減小,因此試件4113面上之電子線 電流不同。 為解決上述問題’一實施例為將複數開口板4105之開 口 4105a至4105d的大小以光軸附近形成較小,隨離開光 軸則逐漸加大的調整,使通過各開口達到試件4113面上的 電子線電流大致相等。因此於試件4113面上設置檢測各電 子線電流之檢測器群以檢測各電子線的電流。 解決上述問題之另一方法為將決定上述一次光學系之 數位孔徑之Μ開口板侧的光軸方向位置設定於由一次 光子系之透鏡$成之電子線源的擴大像之高斯(运議s)像 面(近光軸線之焦點位置)偏近於電子搶4101的位置。即電 (修正頁)312765 105 1288424 容器透鏡4103形成之交疊位置由於透鏡之球面收差使通 過複數開口板4105之各開口的電子線的交疊位置(光軸方 向位置)為依電子線不同。例如由開口 41〇5a之電子線形成 的交疊位置為4108a的位置,而由開口 4105c之電子線形 成的父璺位置為4108c。即由一次光學系之透鏡形成之電 子線源之高斯像面為形成在離開NA開口板41 〇7最遠處。 因此將NA開口板比高斯像面位置更偏近電子槍41〇1 側而置於複數開口板41 〇5之最外側開口 41 〇5a形成之交疊 位置,由此使用開口位置之通過開口 41〇7的電子線電流密 度大’並且電子線之通過不受限制,一方面通過光軸附近 之開口 4105c之電子線的電流密度低,電子線的通過量又 文限制’因此能縮小於試件4113之亮度即電子線電流的不 均。於此亦與上述實施例同樣的將檢測各電子線電流之檢 測器群設置在試件面位置以檢測通過各開口之電子線電 流。 又上述問題可由上述對複數開口板4105之開口尺寸 的調整及上述NA開口板41〇7之光軸方向位置之調整組合 以解決。 上j方法均以使射入試件4113之電子線電流均勻為 目的貝際上存在二次光學系之二次電子檢測率在光軸附 攻與光軸外圍為不同的問題。因而於本發明之另一實施例 為將無圖H式件放置在試件位置’以檢測器群仍5檢測 上述無圖形試件放出之二次電子,然後調整na用開口板 4107之光軸方向位置以使各檢測器輸出之差為最小,由此 (修正頁)312765 106 1288424 -可修,二次光學系之二次電子之檢測率的不均。設自開口 至°式件之縮小率為M,光學系之像面彎曲之Z方向距離 :、、、占則Μ則開口板之偏離量= 5/(2M),通常為工至⑽m。 -對於二次光學系之二次電子檢測率的不均亦可與上述 同樣的載置無圖形之試件於試件位置,由檢測器群4125 檢測該無圖形試件面之二次電子,由調整一次光學系之複 數開口 4105的開口尺寸,即於光軸附近形成較小,隨著 離開光軸則形成較大的實行微調整以使各檢測器之輸出差 為最小的修正。 又對於二次光學系之二次電子檢出率的不均,亦可與 上述同樣將無圖形試件载置在試件位置,用檢測器群4125 檢測該無W形試件放出之二次電子,㈣懸二次光學系 之複數開口板4123的開口尺寸以使在光軸附近為較小,而 隨離開光軸為形成較大的修正。 上述問題又可由上述對於複數開口板41〇5之開口尺 ^的調整,NA開口板4107之光軸方向調整,及二次光學 系之複數開口板4123之開口尺寸的調整組合以解決。於此 使用未圖示之控制、計算方法以使各檢測器4125之輸出差 為最小的調整方法。 第37圖所示第13實施例用各電子線實行評價為以偏 向裔4117使全部電子線同時偏向,對試件4113以全部電 子線掃描,並用檢測器檢測其時之訊號。又以電子線掃描 時為使各電子線之入射點的二次電子為確實射入對應之複 數開口板4123之孔的狀態與偏向器4117之掃描為同步的 (修正頁)312765 107 1288424 :用偏向器4 1 2 1使二次電子掃描。 對於第12圖之流程圖所示晶圓檢查工序使用本發明 第13實施例之電子線裝置41 〇〇可實行更高精度、高生產 率之檢查、測定。 本發明第13實施例之電子線裝置4100可適用於照像 遮膜及標線、晶圓等(試件)之缺陷檢查、線幅測定、對合 精度測定、電位對比測定等之各種檢查及測定。 依本發明第13實施例之電子線裝置4100,由於使用 一體之陰極或單一電子槍形成複數之電子線,比較使用複 數之射極能顯著改善電子槍發生故障率,因此提高裝置之 L賴性。又由於能均勻化各電子線的電流,因此能實行更 面精度,高生產率的檢查及測定。 第13實施例之電子線裝置4100亦可使用如熱電場放 出電子槍之只向狹方向出電子之電子槍。 第13實施例之電子線裝置410 0由於能將各電子線的 電流均勻化,因此可增大複數電子線之電子線數,用複數 電子線照射更廣大範圍。因而能實行高生產率之檢查及測 定。又電子線間的訊號強度能使其大致相等。 參苐3 8圖至弟41圖說明本發明第14實施例之電子 線裝置4200。第38圖之電子線裝置4200之電子槍4201 放出之電子線受電容器透鏡4202集束而於點c〇形成交 ® °並於該交疊點CO設置具有決定NA之開口 4204之收絞 部 4204 〇 於電容器透鏡4 2 0 2的下方設有具備複數之開口的第1 (修正頁)312765 108 1288424 相^數開口板4 2 〇 3,由盆本$遂々 田其形成稷數之一次電子線。由第i複 數開口板4203形成之久—呤雷孚p 取 &lt;各-人電子線杈縮小透鏡4205縮小 後投影在ExB分離哭49nR夕低a + π y 雕~ 420b之偏向主面4215,在點4216 — 度結係後,由對物透鏡4207聚焦於試件42〇8。 如第38圖所不,為要修正縮小透鏡4205及對物透鏡 4207之像面背曲收差,複數開口板42〇3由中央至周圍為 形成越離開電谷器透鏡42〇2之階段構造。 由聚焦之複數的一次電子線照射之試件4208上之複 數的點放出之二次電子線被對物透鏡42〇7之電場吸引集 束成細線在ExB分離器4206之前的點4216,即對ExB分 離器4206之偏向主面在試件侧之點4216聚焦。原因為各 一次電子線在試件面上帶有5〇〇eV之能量,而二次電子線 則只有數eV之能量。由試件42〇8放出之複數的二次電子 線由ExB分離益4206將其自連結電子槍4201與試件4208 之軸向外方偏向而自一次電子線分離,射入二次光學系。 二次光學系含有擴大透鏡4209及4210,通過該等擴 大透鏡4209、4210之二次電子線通過第2複數開口板4211 之複數的開口結像在複數之檢測器4212。形成在設於檢測 為4212之剞之弟2袓數開口板4211之複數開口與形成在 第1複數開口板4203之複數開口為一對一的對應。 各檢測器4212將檢測之二次電子線變換成表示其強 度的電氣訊號。如上述由檢測器輸出之電氣訊號經放大器 4213將其放大後輸入晝像處理部4214變換為晝像數據。 該晝像數據供试件之缺陷及線幅測定。即對於晝像處理部 (修正頁)312765 109 1288424 4214供給有使一次電子線偏向之掃描訊號,因此晝像處理 部4214能顯示試件4208之面的晝像。 將該晝像與標準圖形比較即可檢查試件42〇8之缺 陷,又由圖像重合使試件4208移近一次光學系之光軸實行 線掃描以取出線幅評價訊號,對其實行適當校正即可測定 試件4208上之圖形的線幅。 於上述通過第1複數開口板4203之開口的一次電子線 在試件4208面上聚焦,又由試件42〇8放出之二次電子線 結,在檢測器4212之際,特別有必要考慮使一次光學系及 一人光子系產生之失真、像面彎曲及視野非點之三種收差 構成的影響為最小。以下參照第39至41圖說明本發明第 14實施例所採用之手段。 第39圖至第41圖中,第1複數開口板4203及第2 :數開口板4211形成之開口大小,形狀及偏移量為使1容 易理解有些強調’與實際狀態不同。 、 板42==示本發明之電子線裝置使用之第1複數開口 ^例,本例之複數開口板4203使用於試件面 ==(r:ushl_ 、 一彳差於第1複數開口板4203形成位置偏移成插The ExB separator 4115 has a structure in which an electric field and a magnetic field are orthogonal to a plane perpendicular to the normal to the surface of the test piece (upward direction of the paper surface), and the relationship between the electric field, the magnetic field, and the primary electron energy is set so that the primary electrons advance. 4117 is a polarizer in which the plurality of electron lines formed by the plurality of aperture plates 410 5 are simultaneously deflected to scan the test piece 4113, and 4119 is an enlarged lens of the secondary optical system, and the 4121 is synchronized with the deflector 4117 of the primary optical system. Regardless of the scanning of the shouting member, the secondary electrons of the opening of the electronic wires of the openings 4105a, 4105b, 4105c, and 4105d of the plurality of opening plates 4105 are discharged, and the secondary electrons corresponding to the 4th Huan/Ziyi's biased person's 412 3 are provided with corresponding The plurality of aperture plates 4125 of the secondary optical system of the openings 4123a, 4123b, 4123c, and 4123d of the plurality of aperture plates of the primary optical system are detector groups disposed behind the plurality of aperture plates. The detection benefit group is composed of an electron multiplier tube which generates a detection signal for the amount of electrons to be injected. In the electronic wire device 4100 of Fig. 37, the electron beam emitted from the electron gun 4101 is contracted by the capacitor lens 4103, and then irradiated to the plurality of openings 4105a to 4105d of the opening plate 4105 forming the plurality of electron lines. The electron lines passing through the respective openings (correction pages) 312765 104 1288424 4105a, 4105b, 4105c, 4105d overlap the opening positions of the opening plates 4107 which determine the position of the primary optical coefficient. An overlapping image is formed on the principal surface of the objective lens 4111 by the capacitor lens 4109 by the overlapping electron lines. ΝΑ is the abbreviation of Numerical Aperture. The opening of each opening of the plurality of aperture plates 4105 is imaged on the surface of the test piece 4113 by the objective lens 4111 after being imaged by the capacitor lens 4109 on the main surface of the ExB separator 4115. On the one hand, the secondary electrons emitted from the test piece are biased toward the secondary optical system from the primary electron separation by the helium separator 4115, and are enlarged by the enlarged lens 4119 of the secondary optical system via the opening of the plurality of open plates 4123. A detector group 4125 behind the aperture plate is detected. Since the current density of the electron beam discharged from the electron grab 4101 is the largest at the center opening 41 〇 5d of the plurality of open plates 4105, 41 〇 5c, 4105b, 4105a, etc. are decreased as they leave the optical axis, so the test piece 4113 surface The electron line current is different. In order to solve the above problem, in one embodiment, the sizes of the openings 4105a to 4105d of the plurality of opening plates 4105 are formed to be smaller in the vicinity of the optical axis, and gradually increased as they leave the optical axis, so that the surface of the test piece 4113 is passed through each opening. The electron line currents are approximately equal. Therefore, a detector group for detecting each of the electron beam currents is provided on the surface of the test piece 4113 to detect the current of each of the electron lines. Another method for solving the above problem is to set the optical axis direction position on the side of the aperture plate on the digital aperture of the primary optical system to the Gaussian image of the enlarged image of the electron source from the lens of the primary photon system. The image plane (the focus position of the near-optical axis) is close to the position of the electronic grab 4101. That is, the electric (correction page) 312765 105 1288424 The overlapping position formed by the container lens 4103 is such that the overlapping position (the optical axis direction position) of the electron lines passing through the respective openings of the plurality of opening plates 4105 differs depending on the electron line due to the spherical aberration of the lens. For example, the overlapping position formed by the electron lines of the opening 41〇5a is the position of 4108a, and the position of the parent by the electron line of the opening 4105c is 4108c. That is, the Gauss image surface of the electron source formed by the lens of the primary optical system is formed farthest from the NA opening plate 41 〇7. Therefore, the NA opening plate is placed closer to the electron gun 41〇1 side than the Gauss image surface and placed at the overlapping position formed by the outermost opening 41 〇 5a of the plurality of opening plates 41 〇 5, whereby the opening position through the opening 41 is used. The electron current density of 7 is large, and the passage of the electron beam is not limited. On the one hand, the current density of the electron beam passing through the opening 4105c near the optical axis is low, and the throughput of the electron beam is limited again, so that it can be reduced to the test piece 4113. The brightness is the unevenness of the electron line current. Also in the same manner as in the above embodiment, the detector group for detecting the current of each electron beam is placed at the position of the test piece surface to detect the electric current flowing through each opening. Further, the above problem can be solved by the above-described adjustment of the opening size of the plurality of opening plates 4105 and the adjustment of the position of the NA opening plates 41?7 in the optical axis direction. The upper j method is such that the electron current flowing into the test piece 4113 is uniform. The secondary electron detection rate of the secondary optical system is different between the optical axis attachment and the periphery of the optical axis. Therefore, in another embodiment of the present invention, the non-graph H-shaped member is placed at the test piece position to detect the secondary electrons emitted by the non-graphic test piece by the detector group 5, and then adjust the optical axis of the na-opening plate 4107. The directional position is such that the difference between the outputs of the respective detectors is minimized, thereby (correction page) 312765 106 1288424 - repairable, unevenness of the detection rate of the secondary electrons of the secondary optics. The reduction ratio from the opening to the ° is M, and the Z-direction distance of the image plane of the optical system is :,, and the deviation of the aperture plate is 5/(2M), usually up to (10) m. - for the unevenness of the secondary electron detection rate of the secondary optical system, the test piece can be placed at the position of the test piece in the same manner as described above, and the detector group 4125 detects the secondary electron of the unpatterned test piece surface. The opening size of the plurality of openings 4105 of the primary optical system is adjusted to be smaller in the vicinity of the optical axis, and a larger correction is performed as the optical axis is removed to minimize the output difference of each detector. Further, for the unevenness of the secondary electron detection rate of the secondary optical system, the non-graphic test piece may be placed on the test piece position as described above, and the detector group 4125 is used to detect the second release of the non-W-shaped test piece. The opening of the plurality of aperture plates 4123 of the electrons, (4) suspended secondary optical system is such that it is small in the vicinity of the optical axis, and a large correction is formed as it leaves the optical axis. The above problem can be solved by adjusting the opening rule of the plurality of opening plates 41〇5, adjusting the optical axis direction of the NA opening plate 4107, and adjusting the opening size of the plurality of opening plates 4123 of the secondary optical system. In this case, an adjustment method such as a control and calculation method (not shown) is used to minimize the difference in output between the detectors 4125. The thirteenth embodiment shown in Fig. 37 was carried out by using the respective electron beams to evaluate that all the electron lines were simultaneously deflected by the bias 4117, and the test piece 4113 was scanned with all the electron lines, and the signal was detected by the detector. Further, in the case of scanning with an electron beam, the secondary electrons at the incident point of each electron beam are in a state of being surely incident on the hole of the corresponding plurality of aperture plates 4123 and synchronized with the scanning of the deflector 4117 (correction page) 312765 107 1288424: The deflector 4 1 2 1 causes the secondary electron to be scanned. With respect to the wafer inspection process shown in the flowchart of Fig. 12, the electronic wire device 41 of the thirteenth embodiment of the present invention can be used for inspection and measurement with higher precision and higher productivity. The electronic wire device 4100 according to the thirteenth embodiment of the present invention can be applied to various inspections such as photographic masks, defect inspections for reticle, wafers, and the like (test pieces), line width measurement, matching precision measurement, potential contrast measurement, and the like. Determination. According to the electronic wire device 4100 of the thirteenth embodiment of the present invention, since a plurality of electron beams are formed using an integrated cathode or a single electron gun, the use of a plurality of emitters can significantly improve the failure rate of the electron gun, thereby improving the device's dependence. Further, since the current of each electron beam can be made uniform, inspection and measurement with higher surface accuracy and high productivity can be performed. The electron beam device 4100 of the thirteenth embodiment can also use an electron gun that emits electrons only in a narrow direction, such as a hot electric field discharge electron gun. In the electron beam device 410 0 of the thirteenth embodiment, since the current of each electron beam can be made uniform, the number of electron lines of the complex electron beam can be increased, and the complex electron beam can be irradiated to a wider range. Therefore, high productivity inspection and measurement can be performed. The signal strength between the wires can be made roughly equal. The electronic line device 4200 of the fourteenth embodiment of the present invention will be described with reference to Figs. The electron beam discharged from the electron gun 4201 of the electronic wire device 4200 of Fig. 38 is bundled by the capacitor lens 4202 to form a cross at a point c, and a retracting portion 4204 having an opening 4204 for determining NA is disposed at the overlapping point CO. Below the capacitor lens 4 2 0 2, a first (correction page) 312765 108 1288424 number of aperture plates 4 2 〇 3 having a plurality of openings are provided, and a number of primary electron lines are formed by the pot. The long-formed 开口 孚 孚 取 取 由 各 各 各 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 420 After the point 4216 is tied, the object lens 4207 is focused on the test piece 42〇8. As shown in Fig. 38, in order to correct the back surface curvature of the reduction lens 4205 and the object lens 4207, the plurality of aperture plates 42A3 are formed from the center to the periphery to form a phase away from the grid lens 42〇2. . The secondary electron beam discharged from the plurality of points on the test piece 4208 irradiated by the plurality of focused primary electron beams is attracted by the electric field of the object lens 42〇7 to be bundled into a thin line at a point 4216 before the ExB separator 4206, that is, to ExB. The deflecting main face of the separator 4206 is focused at a point 4216 on the test piece side. The reason is that each electron beam has 5 〇〇 eV energy on the surface of the test piece, while the secondary electron line has only a few eV energy. The plurality of secondary electron beams discharged from the test pieces 42 to 8 are separated from the axial direction of the test electron gun 4201 and the test piece 4208 by the ExB separation benefit 4206, and are separated from the primary electron beam and injected into the secondary optical system. The secondary optics includes enlarged lenses 4209 and 4210, and the secondary electron lines passing through the enlarged lenses 4209 and 4210 pass through a plurality of openings of the second plurality of aperture plates 4211 to form a plurality of detectors 4212. The plurality of openings formed in the second opening plate 4211 of the second detecting opening 4212 are formed in a one-to-one correspondence with the plurality of openings formed in the first plurality of opening plates 4203. Each detector 4212 converts the detected secondary electron line into an electrical signal indicative of its intensity. The electric signal outputted from the detector as described above is amplified by the amplifier 4213 and input to the imaging processing unit 4214 to be converted into imaging data. The image data is tested for defects and line widths. That is, the image processing unit (correction page) 312765 109 1288424 4214 is supplied with the scanning signal for deflecting the primary electron beam, so that the imaging processing unit 4214 can display the image of the surface of the test piece 4208. Comparing the artifact with the standard pattern can check the defects of the test piece 42〇8, and the image overlaps the sample 4208 closer to the optical axis of the optical system to perform line scan to take out the line evaluation signal, and implement the appropriate The line width of the graphic on the test piece 4208 can be determined by calibration. The primary electron beam passing through the opening of the first complex opening plate 4203 is focused on the surface of the test piece 4208, and the secondary electron beam is discharged from the test piece 42〇8. In the case of the detector 4212, it is particularly necessary to consider The effects of distortion, image plane curvature, and field of view non-point distortion produced by one optical system and one-person photonic system are minimal. The means employed in the fourteenth embodiment of the present invention will be described below with reference to Figs. 39 to 41. In Figs. 39 to 41, the size, shape, and offset of the opening formed by the first plurality of apertured plates 4203 and the second:numbered apertured plates 4211 are such that the ease of understanding is somewhat different from the actual state. The plate 42== shows the first plural opening of the electronic wire device of the present invention. The plurality of opening plates 4203 of this example are used for the test piece surface==(r:ushl_, one 彳 is different from the first plural opening plate 4203 Forming a position offset into a plug

心7 Ρ 乂第1稷數開口板4203之中心Y 電子搶4201與試件42〇8 * p 之交點為中心之四方形二連之 =1硬數開口板_ 4224。 之四角各設—個開口咖至 第 3 9圖上之縱 橫實線為該正方形之相對向 兩邊之假 (修正頁)312765 110 1288424 •想線’複數之開口為均勻分佈在第1複數開口板4203時為 設在該等實線的交點。實際上則了修正一次光學系之失真 收差於最小’各開口之位置依存於其至中心點χ的距離= 設在由實線的交點向第1複數開口板4 2 〇 3之中心方向偏移 的位置。 第40圖表示本發明之電子線裝置使用之第2複數開口 板4211之一例,為使二次光學系之失真引起之繞線型 cushion型)之失真的影響為最小而用。第4〇圖所示為將 第2複數開口板4211之各開口應其至中心γ的距離由均一 分佈之理想位置向外偏移。設偏移量為由包含對物透鏡 4207、擴大透鏡4209及4210及ExB分離器4206之光學系 貫行模擬异出。隶外側之開口開設過大亦不致構成串擾y 因此可形成充分大的開口。第39、40圖表示複數開口板 4203、4211為以一枚板開設複數之開口的實施形態,但在 裝置設計上複數開口板由二枚以上的複數枚構成亦可。 如前所述,對於像面彎曲可將第1複數開口板42〇3 之斷面形狀形成階段狀以修正一次光學系之像面彎曲。二 次光學系亦發生像面彎曲,但由於設在檢測器4212前面之 第2複數開口板4211之開口較大,實際上可忽視二次光學 系之像面彎曲。 視野非點收差為由透鏡之折射率在透鏡之放射方向與 周方向不同而發生。第41A圖、第41B圖表示本發明之電 子線裝置為缓和該視野非點收差使用之第丨複數開口板 42〇3之第2例,第41A圖表示之第1複數開口板4203之 (修正頁)312765 111 1288424 各開口為依存於自第1複數開口板42〇3之中心的距離,對 該中心形成放射方向細長的形狀。第41β圖所示為以第玉 複數開口板4203之中心為中心的假想圖之半徑方向及周 方向形成大小不同的開口。 、,弟38圖之付號4217表示消隱(blanking)偏向器,對 消隱偏向器4217施加狹幅之脈衝可形成脈衝幅狹小的電 子線。使用上述狹小脈衝則可對形成在試件42〇8之圖形電 位以高時間分解能實行測定,由此可對電子線裝置附加所 明頻閃(strobo)SEM(掃描型電子顯微鏡)的功能。 第38圖之符號4218表示軸對稱電極,對該軸對稱電 極4128施加比試件4208低數十伏特的電位則可使試件 4208放出之二次電子依存於試件4208具有的圖形之電 位,由此可使二次電子流向對物透鏡42〇7或追回試件側。 而由此可測定試件4208上之電位對比。 第38圖至第40圖表示之本發明第14實施例的電子線 裝置420 0此適用於缺陷檢查裝置、線幅測定裝置、對合精 度測疋裝置、電位對比測定裝置及缺陷評價裝置或頻閃 SEM裝置。本發明第14實施例之電子線裝置42〇〇又可使 用於第12圖、第13圖所示之半導體裝置之製造工序之晶 圓評價。 第12圖之晶圓處理工序中之中心的照像製版工序包 含對前段工序形成有電路圖形之晶圓上塗佈抗蝕膜的抗蝕 膜工序、對抗蝕膜實行露光的工序、將露光之抗蝕膜實行 顯像以得抗蝕膜圖形之顯像工序、及使顥像之抗蝕膜圖形 (修正頁)312765 112 1288424 文疋化之轫化工序(第 線裳置4200更可用減:丄 第14 例之電子 了用於檢查經加工之晶圓之第12圖的晶圓 认本發明不限定於上述實施例。例如可設置複數之電子 =伽、第!複數開口板撕、一次光學系、二次光學季、 ^稷數開:板4211及檢測器4212構成之電子線照射· =系’用魏之電子搶之複數的—次電子線同時照射在 -42G1之不同的位置,用複數之檢測器收取由試件放出 之複數的二次電子線亦可。由此可大幅縮短檢查及測定所 需時間。 如由以上的說明可理解,本發明第14實施例之電子線 裝置4200可達成下述效果。 1·此修正一次光學系之失真收差,並能緩和視野非點收 ,,因此能對廣大領域照射複數之電子線實行掃描,能以 高生產率實行缺陷檢查。 2·由於能修正二次光學系之失真,用複數之一次電子線以 狹小間隔對試件照射掃描亦不致然生串擾,並能增大二次 電子的透過率,結果能得S/N比高的訊號,能實行高信賴 性之線幅測定等。 3·由於能將一次光學系結像在ΕχΒ分離器4206之偏向主 面’旎使一次電子線的色收差減小,將一次電子線形成複 數電子線時亦能將複數電子線絞成細線。 以下參照第42圖說明本發明第15實施例之電子線裝 置4300。第42圖之電子線裝置43〇〇包含一次光學系 113 (修正頁)312765 1288424 _ 4310、二次光學系4330及檢測裝置4340。一次光學系4310 為使電子線照射於試件S之表面(試件面)之光學系,具備 放出電子線之電子槍4311、使電子槍放出之電子線偏向之 靜電透鏡4312、形成二次元的配列之複數小孔(但第42圖 中只表示4313a至4313e)之開口板4313、靜電偏向器 4314、開口圈(aperture)4315、對通過開口板之電子線實 行偏向之靜電中間透鏡4316、第1 ExB分離器4317、使電 子線偏向之靜電中間透鏡4318、靜電偏向器4319、第2E xB分離器4320、靜電對物透鏡4321、以及靜電偏向器 4322。以上如第42圖所示以電子槍4311為最上部依序使 電子槍放出之電子線之光轴A為對試件表面SF垂直的設 置。由此使靜電對物透鏡4321與試件S間為軸對稱的構造 而能將電子線絞成細線。 二次光學系4330具備沿於一次光學系4310之第2 Ex B分離器4320之附近對光轴A傾斜之光轴B設置之靜電擴 大透鏡4331、及形成有以二次元的配列之複數的小孔(圖 中只表示4332a至4332e)之開口板4332。檢測裝置4340 對開口板4332之各開口備有檢測器4341。開口板4332之 開口(4332a至4332e)之數及配列為對應於一次光學系之 開口板4313之小孔(4313a至4313e)之數及配列。上述各 構成要素可用公知技術,於此省略其說明。 其次說明上述構成之電子線裝置4300的動作。從單一 之電子槍4311放出之電子線C由靜電透鏡4312收束,照 射在開口板4313。電子線C通過開口板4313上之複數小 114 (修正頁)312765 1288424 -孔(4313a至4323e)形成複數之電子線。該等複數之電子線 在設有開口板之開口圈4315形成交疊C1。交疊之電子線 向試件S進行,經設在途中之靜電中間透鏡4316及靜電中 間透鏡4318收束而結像在靜電對物透鏡4321的主面滿足 凱勒(keller)照明條件。 一方面形成開口板4313之各小孔之像的電子線D受靜 電中間透鏡4316收束而結像在第1 ExB分離器4317之偏 向主面FP1,再受靜電中間透鏡4318收束而結像在第2 E xB分離器4320之偏向主面FP2,最後結像在試件面SF。 由試件面SF放出之二次電子受到施加在靜電對物透 鏡43 21與試件面SF之對二次電子的加速電場被加速及收 束,通過靜電對物透鏡4321,在第2 ExB分離器4320之 偏向主面FP2之少許前交疊結像。該結像的二次電子由第 2 ExB分離器4320偏向而沿光軸B移動,射入靜電擴大透 鏡4331。二次電子受靜電擴大透鏡4331擴大而擴大結像 在開口板4332之小孔(4332a至4332e)。 ~ 試件面SF與開口板4332對於二次電子強度之2eV之 值為構成光學的共軛關係,通過開口板4313之小孔4313a 的電子線照射在試件面SF所放出之二次電子為通過開口 板4332之小孔4332&amp;,通過小孔43131)之電子線照射在試 件面SF所放出之二次電子為通過開口板4332之小孔 4332b,通過小孔4313c之電子線照射在試件面SF所放出 之二次電子為通過開口板4332之小孔4332c的狀態,由一 次電子線照射在試件面所放出之二次電子為通過對應於開 115 (修正頁)312765 1288424 -口板4 313之各小孔的開口板4 3 3 2上之各小孔而射入檢 器 4341 。 C複數之電子線與其鄰接之電子線間可用靜電偏向 益4319及第2 ExB分離器4320使電子線如符號E所示主 光線執道的偏向掃描以實行各電子線間的掃描。以第2Εχ β分離器實行偏向掃描時,滿^第2Εχβ分離器4⑽之維 恩附濾器條件,而使電子線直進的電壓為Vw,磁場為Bw, 貝J 了以Vw之直流電壓為中心對該電壓重疊掃插電壓之電 波形即可,又如供給第2ΕχΒ分離器432〇之電場的電極為鲁 八極的靜電偏向器則能實行二次元掃描。如此則不必於靜 電,鏡4321的上部新裝置向器,並且Εχβ分離器及靜電偏 向态均可設在最適當位置。 八人β兒明於習用技術使用單一之ΕχΒ分離器時因色收· 差發生電子線模糊的問題,以及其解決的對策。一般於使 用ΕχΒ分離器的電子線裝置:對電子線之開口之像的位與 ΕχΒ分離器之偏向主面一致時之收差為最小。並且ε汕分 離之偏向主面與式件面為共輛關係。因此具有能量幅的 f子線f人Εχβ分離器時,低能量之電子線受電場偏向的 篁與能量成反比的變大,而受磁場偏向之量則只與能量之 1/2次方反比例的變大。 、一方面對於高能量之電子線則受到磁場使其偏向的量 比受到電場使其偏向的量為大。於此如於Εχβ分離器之下 側設靜電透鏡並且如該透鏡無收差則電子線不會模糊,但 貫際上因透鏡有收差以致電子線發生模糊。因此只使用單 (修正頁)3〗2765 116 1288424 -一的ΕχΒ分離器則於電子線之能量帶有幅度時不能避免因 色收差使電子線模糊。 本發明設有第1及第2之兩個ΕχΒ分離器4317及 4320。第1 ΕχΒ分離器4317與第2分離器4320之由電場 的偏向方向從試件面上看為互相相反,並調整各ΕχΒ分離 器之電場使上述兩分離器之偏向大小的絕對值相等。因此 電子線的能量有幅度時,由ΕχΒ分離器構成之色收差在第 1及第2 ΕχΒ分離器4317及4320之間相互抵消。 使用上述構成之電子線裝置4300實行試件面之缺陷 檢查、形成在試件面之電路圖形之線幅的測定等時,將應 檢查之試件安置在試件台,使電子線裝置4300如上的動 作。於此由供給於靜電偏向器4319及第2 ΕχΒ分離器4320 之掃描訊號波形,及二次電子之檢測器4341的輸出訊號作 成晝像數據,以該畫像數據與由別途所得圖形數據作成之 晝像數據的比較即可實行缺陷檢查。 又由靜電偏向器4319及第2 ΕχΒ分離器4320對測定 的圖形依其直角方向掃描,由所得之二次電子的訊號波形 可測定圖形之線幅。再則於第丨層之照像製版形成之圖形 的近傍近成由第2層之照像製版形成的圖形,將該二條之 圖形以大約等於電子線裝置4300之複數電子線之電子線 間隔的間隔形成,然後測定該二條之圖形間隔,以其測定 值與設定值比較即可評價對合精度。 仏、ί將二次電子之檢測器4341之一部或全部連接於CRT 盔現器,用時輸入掃描訊號波形即可將掃描型電子顯微鏡 (修正頁)312765 117 1288424 :(SEM)像形成在CRT監視器。然後檢查員可看著SEM像觀察 缺陷之種類等。 如第42圖所示,於靜電對物透鏡4321與試件面SF 之間设同軸狀的電極4322,而對該電極4322施加負的電 壓即可測定電位對比。又於第42圖,為了使電子線消隱, 只於短時間不使電子線偏向而其餘則對靜電偏向器4314 供給使電子線偏向的電壓,將偏向的電子線由開口圈4315 除去即可得短脈衝的電子線。將該短脈衝之電子線射入試 件面SF ’使試件面上之裝置於動作狀態以良好的時間分解 能實行圖形之電位測定即可實行裝置之動作分析。 第43圖表示將具有上述構成之電子線裝置之一次光 學系與一次光學系之組合在試件s上設置複數組之狀態的 俯視圖,依本貫施形態為設置6組之一次光學系4310與二 次光學系4330成為2行3列的配置。實線描示之圖431〇a 至4310f為一次光學系之最大外徑,一點鎮線描示之圖 4330a至4330f為二次光學系之最大外徑。又本實施例中, 一次光學系4310之開口板4313之小孔為配列成3行3列, 二次光學系4330之開口板4332之小孔亦同樣配列成3行 3列。各一次光學系4330之光軸β為配置在沿行的排列向 试件之外側以避免互相的干涉。列之數最好為3、4程度, 但设以下之2列’或以上之4列亦可。 本發明第15實施例之電子線貧置43〇〇又可使用於對 加工之晶圓實行檢查之第12圖之晶圓檢查工序。即對檢查 工序使用本發明第15實施例之缺陷檢查方法、缺陷檢查裝 (修正頁)312765 118 1288424 :f時,對具有微細之圖形之半導體裝置亦能以良好生產率 只行栊查,對全數製品之檢查為可能,因而能提高製品之 成品率,防止缺陷製品之出貨。、⑽ 依本發明第15實施例之電子線裝置43〇〇(第42圖 達成以下效果。 (1) 由於使用複數之電子線可提高生產率。 (2) 具備複數之ΕχΒ分離器,可使開口板之小孔的像之位置 與ΕχΒ分離器之位置一致,並且由各Εχβ分離器之電場偏 向之電子線的方向在财面上看為互相成反方向,因此能 修正ExB分離器產生之色收差’能將電子線絞成細線,能 讀保高檢查精度。 (3)對第2 ExB分離器之電場重疊掃描電塵以使電子線發生 偏向動作’因此可將第2 ExB分離器與靜電偏向器共用, 可不必在靜電對物透鏡4321之上部新設靜電偏向器,並可 將ExB分離器與靜電偏向器兩者設置在最適當位置。由此 可同時提高二次電子之檢測效率及減低偏向收差,更可大 幅縮短二次光學系之光路。 ⑷由於將電子線裝置之一次光學系與二次光學系之組合 在试件上設置複數.組,可㈤時檢查減之試件,其生產率 更加提高。 ⑸於靜電對物透鏡4321與試件㈣之間設同軸狀之靜電 ,向器4322’而對該靜電偏向器彻施加負的電即可 實行電位對比的評價。 (6)設電子線的消隱功能控制靜電偏向器4314之電壓形成 (修正頁)312765 119 1288424 -短脈衝之電子線,使試件面上之裝置為動作狀態以良好的 '時間分解能實行圖形之電位測定即可實行對裝置之動作分 析。 第44A圖表示本發明第16實施例之電子線裝置4400 之概略配置圖,如第44A圖所示,由電子槍4401放出之電 子線由電容器透鏡4402收束,於點4404形成交疊。於電 容器透鏡4402下方設有具備複數之小開口的第1複數開口 板4403,由其形成複數之一次電子線。由第1複數開口板 4403形成之各一次電子線由縮小透鏡4405縮小,投影在 點4415。一次電子線在點4415聚焦後,由對物透鏡4407 聚焦在試件4408。由第1複數開口板4403之複數之一次 電子線由設在縮小透鏡4405與對物透鏡4407之間的偏向 器4419偏向,同時對載置在xy工台4420上之試件4408 面上實行掃描。 如第44B圖所示,為要消除縮小透鏡4405及對物透鏡 4407之像面彎曲收差的影響,第1複婁i開口板4403為於 圓周上設置小開口 4433,該等於X軸上之投影點為等間隔 Lx的設置。 聚焦之複數一次電子線為照射在試件4408面上之複 數的點,由該被照射之複數的點放出之二次電子線受對物 透鏡4407之電場的吸引絞成細線並由ExB分離器4406使 其偏向而射入二次光學系。二次電子像結在比點4415更近 於對物透鏡4407之點4416。其原因為各一次電子線在試 件面上有50OeV的能量,而二次電子線則只有數eV的能量。 120 (修正頁)312765 1288424 二次光學系具備擴大透鏡4409及4410,通過該等擴 大透鏡之二次電子線再通過第2複數開口板4411之複數開 口 4443結像在複數之電子檢測器4412。如第44B圖所示, 設置在檢測器4412之前的第2複數開口板4411上形成之 複數開口 4443與形成在第丨複數開口板44〇3之複數開口 4433為一對一的對應。複數之檢測器4412各為對向於第2 複數開口板4411之複數開口設置。 檢測器4412將檢測之二次電子線變換成表示其強度 的電氣訊號。由各檢測器4412輸出之電氣訊號將其各予以 放大後’由晝像處理部4414變換為畫像數據。晝像處理部 4414供給有使一次電子線偏向之掃描訊號35,因此晝像處 理部4414能產生表示試件44〇8之面的晝像。將該晝像與 標準圖形比較即可檢查試件44〇8之缺陷。上升幅檢測部、 4430在處理中將其切斷不動作,而在決定初期對焦時之勵 起電壓的階段動作。其動作容後述。 又由圖像重合將試件4408之被測定圖形移近一次光 學系之光軸,實行線掃描以取出線幅評價訊號,對其實行 適當的校正即可測定試件44〇8上之圖形的線幅。 以通過第1複數開口板4403之開口 4433之一次電子 線聚焦在試件4408面上,而於使試件44〇8放出之二次電 子線結像在檢測器4412時,特別有需要考慮使一次光學系 產生之失真、轴上色收差、及視野非點之三種收差構成之 影響為最小。又關於複數之—次電子線的間隔與二次光學 系之關係’則只要將-次電子線的間隔為離開比二次光學 (修正頁)312765 121 1288424 :系之收差更大的距離,則可消除複數之電子線間的串擾。 如第44C圖所示,對物透鏡4407為單電位透鏡(uni potential lens),為要使一次電子線聚焦在試件44〇8表 面,對物透鏡4407之中央電極施加由電源4428之正的高 電壓VG伏特,對物透鏡44〇7之上側及下侧電極則施加由 電源4429之近於接地電位的小電壓之勵起電壓±么¥〇。 電子搶4401、軸對合甩偏向器4417、第丨開口板 4403、電容器透鏡4402、偏向器4419、維恩過濾器即Εχβ 分離器4406、對物透鏡4407、軸對稱電極4423、及二次 電子檢測器4412等為收容在適宜尺寸之鏡筒442β内,構 成一電子線掃描檢查系。對於電子線掃描檢測系之初期焦 點的對焦為將勵起電壓士V。例如固定於—1〇伏特,而由變 化正電壓V。的實行。 上,鏡筒4426内之電子線掃描檢測系對試件上之晶 ,形貫行掃描’掃描結果為由檢測從試件放出之二次$ 、、、以輪出其強度所表示之電氣訊號。實際上在 形成有複數之晶片圖形,因此以與 所 :: 構成的電子線掃描檢測系(未圖示)為複. 仵 ^,其互相間的距離為試件上之晶片尺寸的整卖 仏之距離的狀態設置。 ’ 加/1下進—步說明電子線掃描檢測系,由電子檢却哭 4412輪出之電氧吨轳力佥游占 田电于心测為 據,㈣ ϋ在畫像處理冑他變換為二值化數 據將該二值化數據變換為數盆数 試件表*之電路圏形的畫像數:數二=將形成在 爆所侍晝像數據為積蓄在 (修正頁)312765 122 1288424 •C手段,並將其與基準電路圖形比較。由此可檢 /則形成在試件上之電路圖形的缺陷等。 與表示試件上之電路圖形的晝像數據實行比較之基準 2圖形可使用種種不_畫像數據。例如可使用由 H描所得晝像數據之電路圖形時使用之⑽數據所 1像數據。 第44A圖的電子線裝置,其對於對物透鏡·之上側 I極及下侧電極施加之勵起電壓±Vq之值為由cpu等之 制裝置(未圖示)控制而如下的決定。 二 首切於形成在試件侧之表面之任意—個電路圖 形上’例如由圖形數據讀出平行於第/方向之圖形邊 iP^ernedge)及與第1方向直交之第2方向平行之圖形 故存在的位置予以特定。 對平其^偏向器4419及臟分離器侧由—次電子線 哭4二敌弟/方向之圖形邊依第2方向掃描,由電子檢測 :,取出,描結果表示二次電子線之強度的電氣訊 〜於上升幅檢測部443〇測定該電氣訊號之上升幅〆單 4位419 3 ^樣的對於平行第2方向之圖形邊亦用偏向器 Χ /刀離态4406由一次電子線依第1方向掃描, 子私測益4412取出掃描結果表示所放出二次電子線 行 2二的電氣訊號,於上升幅檢測部4430測定其電氣訊號 —升幅Ρ。上述操作由變更電壓±Vd至少就三種電壓值實 控制裝設(未圖示)依上升幅檢測部443〇之數據作成 (修正頁)312765 123 1288424 第45A圖之曲線。曲線A表示平行於第〗方向之圖形邊對土 △ Vg之上升幅P 的關係。曲線β表示平行於第2方向 之圖形邊對上升幅p 的關係。 、如第45B圖所示,電氣訊號之「上升幅R」以勵起電 壓土△ V〇(及高電壓V())為固定的狀態,將平行於第^方向(或 第j方向)之圖形邊依第2方向(或第i方向)掃描時測定的 電氣訊號其由最大值之丨2%變化至88%所需掃描距離以 R(早位· &quot;m)表示。 第45A圖之曲線A於勵起電壓土Δν〇為—Δν〇(χ)時上 升幅Ρ為最小,表示於此之上升最快速。同樣的曲線6在 勵起電壓±么^為AVky)時上升幅最小,其上升最快速。 因此上述對物透鏡4407之焦點條件,即施加在上侧電極及 下侧電極之電壓±Δν。之值設定為卜Λν。⑴+ Δν。⑺}/2 為宜。 勵起電壓土Δν。只變化在〇至士2〇ν的範圍,因此如上 述實際整定對物透鏡4407結果,能α 1〇# s的高速實行對 物透鏡4407的整定,為取得第45A圖之曲線a&amp;b 150// s 〇 '、而 為得到曲、線Α及Β並不需對多數之土 △ ν。實行測定,如 第45Α揭所示,對士之三電壓值,設定 V⑵、+ΔΚ3ΠΧ測定上升幅ρ,由雙曲線近似法求得曲線 ⑴以求出上升巾“之最小值—Δν。⑴及Δν。⑴即可。 於此能以45微秒程度實行測定。 如上所述,第45Α圖之曲線Α及β為近似於二次曲線 (修正頁)312765 124 1288424 線。汉上升幅P(/&quot;m)、對物透鏡電壓±Δν°為q (Ό 則曲線a及為常數時可由 q(V) (P2/a2)-(q-c)2 / B2 = 1 表示。如將三個q(電壓±V(〇之值q P(上升巾5)之彳t 值邓及其所對應之 上升W之值P1、P2、P3代入上式則可得以下3式⑴至 w)-(Φ-c)2 / b2 = 1 :··⑴ (p22/a2)- (q2-c)2 / b2 = 1 …⑵ (P32/a2)-(q3-c)2 / V = 1'··(3) 於q=c時, 由以上(1)至(3)式可算出a、b及c之值 成為最小值。 如上4 ’使上升幅P為最小之對於平行第丨方向 形邊的對物透鏡之勵起電壓崎)能以三個透鏡條件 :鏡=(:)求得關於平行於第2方向之圖形邊的對物 如第45A圖、第45B圖所示,對第」方向延伸 邊依第2方向掃描時,與對第2方向延伸之圖形邊依第f 方向掃描時其上升幅一般為不同。於此有必要例如設八極 之非點修正顧4421(第44 ® ),而由㈣對該透鏡彻 施加的㈣使對於圖形邊依第i方向及第2方 電子檢測器4415輸出之電氣訊號之上升幅更小的狀態^ 行非點修正。非點收差大致不存在時,則可只求出△&amp;) 或△ V〇(y)之一,又曲線A及B只求得其一亦可。 如以上的說明’實行對於電子線掃描檢挪系的焦點對 (修正頁)312765 125 1288424 :焦,其後移行至對於試件·的評價處理。本彳法 用光學的z感測器,而為用電子光學的手段求得對 件,因此於試件有帶電的狀態有能求得正確的對焦條件之 優點。 以含有電子線掃描檢測系之鏡筒4426同樣構成之鏡 筒(未圖示)與鏡筒4426並列的狀態,其互相間的距離為试 件4彻上之晶収寸之整數倍之距離的狀態配置時,對各 鏡ft有必要使其-次電子線對焦於試件上。但因上述對焦 動作大致可同時進行,西此對生產率盤算少有影響。……( 、其次說明本發明之半導體裝置製造方法。本發明之半 導體製造方法為使用上I電子線裝置於前述帛^圖及第 13圖所示之半導體裝置製造方法。 本發明之半導體震置製造方法為使用參照第44圖說 明之電子線裝置,不但使用於加工途中的工序“曰曰圓檢查工 序)並應用於對疋成之晶片的檢查工序(第」2圖),因此 對於具有微細圖形之丰導菩介处七 口〜心千¥體裝置亦迠求得失真、模糊為減 低的晝像,因此能確實檢測晶圓之缺陷。 灣 由於應用本發明之電子線裝置於第12圖之晶圓檢查 工序及晶片的檢查1序,目此對於含有微細圖形之半導—體 裝置亦能以高生產率實行檢查’對於全數製品實行檢查為 可能,由而提高製品之成品率,防止缺陷製品之流出。 依本發明第16實施例之電子線裝置44〇〇有以下的作 用效果。 (1)由於不必使用測定試件面之高度的光學式感測器,只於 (修正頁)312765 126 1288424 -對物透鏡與試件之間的電子光學系即可實行最適當設計。 ⑻電子線掃描檢測系之對焦只需低電之調整達成,能縮 短整定時間,即能在短時間實行對焦。 (3)能應於實際需要,在對焦操作中亦能於短時間内實行非 點修正。 由於能在處理途中以短時間對試件實行評價,因此能提 南裝置製造之成品率。 以下參照第46圖、第47圖說明本發明第17實施例之 電子線裝置4500。第46圖表示第17實施例之電子線裝置 4500的模式。該電子線裝置45〇〇含有一次光學系451〇、 二次光學系4530及檢測裝置4540。 &quot;_人光學系4510為產生電子線照射於試件S之表面的 光子系,具備放出電子線之電子槍4511、縮小由電子槍放 出之電子線的靜電透鏡4513、形成有二次元的配列之複數 小孔(第46圖中只表示4514a至4514i)之第丨開口板 4514、開口圈4515、將通過第」開口板之電子線縮小之靜 電透鏡4516、靜電偏向器4517、ExB分離器4518、以及靜 萆對物透鏡4519 ’該等為如第46圖所示以電子槍4511為 最上部依順並使電子搶放出之電子線的光軸A為垂直於試 件f面的配置。電子搶4511内部設有將單結晶LaBe陰極 研磨成具有多數凸起形狀之凸起部4512。 為要消除靜電透鏡4513、4516、及靜電對物透鏡4519 之像面弓曲收差之影響,如第47圖所示,第1開口板 在圓周上形成小孔而其X方向之投影為等間隔Lx。 127 (修正頁)312765 1288424 二次光學系4530具備沿著對於ΕχΒ分離器4518附近 _ 對光軸Α傾斜之光軸Β順序設置之第1靜電擴大透鏡 4531、開口圈4532、第2靜電擴大透鏡4533、及形成有二 次元的配列之複數小孔(圖上只示4534a至4534i)之第2 開口板4534 〇 檢測器4540於第2開口板4534之各開口設有檢測器 454卜第2開口板4534之小孔(第47圖中以虛線表 示)4534a至4534i之數及配列為與第1開口板4514形成 之小孔(第47圖中以實線表示)4514a至4514i之數及配列 一致。上述構成使用公知技術亦可,於此省略其說明。 其次說明上述構成之電子線裝置4500之標準模式。由 單一之電子槍4511之多數的凸起部4512放出之電子線c 由靜電透鏡4513收束,照射於第1開口板4514。電子線c 通過第1開口板4514形成之複數小孔(4514a至4514i)成 為複數電子線。該等複數電子線於開口圈4515形成交疊像 C1。交疊之複數電子線向試件S進行,經設在途中之靜電 中間透鏡4516收束而結像在靜電對物透鏡4519的主面, 滿足凱勒照明條件。該結像之複數電子線在試件上形成縮 小像,並由靜電偏向器4517及ExB分離器4518的偏向對 試件實行掃描' 由試件S放出之二次電子受到施加在靜電對物透鏡 4519與試件S間的加速電場加速並收束,通過靜電對物透 鏡4519,受到£乂8分離器4518的偏向沿光軸6移動而射 入靜電擴大透鏡4531。二次電子受到靜電擴大透鏡4531 128 (修正頁)312765 1288424 之擴大於開口圈4532形成交疊像C2。結像之二次電子再 由靜電擴大透鏡4533擴大,結像在第2開口板4534之小 孔(4534a至4534i)。二次絲系之擴大率可由兩個靜電擴 大透鏡4531及4533決定。 如第47圖所示,通過第j開口板4514之小孔451乜 的電子線射在放出之二次電子為通過第2開口板 似4之小孔4534a ’通過小孔451扑之一次電子線照射在 式件s所放出之二次電子為通過小孔453处,通過小孔 4514c之一次電子線照射在試件S所放出之二之電子線為 通過小孔4534c的狀態,即由一次電子線射在試件s所放 ^之二次電子線為與第W 口板他之各小孔對應的通過 第2開口板4534之各小孔射入檢測器4541。 由上述標準模式變更為高解像度模式時有必要變更婦 描幅’並變更晝像倍率。變更掃描幅可由調整靜電偏向器 7及ExB分離器4518之偏向器每位元之偏向感度達 成。然如將掃描幅從標準模式變小,則於複數電子線各電 子線間的掃描發生掃描的間隙。又於二次光學系之電子線 像間隔與檢測器之間隔變成不一致。 對於電子線間的掃描發生間隙可由將第丨開口板45^ 至試件S之縮小率由靜電透鏡4516與靜電對物透鏡4519 1變焦(zoom)動作使其對應於晝素尺寸之變化而變化得到 解決。使交疊像C1結像在對物透鏡4519之主面的飢勒昭 明條件為只滿足標準模式,對高解像度模式則不滿足。’、、、 對於二次光學系之電子線間隔與檢測器之檢測器間的 (修正頁)312765 129 1288424 ——尺寸不一致的對策,則為使二次光學系之開口圈4532之位 置及大小為固定而變動靜電擴大透鏡4533之勵起電壓以 使由試件之各電子線放出之二次電子的主光線射入對應之 第2開口板的小孔。即由二次光學系之靜電擴大透鏡4533 使擴大倍率與開口圈4532之交疊的對焦條件整合。又複數 電子線之縮小率為由靜電透鏡4516與靜電對物透鏡4519 之變焦動作,並關連於變焦動作而由二次光學系之靜電擴 大透鏡4531、4533變更擴大率即能以二種類的畫像尺寸實 行對試件的評價。 上述一次光學系之複數電子線的縮小率與二次光學系 之靜電透鏡之擴大率的關係,具體的為設如第46圖之開口 間的尺寸(例如4514a與4514b之間隔)為lmm,一次光學 系之複數電子線的縮小率為1/100,則由開口 45i4a與 4514b放出之電子線間隔為1 〇 # m。而如設二次光學系之擴 大率為500倍,則開口 453乜與4534b之間隔為5mm。 一次光學系之複數電子線的縮小率變為1/2〇〇時,使 二次光學系之擴大率變為500χ2=ι〇00倍,則開口 4534&amp; 與4534b之間隔為5mm,開口 4534a與4534b之間隔可不 必變更而貫行二次電子之檢測。本特徵之優點為由一次光 學系變更複數電子束之縮小率即可變更電子線尺寸、電子 線電流、及掃描幅。由此能實行生產率雖低但為高解像度 的評價,以及分解能雖低但為高生產率的評價。 再則於生產率高但解像度較低的模式將交疊像形成於 對物透鏡的主面。具體言之,例如對於具有解像度為 (修正頁)312765 130 1288424 ^二二為㈠分…模式與解像度為1。-, 生產率為33秒鐘/em之模式的裝置,前者之模式時 像置在對物透鏡的主面。 、1 本發明第17實施例(第46圖)之電 置4 當的使料第12圖及第到之半導时置的製造方Γ 即對於該製造方法之檢查工序使用本發明第Π實施例之 Ϊ = Ϊ =法、缺陷檢查裝置時,對於具有微細之圖形的 + ¥體衣置亦能以良好生產率實行檢查,目而可能對 =實行檢查,能提高製品的成品率,防止缺陷製品的出 Ά 0 依本發明第π實施例(第46圖)之電子線褒置侧 可達成以下的效果。 ⑴由於能無掃描間隙的形成任意之倍率的晝像,因此可使 用於標準模式及高解像度模式。 ⑵變更倍率時’可大致對應於晝像尺寸與電子線尺寸。 ⑶標準模式可毅—次光學系之飢勒照明條件。一方面在 =解像度模紅自-次光學系之凱勒照明條件的偏差小, 其收差並不增加很大。 ⑷由於在對試件面垂直方向由料放出之二次電子在與- t次光㈣之光軸交叉的位置設有開口圈,因此變更模式 時亦能檢測複數電子線間無強度差的二次電子。 以下參照第48圖及第49圖說明本發明第财施例之 (修正頁)312765 131 1288424 電子線裝置5000。第48圖之電子線裝置5000具備一次電 子光學系(以下稱「一次光學系」)5010、二次電子光學系(以 下稱「二次光學系」)5020及檢測系5030。一次光學系為 產生電子線使其照射於晶圓等之評價對象(以下稱「試件」) S之表面的光學系,具備放出一次電子線的電子槍5011、 對電子槍5011放出之一次電子線實行收束之電容器透鏡 5012、形成有複數之開口的第1複數開口板5013、縮小透 鏡5014、ExB分離器5015、及對物透鏡5016。該等為如第 48圖所示以電子槍5011為最上部順序配置。5017、5018 為使一次電子線實行掃描的偏向器,5019為軸對稱電極。 二次光學系5020具備沿對一次光學系之光軸為傾斜 之光軸配置之擴大透鏡5021、5022及第2複數開口板 5023。檢測系5030具備對於第2複數開口板5023之各開 口 5231設置之檢測器503卜及介由放大器5032連接各檢 測器之晝像形成部5033。上述一次光學系5010、二次光學 系5020及檢測系5030之各榛成要素之構造及功能與習用 相同,於此省略其詳細說明。第1複數開口板5013之開口 5131與第2複數開口板5023之開口 5231為對應的形成, 開口 5131如實線所示(第49圖),比虛線所示開口 5231 為小。 試件S為以工台裝置5040之保持部5041用公知的方 法裝卸自如的支持,該保持部5041為由XY工台5042能移 動於直交方向的支持。 電子線裝置5000具備與保部5041電氣的連接之減速 132 (修正頁)312765 1288424 電壓施加裝置(以下稱施加裝置)5050、充電調查及減速電 壓決定系統(以下稱調查及決定系統)5060。調查及決定系 統5060備有電氣的連接畫像形成部5033之監視器5061、 連接於監視器5061之操作器5062、及連接於操作器5062 之CPU 5063。CPU 5063供給訊號於前述施加裝置5050及 偏向器5017。 其次說明上述第18實施例之電子線裝置5000之動 作。由電子槍5011放出之一次電子線由電容器透鏡5012 聚焦於點P1形成交疊。通過第1複數開口板5013之開口 5131之電子線由該複數之開口 5131形成複數之一次電子 線。由第1開口板5013形成之一次電子線由縮小透鏡5014 縮小而投影在點P2。於點P2對焦後,由對物透鏡5016將 其對焦在試件S表面上。 複數之一次電子線受到設在縮小透鏡5014與對物透 鏡5016間之偏向器5018的偏向同時對試件面實行掃描。 為要消除縮小透鏡5014及對物透鏡5016之像面彎曲收差 的影響,複數開口板5013、5023之複數開口 5131及5232 為設在各光學系之光軸為中心的圓周上,其X方向之投影 之鄰接間的距離Lx為如第49圖所示等間隔。 對焦之複數的一次電子線為照射在試件S上,由照射 之複數的點放出之二次電子受到對物透鏡5016之電場吸 引收束,由ExB分離器5015偏向而射入二次光學系5020。 二次電子線在比點P2更近於對物透鏡之點P3聚焦。其原 因為各一次電子線在試件面上有5 0 0 e V的能重’而二次電 133 (修正頁)312765 1288424 子線則只有數eV的能量。 二次電子線由擴大透鏡5〇21 複數開Π板5G23之複數門心作通過第2 測器503卜該第〜雷二由夂。像在各開口所設之檢 、、目丨丨哭Rmi物線各檢測器5031檢測。各檢 ^ ^久i :檢測之二次電子變換成表示其強度的電氣1 唬。由各檢測器輪中少堂名 电乳Λ 德鈐入…、 對應的放大器5032放大 後輸入旦像形成部5〇'33,於該 據。對於晝像形成邻Μ”也认士/± 乂 I欠換為旦像數 訊號,因此晝像次電子線偏向之掃描 蚩傻盥其進二/成°卩此頌不代表試件s面的晝像。以該 直象一翔形比㈣可賴件s實行缺陷檢查。 -行合將試件、移近一次光學系501°之光轴, 貝、、’ '出形成在試件面上之圖开)的線幅評價訊 對其適當的校正即可敎圖料線幅。 號 在試:二^1複舞開口板5013之開口的-次電子線聚焦 \ 由忒件s放出之二次電子線結像於檢測器 由价/’特別有必要考慮使一次光學系產生之失真、杨上 差及視野非點之三個收差構成之影響為最小。 又關於照射試件之一次電子線間的間隔與二次光學系 的關係’如將複數之一次電子線間的間隔設定比二次光學 二收差離開更大的距離,則可消除複數之電子線間的串 擾0 旦像形成部5033變換之畫像數據由調查及決定裝置 之顯不裝置5061以晝像顯示,由操作器5〇62評價晝 像払作為5062於本實施形態構成充電調查裝置。又操作 134 (修正頁)312765 1288424 ™ 5062此依據晝像調查充電狀態。然後將其結果輸入cpu 5063,將減壓電壓設定於最適當之值。CPU於本實施形態 構成滅壓電壓決定裝置。 曰第50A圖表示充電之評價位置與評價方法的說明圖。 阳片5100之记憶體單元(cell)境界51〇2之外周部為周邊 電路而為低密度領域。其内侧為記憶體單元部而為高密度 肩j。由而Al、A2為境界領域的畫像,A3、A4則為記憶 體單元部的晝像。第5〇A圖中之二點鎖線及虛線表示密度 大幅變化的境界。 具體言之,為對於被評價試件最容易受到充電之影響 的邛位,即如第50A圖所示之形成在試件之晶圓表面的晶 片5100之記憶體單元51〇1的角部實行評價。亦即(1)對於 角部測定記憶體單元境界5102之圖形失真量51〇3、51〇4', 或(2)於記憶體單元之角部以横過圖形(如箭頭A1及A2所 不)掃描時所得訊號強度的對比於第50B圖以實線5105及 5107表示,與對晶片中心部之圖形依箭頭A3、A4掃描時 所得訊號強度之對比5106及51〇8(第5〇B圖中均以虛線表 示)實行比較亦可。 對減速電壓施加裝置5050施加複數之值的電壓並測 定其時之失真量5103及5104或對比5105、5107及5106、 5108,而以失真量5103及51〇4較小時評價為受到充電之 影響小。又以角部之對比值51〇5、51〇7較近於中心部之對 比值時評價為受到充電影響較小。 ¥檢測到充電狀態良好的減速電壓時,將其值經由 135 (修正頁)312765 1288424 :CPU 5063供給於施加裝置5050’以其值對試件即晶圓實行 '評價。又對於減小電子線電流則充電減少的試件減 小電子線電流亦可。如上所述由於形成試件之圖形密度大 幅變化之境界附近的晝像,而由該畫像可明顯看出帶電的 效果’因而容易評價帶電狀態,由此使得容易測出不易產 生帶電之減速電壓。 本發明第18實施例(第48圖)之電子線裝置5〇〇〇能適 當的使用於第12圖及第13圖之半導體裝置的製造方法。 即對於該製造方法之檢查工序使用本發明第18實施例之 電子線裝置5 0 0 0時,對具有微細圖形之半導體裝置亦能以 良好生產率實行檢查,使其對全數製品檢查為可能,因此 能提咼製品之成品率,防止缺陷製品之出貨。 依本發明第18實施例(第48圖)之電子線裝置5〇〇〇 可達成以下的效果。 (1) 生產率能達到近於比例電子線數之倍數值,提高數倍。 (2) 由於在充電狀態最小的狀態對晶圓實行評價,其信賴性 面〇 (3) 對充電性能為用各種電流測定,以實際的晝像實行評 價,因此能得更正確的評價結果。 第51圖表示本發明第μ實施例之ExB分離器6〇2〇。 ExB分離器6〇2〇由靜電偏向器及電磁偏向器構成,第51 圖中以直交光軸(垂直於圖面的軸:2軸)之χ — y平面上的 斷面圖表示。X軸方向與y軸方向為直交。 靜電偏向器具備設在真空容器中之一對電極(靜電偏 136 (修正頁)312765 1288424 ^電極’1 ’用以產生χ軸方向的電場E。該等靜電偏向 辟亟6001為介由絕緣間隔部6〇〇2裝設在真空容器之真空 〇3其電極間距離J)為設定比靜電偏向電極之^ 軸方向之長度2L為小。由以上的設定可得形成在z軸之周 圍之電場強度為一樣的範圍為比較大,但理想的如使 d&lt;l,則可得更大之電場強度為一樣的範圍。 即仗電極之端線之D/2範圍其電場強度不同,而電場 強度大致相同的領域為除去不同之端部領域的中心部之 D的湏域。因此為要存在有電場強度相同的領域,亦 必要使2L&gt;D,又由設定l&gt;D更得更大電場強度相同的領域。 、於真空壁6003之外側設有產生y軸方向之磁場M的電 磁偏向斋。電磁偏向器具備電磁線圈6〇〇4及6〇〇5,由該 等線圈各產生X軸方向及y軸方向的磁場。又只用線圈 6005亦可產生x軸方向的磁場μ,但為要提高電場e與磁 場Μ之直交度,設置產生X軸方向之磁場的線圈6〇〇4。即 由線圈6004產生之一 χ軸方向的磁場成分打消線圈6〇〇5 產生之+χ軸方向的磁場能使電場與磁場之直交度良好。 上述產生磁場用之線圈6〇〇4及6005因設在真空容器 之外,各形成二分割的構成由真空壁6〇〇3的兩側安裝,於 部分6007用螺絲等固定成一體化即可。 ΕχΒ分離器之最外層6〇〇6用鐵鎳合金(permaH〇y)或 鐵氧體(ferrite)製之軛體(yoke)構成。最外層6006與線 圈6004及6005同樣的分成二分割從兩侧裝在線圈6005 的外侧,於部分6007用螺絲等固定而一體化即可。 137 (修正頁)312765 1288424 第52圖表示本發明第20實施例之Εχβ分離器6〇4〇 與光軸(Ζ軸)直交的斷面圖。第52圖之ΕχΒ分離器6〇4〇 以靜電偏向電極6001設有6極之處不同於第5〗圖所示第 19實施例之ΕχΒ分離器。對於該等靜電偏向電極6〇〇卜設 各電極之中央與光軸(2軸)連結的線與電場方向&amp;軸方向) 的角度為^=0、卜2、3、4、5)時,為供給比例於_ ^之電壓k· C0S^(k為常數)。任意的角度。 ^第52圖所示第20實施例亦與第19實施例同樣的,只 旎產生X軸方向的電場Ε,因此設產生又及y軸方向之磁 場的線圈6004及6005以修正直交度。依第2〇實施例比第 51圖所示第19實施例更能增大電場強度為相同的領域。 第51圖及第52圖所示第19及2〇實施例之ΕχΒ分離 器,其產生磁場的線圈為形成鞍型,但形成環型的線圈亦 可。 ,第53Α圖表示使用第20及21實施例之Εχβ分離器於 分離一次電子線及二次電子線之本發明第21實施例之電 子線裝置6000(缺陷檢查裝置)的概略圖。如第53Α圖所 示’從電子槍6021放出之電子線由電容器透鏡6〇22收束, 於點6024形成交疊。 於電容器透鏡6022之下方設有具備複數之開口的第i 複數開口板6023,由其形成複數之一次電子線。形成之各 複數一次電子線由縮小透鏡6〇25縮小而投影在點6〇35。 於點6035聚焦後,由對物透鏡6〇27聚焦在試件之晶圓 6028上。由第1複數開口板6〇23之複數的一次電子線受 138 (修正頁)312765 1288424 到設在縮小透鏡6025與對物透鏡6027之間的偏向器6039 的偏向同時對晶圓6028面上實行掃描。 為要使縮小透鏡6025與對物透鏡6027之像面彎曲收 差不發生,第1複數開口板6023為如第53B圖所示,將複 數之小開口設在圓周上,其在X軸上的投影之點為等間隔 的構成。 聚焦之複數的一次電子線為照射晶圓6028之複數的 點,由被照射之複數點放出之二次電子線受對物透鏡6027 之電場吸引收束成細線,受ExB分離器6026之偏向射入二 次光學系。由二次電子線形成之像為結在比點6035更近於 對物透鏡6027之點6036。其原因為複數之一次電子線各 在晶圓6028面上有約500eV的能量,而二次電子線則只有 數eV的能量。 二次光學系含有擴大透鏡6029、6030,通過擴大透鏡 之二次電子線結像在第2複數開口板6031之複數開口。然 後通過該等開口由複數檢測器6032檢測。設在檢測器6032 前之第2複數開口板6031之複數開口與第1複數開口板 6023之複數開口為如第52B圖所示,成為一對一的構成。 檢測器6023將收到之二次電子線變換成表示其強度 之電氣訊號。由各檢測器6032之電氣訊號經放大器6033 放大後於晝像處理裝置6034變換為畫像數據。晝像處理裝 置6034供給有由偏向器6039之使一次電子線偏向的掃描 訊號,由此使晝像處理裝置6034取得表示晶圓6028之表 面的晝像之晝像數據。 139 (修正頁)312765 1288424 ; 將所得晝像數據與標準圖形比較即可檢查晶圓6028 的缺陷’又由圖像重合使晶圓6028上之被評價圖形移近一 次光學系的光軸實行線掃描即可取出線幅評價訊號,對其 實行適當校正即可測定晶圓6〇28之圖形線幅。 於通過第1複數開口板6023之開口的一之電子線聚焦 在晶圓6028面上,使從晶圓6028放出之二次電子線結係 在檢測用之第2複數開口板6031時,最好考慮使一次光學 系及二次光學系產生之失真、像面彎曲及視野非點之三種 收差的影響為最小。將複數一次電子線之照射位置間隔之 最小值设定比二次光學系之收差為大的距離予以間隔則可 消除複數之電子線間的串擾。 依本發明第19實施例之ExB分離器6020為使用靜電 偏向器之一對電極產生電場,並使用對光軸直角方向之長 度形成比電極間的間隔為大的平行平板型電極,因此於光 轴周圍之產生同樣強度而為平行的電場的領域較寬。 又依第19及20實施例之ExB分離器其電磁偏4器使 用鞍型線圈,並且由光軸向線圈看的角度以單側為設定於 2 7Γ / 3而不會產生3 Θ成分,由此使光軸周圍產生一樣強度 而平行之磁場的領域變大。又由於以電磁線圈產生磁場, 因此可對線圈重量偏向電流,由此可使其具備掃描功能。 第19實施例及第20實施例之ExB分離器為由靜電偏 向器及電磁偏向器之組合構成,因此可由計算靜電偏向器 及透鏡系之收差,與其分別的計算電磁偏向器及透鏡系的 收差,將兩收差合計即可得光學系之收差。 (修正頁)312765 140 1288424 - 广 以下參照第55圖及第56圖說明本發明第22實施例之 '帶電電子線裝置7000。本實施例中之「真空」為指當該技 術領域之所謂真空。 依第55圖之帶電電子線裝置7000,向試件照射帶電 電子線之鏡筒7001的前端部即帶電電子線照射部7002為 安裝在形成真空容室C的殼體7014。鏡筒7001之直下配 置有載置在XY工台7003之X方向(第55圖中為左右方向) 的可動工台上之試件S。該試件S由高精度的XY工台7003 可對其面上之任意位置正確的照射帶電電子線。 XY工台7003之台座7006為固定在殼體7014的底壁, 其上面設有移動於Y方向(第55圖中為垂直紙面的方向) 的工台7005。Y工台7005之兩側面(第55圖中為左右兩 侧),在載置在台座7006之一對Y方向導引部7007a及 7007b之面向Y工台侧形成凹槽,並於其内形成凸出於凹 槽内的凸部。該凹槽為對於Y方向導引部之全長延長於Y 方向設置。 在凸出於凹槽内的凸部上、下及側面各設有公知構造 之靜壓軸承 7011a、7009a、7010a、7011b、7009b、&amp;7010b。 經由該等靜壓轴承吹出高壓氣體以使Y工台7005對Y方向 導引部7007a、7007 b為非接觸的支持,由而能圓滑的往復 運動於Y方向。於台座7006與Y工台7005之間設有公知 的直線馬達(1 inearm motor)7012,由其實行Y方向的驅 動。Y工台經由高壓供給用之軟(flexible)管7022供給高 壓氣體,通過形成在Y工台内之氣體通路(未圖示)對靜壓 141 (修正頁)312765 1288424 軸承7009a至70113及7〇〇91)至7〇nb供給高壓氣體。供 給於靜壓軸承之高壓氣體喷出於形成在與Y方向導引部之 ¥引面之間之數微米(micron)至數十微米的間隙而達成使 Y工台對導引面於X方向及Z方向(第55圖中為上下方向) 正確的定位之功甩。 在Y工〇上X工台70 04為於X方向(第55圖中為左右 方向)移動自如的載置β γ工台7〇〇5上與γ工台用之Υ方 向導引部7007a、7〇〇7b同樣的構造挾χ工台7〇〇4間設一 對之X方向導引部7008a、7〇〇8b(圖上只示7〇〇8a)。j方 向導引部之面向X工台側亦形成凹槽,於χ工台之側部(面 向X方向導引部之面側)形成向凹槽内凸出的凸部。該凹槽 大約對X方向導引部的全長延伸設置。於凸出在凸槽内之 X方向工台7_的凸部上、下及側面設有與前述靜屢轴承 7011a、7009a、701〇a、7011b、7_b、7〇1〇b 同樣配置之 靜壓幹承(未圖示)。γ卫台·5與χ工台·4之間設有 公知構造之直線馬達7013,由其實行χ方向的驅動。 對Χ工台7004為由軟管7021供給高壓氣體,由其供 給高壓氣體於靜絲承。由於該高壓氣體為由靜絲承對 X方向導引部之導引面噴出,因此能將χ工台7_對υ方 向導引部以高精度非接觸的支持。真空容室C由連接於公 知構造之真空泵的真空配管7〇19、7〇2〇a、7〇施排 管臟、嶋之入 於其上面在ΧΥι台7GG3排出高壓氣體的位置附近開口, 由此盡量防止真空容室内之壓力受到靜隸承噴出之高壓 (修 JL頁)312765 142 1288424 氣Μ而上升。 於鏡筒7001的前端部即帶電電子線照射部7002之周 圍設有差動排氣機構7025,使真空容室C内為高壓時能維 持帶電電子線照射空間7030於十分低的壓力。亦即裝設在 帶電電子線照射部7002周圍之差動排氣機構7025之環狀 部7026於其下面(試件S側之面)與試件之間形成微小間隙 (數微米至數百微米)7040,由其對殼體7014實行定位,其 下面形成環狀槽7027 〇 環狀槽7027由排氣管7028連接於未圖示之真空泵。 因此微小間隙7040經由環狀槽7027及排氣口 7028排氣, 從真空容室C至環狀部7026圍繞的空間7030内有氣體分 子欲侵入時,亦將被排氣。由此可將帶電電子線照射空間 7030内保持於低壓力,使帶電電子線能無問題的實行照 射。該環狀槽可依容室内的壓力,帶電電子照射空間7030 内的壓力形成二重構造或三種構造。 供給於靜壓軸承之高壓氣體一般為使用乾氮素。然如 可能則最好使用更高純度之非活性氣體。因如有水分或油 分等之不純物含在氣體中,則該等不純物分子附著於形成 真空容室的殼體内面及工台構成零件的表面以致使真空度 惡化,又附著於試件表面使帶電電子線照射空間的真空度 惡化。 試件S通常不直接載置在X工台上,而為載置在可對 試件裝卸自如的保持而對XY工台7003具有微小位置變更 之功能的試件台上,然試件台之有無及其構造並非本發明 143 (修正頁)312765 1288424 -之要旨而於此省其說明。 帶電電子線裝置7000由於可直接使用大氣複數使用 之靜壓軸承的工台機構,因此可將露光裝置等使用之大氣 中用的高精度工台同等之高精度的XY工台,大約能以同等 之成本及大小實現帶電電子束裝置用之XY工台。以上說明 之靜壓導引部之構造及配置及致動器(直線馬達)僅為一實 施例,只要是能在大氣中使用之靜電導引部及致動器則均 可使用。 第56圖表示形成在差動排氣部7025之環狀部7026 之環狀槽之大小的數值例。第56圖之環狀部7026具有半 徑方向相隔之二重構造的環狀槽7027a及7027b,各用於 排出 TMP、DP。 供給於靜壓軸承之高壓氣體的流量通常為 20L/min(大氣壓換算)程度。假設對於真空容室C以内徑 50mm長度2m之真空配管而用具有2000OL/min之排氣速度 的乾泵排氣時,真空容室内之壓力大約為160Pa(約 1. 2Torr)。此時設差動排氣機構部之環狀部7026及環狀槽 等之尺寸為如第56圖所示,則可使帶電電子線照射空間 7030 内之壓力為 10_4Pa(l(T6 Torr)。 第57圖表示本發明第23實施例之帶電電子線裝置 7000。由殼體7014形成之真空容室C為經由真空配管 7074、7075連揍於乾真空泵7053。差動排氣機構7025之 環狀槽7027連接於排氣口 7028經由真空配管7070連接於 超高真空泵之透率(turbo)分子泵7051。又於鏡筒7001内 144 (修正頁)312765 1288424 -部經由連接排氣口 7018之真空配管7071連接透平分子泵 7052。該等透平分子泵7051、7052由真空配管7〇72、7〇73 連接於乾真空泵7053。 第57圖之帶電電子線裝置7000中之透平分子泵之粗 抽泵與真空容室之真空排氣用泵為以一台之乾真空泵兼 用’但亦可考慮應於供給χγ工台之靜壓轴承之高壓氣體白勺 流量、真空容室之容積及内表面積、真空配管之内徑及長 度等,採用別系統之乾真空泵排氣。 對XY工台7003之靜壓轴承為通過軟管7021、7022 供給高純度之非活性氣體(N2氣體、Ar氣體等)。由靜壓轴 承喷出之氣體分子為擴散在真空容室内,經排氣口 7019、 7020a、7020b由乾真空泵7053排氣。又侵入差動排氣機 構及f電電子線照射空間之該專氣體分子從環狀样7 〇 2 7 或鏡同7001之如端部被吸引’通過排氣口 γ〇28及7〇 18 由透平分子泵7051及7052排氣,由透平分子果排出後再 由乾真空泵7053排氣。 如上所述供給於靜壓軸承之高純度非活性氣體為由乾 真空泵收集排出。 一方面乾真空泵7053之排氣口為經由配管7〇76連接 壓縮機7054,壓縮機7054之排氣口經由配管7〇77、7〇78、 7079 及調壓器(regUiat〇r)7061、7062 連接軟管 7〇21、 7022。因此'由乾真空泵7053排出之高純度非活性氣體由壓 縮機7054再加壓並經調壓器7061、7062調整為適當的壓 力後再供給於χγ工台之靜壓軸承。 (修正頁)312765 145 1288424 供給靜壓軸承之氣體如上述有需要盡量為高純度之不 含水份及油份的氣體,因此對透平分子泵、乾泵及壓縮機 均要求不混入水分及油分於氣體流路的構造。又於壓縮機 之排出侧配管7077的途中設冷截器及過濾器7076等以截 取循環的氣體中混水之水份及油份等之不純物後供給於靜 壓軸承亦為有效。 如上述的能使高純度非活性氣體循環而再利用,因此 能節約高純度非污性氣體,又對設置本裝置之廠房不會有 非活性氣體流出,可不慮因非活性氣體發生窒息等的意外。 對循環配管系連接有高純度非活性氣體供給源 7063,於氣體開始循環時,對真空容室C及真空配管7070 至7075及加壓側配管7076至7080之全部循環系充滿高純 度非活性氣體,並於因某原因循環中之氣體流量減少時對 其補充。又如使乾真空泵7053具有壓縮至大氣壓以上的功 能,則乾真空泵7053與壓縮機7054可以由一台之泵兼用。 對鏡筒之排氣使用的超高真空泵亦可用離子泵及吸氣 (getter)泵等以代替透平分子泵。又對於乾真空泵亦可用 隔膜(diaphragm)式乾泵等其他方式的乾泵。 第58圖表示本發明第24實施例之帶電電子線裝置 7100。帶電電子線裝置7100含有可用於第57圖之帶電電 子線裝置7000之光學系7160及檢測器7180。光學系7160 具備將帶電電子線照射在載置在工台7103之試件S的一次 光學系7161及容試件放出之二次電子射入之二次光學系 7171 〇 146 (修正頁)312765 1288424 第5 8圖之一次光學系7161具備放出帶電電子線之電 子槍7162、對電子槍7162放出之帶電電子線實行收束之 由二段靜電透鏡形成的透鏡系7163及7164、偏向器7165、 使帶電電子線之光軸為垂直於對象之面的偏向之維恩過遽 器即ExB分離器7166、及由二段之靜電透鏡形成之透鏡系 7167、7168 ’該等為如第58圖所示以電子搶7162為最上 部依順配置成帶電電子線的光軸對試件s的表面(試件面) 垂直的線為傾斜的狀悲。ExB偏向器7166備有電極7661 及磁鐵7662。 一 _人光學糸7171為容由試件S放出的二次電子射入的 光學系,具備設置在一次光學系之ΕχΒ偏向器7166之上侧 由二段之靜電透鏡形成之透鏡系7172、7173。檢測器718〇 檢測由二次光學器7171射入之二次電子。上述光學系716〇 及檢測斋7180之各構成要素之構造及功能與習用技術相 同而於此省略其說明。 由電子槍7162放出之帶電電子線由電子槍之正方形 開口正化,再由一段之透鏡系7163及7164縮小,於偏光 器7165調整其光軸而於ExB偏向器7166之偏向中心面結 像成一邊為1· 25mm的正方形。ΕχΒ偏向器7166於垂直其 =件之法線的平面内之電場與磁場為直交的構造,當電 昜磁場及電子之能量關係滿足預定的條件時其電子為直 進,,他時為依電場、磁場及電子之能量的相互關係偏向 ;預疋方向荊進。而為設定使由電子槍之帶電電子線偏向 以垂直的射入試件s,並使由試件s放出之二次電子直進 (修正頁)312765 147 1288424 ,檢測器7180的方向,由ΕχΒ偏向器之成形電子線經透鏡系 7167、7168縮小1/5投影於試件S。 從試件S放出之帶有圖形晝像資料的二次電子由透鏡 系7167、7168及7172、7173擴大,由檢測器7180形成二 次電子畫像。上述四段之擴大透鏡中,透鏡系7167及7168 形成對稱雙透鏡,透鏡系7172及7173亦形成對稱雙透鏡, 因此無失真。 第55至58圖之帶電電子線裝置7000可應用於第12 圖及第13圖之半導體裝置製方法。即對於第12圖之晶圓 檢查工序或第13圖之露光工序使用帶電電子線裝置 7000,則由於對微細的圖形亦能以高精度安定的檢查或露 光,因此能提高製品的成品率,防止缺陷製品的出貨。 依第55至58圖之帶電電子線裝置7000可達成以下的 效果。 (1) 能使用於大氣中一般使用之靜壓軸承式的工台同樣構 造之工台(不具備差動排氣機構之靜壓軸承支持的工台)對 工台上的試件安定的實行帶電電子線處理。 (2) 能抑制帶電電子線照射領域對於真空度之影響於最小 限,使帶電電子線對試件處理安定化。 (3) 能以廉價提供工台之位置決定為高精度及帶電電子線 照射領域之真空度為安定的檢查裝置。 (4) 能以廉價提供工台之位置決定為高精度及帶電電子線 照射領域之真空度為安定之露光裝置。 (5) 由於採用工台之位置決定為高精度,並且帶電電子線照 148 (修正頁)3Π765 1288424 - 射領域之真空度為安定之裝置製造半導體,因此能製成微 細的半導體電路。 第59圖表示本發明第25實施例之電子線裝置8000 的概略配置圖,如圖所示,從電子槍8 001放出之電子線由 電容器透鏡8002收束而在點8004形成交疊。 於電容器透鏡8002下方設有具備複數之開口 8003’之 第1複數開口板8003,由其形成複數之一次電子線。由第 1複數開口板形成之一次電子線各經縮小透鏡8005縮小於 點8015聚焦後,再由對物透鏡8007聚焦於試件8008。由 第1複數開口板8003射出之複數的一次電子線由設置在縮 小透鏡8005與對物透鏡8007間的偏向器偏向以對試件 8008面上之不同位置同時實行掃描。 為了要消除縮小透鏡8005及對物透鏡8007之像面彎 曲收差的影響,如第60圖所示在複數開口板8003上其複 數之開口 8003’為配置在複數開口板8003之同一圓周上, 其中心投影在X軸上成為等間隔。 第59圖之第25實施例的電子線裝置8000,由複數之 一次電子線照射之試件8008上之複數之點各放出二次電 子線,受到對物透鏡8007之電場的吸引收束成細線,受制 ExB分離器8006的偏向射入二次光學系。二次電子像結像 在比點8015更近於對物透鏡8007之點8016。原因為各一 次電子線在試件面上具有500eV的能量,而二次電子線則 只有數eF的能量。 二次光學系含有擴大透鏡8009、8010,通過該等擴大 149 (修正頁)312765 1288424 透鏡8009、8010之二次電子線通過第2複數開口板8〇11 之複數開口結像在複數之檢測器8012。設在檢測器8〇12 之前之第2複數開口板8011之複數開口與第丨複數開口板 8003之複數開口 8003,的位置關係為一對一的對應。 各檢測器8012將檢測之二次電子線變換為表示其強 度之電氣訊號。上述由各檢測器輸出之電氣訊號由放大器 8013各予以放大後,輸入於晝像處理部8〇14,變換為畫像 數據。由於對晝像處理部8014輸入有使一次電子線偏^之 掃描訊號,因此晝像處理部8014能顯示表示試件8〇〇8之 面的晝像。將該晝像與標準圖形比較即可檢查試件8〇〇8 之缺陷,又由圖像重合將試件8008之被測定圖形移近一次 光學系之光軸,實行線掃描取出線幅評價訊號,對其適當 的校正即可測定試件8008上之圖形的線幅。 ’、 使通過第1複數開口板8003之開口的一次電子線聚隹 在試件麵面上,又使從試件放出之二次電子線結徐^ 測器8012之際,特別有必要考慮使一次光學系發生之失 真、像面彎曲及視野非點等三種收差的影響最小。 其次對於複數之-次電子線的間隔與二次光學系的關 係如使-次電子線的間隔離開比二次光學系之收差為大的 距離即可消除複數之電子線間的串擾。 上述光學系為就單一之電子槍的電子線通過複數開口 形成複數電子線的狀態說明,但亦可設複數之電子搶,或 設一個電子搶而於其陰極設複數之放射領域。 第61圖表示第59圖之對物透鏡8〇〇7之模擬樣式。 (修正頁)312765 150 1288424 8021表示光軸,8022為對物·透鏡8007之上部電極而連接 0V(伏特),8023為施加有高電壓的對物透鏡之中央電極, 8024為接地電壓的對物透鏡之下部電極,試件面8025設 定於-4000V。8026、8027、8028為保持電極之絕緣物間隔 器。對縮小透鏡8005形成之交疊的位置做種種的變化,並 由變化對物透鏡之中央電極,使z=0mm之複數電子線的像 聚焦於試件面8025,計算其時所生之收差。 第62圖表示上述模擬之結果的圖表。第62圖中,變 化之交疊位置(mm)為橫轴,對應於其所生之收差值為縱 軸。中央電極8023(第61圖)上面設z=144mm。又設複數電 子線之r位置為50 // m,開口半角為5mrad。 第62圖之圖表中,曲線8031為形像收差,8032為倍 率色收差,8033為非點收差,8034為軸上色收差,8035 為像面彎曲,8036為失真,8037為模糊度。複數電子線在 以光軸為中心的圓周上時,像面彎曲8035為零,因此模糊 度8037實質的為由倍率色收差8032與轴上色收差8034 決定。於此設電子槍之能量幅為5eV。設交疊位置於140匪 時,倍率色收差8032減小到可忽略之值。即依上述模擬, 可明瞭將前段透鏡形成之交疊位置以形成在比對物透鏡中 央電極位置(144mm)更近電子槍侧即可。 第59圖之第25實施例的電子線裝置8000可使用於第 12圖及第13圖之半導體裝置製造工序的晶圓評價。對於 第12圖之晶圓檢查工序使用第59圖至第62圖之電子線裝 置時,對於具有微細圖形之半導體裝置亦能以良好生產率 151 (修正頁)3Π765 1288424 —貫仃k查,對全數檢查亦為可能,因此能提高製品之成品 率,防止缺陷製品之出貨。 依第59圖之第25實施例的電子線裝置8_可達成以 下的效果。 (1) 由使用複數電子線可使以電子線實行晶圓評價高生產 率化。 (2) 對配置複數電子線增大半徑時成為問題的倍率之色收 差可減小至不成問題的程度。 f 64圖表示可使用於本發明之電子線裝置的電子線 偏向器90的詳細構造之水平剖視圖。帛65圖為治第64 圖A-A線的側面®。如帛64圖所示,電子線偏向器9〇在 垂直於照像投影光學部之光軸的平面内成為電場與磁場為 直父的構造’即ExB構造。於此之電場E為由具有凹面狀 之曲面的電極9Ga、_產生。電極9Qa、議產生之電場 各由控制部93 a及93b控制。一方面使電場產生用之電極 90a及90b為直交的狀態以配置電磁線目…及⑽產生 磁%。電%產生用之電極9〇a及90b為形成點對稱(同心圓 型)。 為要提高磁場的均-性,構成具有平行平板狀的極片 (pole-piece)以形成磁路。第65圖表示沿A_A線之縱斷面 的電子線舉動。照射之電子線91a及91b受電極咖及嶋 產生之電場,及電磁線圈94及91b產生之磁場的偏向後, 對試件表面垂直射入。 電子線91a及91b對電子線偏向部9〇之射入位置及角 (修正頁)312765 152 1288424 =電子的能量決定後即已決定。又由各控制部細、9北 ^4a、94b以控制電極他、⑽產生之電場及電磁線圈 91 a、91 b產生之磁場使電場及磁場之條件為,則二 =電子為向電子線偏向部90直進而射入照像投影光學 部。上述v為電子之速度(m/s),B為磁場⑺,^為電荷量 (c) ’ E 為電場(v/m)。 、第66圖表示本發明之一次電子線的照射方法說明用 俯視圖。如第66圖所示一次電子線1〇〇由四條之電子線 1〇卜102、103、104、形成。各電子線掃描5Mm幅度。· 以一次電子線1〇1為例時,一次電子線ι〇ι初時在左端, 在具有圖形107的基板W(試件)上向右端掃描,到達右端 後迅速回到左端,其後再向右方向掃描。载置基板w之工 台的移動方向為大約垂直於一次電子線的掃描方向。 【圖式簡單說明】 第1圖表示本發明第1實施例之檢查裝置主要構成之 透視圖,所示為沿第2圖之A-A線所見。 第2圖表不第1圖之檢查裝置的主要構成平面圖,所鲁 示為沿第1圖β-Β線所見。 第3Α圖表示第1圖之小型環境空間裝置之沿(:—(;:線的 剖視圖,第3Β圖表示另一形式之小型環境空間裝置的側視 圖。 弟4圖表示弟1圖之裝載室,為沿第1圖之d—d線所 見。' 第5Α圖及第5Β圖表示晶圓架(rack)之擴大圖,第μ 153 (修正頁)312765 1288424 為侧視圖,第5B圖為沿第5A圖之E_E線的剖視圖 1及第 第6A圖及第6B圖表示主殼體之支持方法的第 2變形例。 第7圖表示第1圖之檢查裝置使用之本發明第2實施 例之電子光學裝置的概略構成配置圖。声 第8圖表示第7圖之電子光學裝置之一次光學系使用 之複數開口之板的開口位置關係。 ” 弟9圖表不電位施加機構。 第10A圖及第10B圖為說明電子線校準(calibrati〇n) 機構用圖,第10A圖為側視圖,第1〇B圖為俯視圖。 第11圖表示晶掘調整控制器裝置概略圖。 第12圖表示本發明之半導體裝置製造方法之一實施 例的流程圖。 第13圖表示第12圖之晶圓製造工序之核心的照像製 版工序流程圖。 第14A圖表示本發明第3實施例之電子線裝置光學系 的概略圖’弟14B圖表示於試件面上由複數之電子線形成 之像的擴大圖。 第15圖表示本發明第3實施例之二次光學系及開口 角。 第16圖表示於試件面1〇之收差與開口半角a i之關 係。 第17Α圖表示複數射極之俯視圖,第17Β圖表示沿第 17Α圖之線的剖視圖。 154 (修正頁)312765 1288424 弟18A圖及第18B圖表示習用之帶電電子線裝置之真 空容室及XY工台,第18A圖為前視圖,第18B圖為側視圖。 第19圖表示使用於第18A圖及第18B圖之XY工台的 排氣機構概略透視圖。 第20A圖及第20B圖表示本發明第4實施例之帶電電 子線裝置的真空容室及XY工台之前視及侧視圖。 第21圖表示本發明第5實施例之帶電電子線裝置真空 容室及XY工台剖面圖。 第22圖表示本發明第6實施例之帶電電子線裝置真空 容室及XY工台剖面圖。 第23圖表示本發明第7實施例之帶電電子線裝置真空 容室及XY工台。 弟2 4圖表示本發b月第8實施例之帶電電子線裝置真空 容室及XY工台。 第25圖表示設置在第18圖至第24圖之實施例之鏡筒 之本發明第9實施例的光學系及檢測系概略圖。 第26圖表示本發明第1〇實施例之缺陷檢查裝置的概 略構成。 第27圖表示以第26圖之缺陷檢查裝置取得之複數被 檢查畫像及基準晝像例。 第28圖表示第26圖之缺陷檢查裝置實行晶圓檢查之 主程序的流程圖。 第29圖表示第28圖的流程圖中於複數之被檢查畫像 數據取得工序(步驟3304)之副工序的詳細流程圖。 155 (修正頁)312765 1288424 : 第30圖表示第28圖之比較工序(步驟3308)之副工序 的詳細流程圖。 第31圖表示第26圖之缺陷檢查裝置之檢測器的具體 構成例。 第32圖表示於半導體晶圓的表面上將部分重合而以 概念的表示互相偏位之複數被檢查領域。 第33圖表示構成本發明第11實施例之缺陷檢查裝置 之掃描型電子線裝置構成圖。 第34圖表示本發明第12實施例之電子線裝置之主要 構成的配置圖。 第35A圖表不第34圖之裝置的開口板俯視圖,第35B 圖及第35C圖表示開口配置俯視圖。 第36圖表示由第34圖之電子線裝置形成在試件面上 之一次電子線照射點的配置圖。 第37圖表不本發明第13實施例之電子線裝置的概略 構成圖。· 第38圖表不本發明第實施例之電子線裝置之光學 系的概略配置圖。 第39圖表示第38圖之電子線裝置使用之複數開口之 板例的俯視圖。 第4 0圖表示第3 8圖之電子線裝置使用之檢測器開口 板例的俯視圖。 、第41A圖及第41B圖表示第38圖之電子線裝£使用之 複數開口之板的另一例俯視圖。 (修正頁)312765 156 I288424 • ^ 第42圖表示本發明第15實施何之電子線裝置的光學 系配置圖。 、 第43圖表示第42圖之電子線裝置的光學系以二行複 數列的並列於晶圓的配置之狀態俯視圖。 *第44A圖表示本發明第16實施例之電子線裝置的概略Heart 7 Ρ 乂 The first number of the opening plate 4203 is the center of the Y robbing 4201 and the test piece 42 〇 8 * p at the intersection of the center of the square and the second =1 hard number opening plate _ 4224. Each of the four corners is provided with an opening coffee to the vertical and horizontal lines on the third drawing. The opposite sides of the square are false (correction page) 312765 110 1288424 • The opening of the plural line is evenly distributed on the first plurality of opening plates. 4203 is the intersection of these solid lines. In fact, the distortion of the optical system is corrected to the minimum. The position of each opening depends on the distance from the center point = = the distance from the intersection of the solid line to the center of the first plurality of aperture plates 4 2 〇 3 The location of the move. Fig. 40 is a view showing an example of the second plurality of opening plates 4211 used in the electronic wire device of the present invention, which minimizes the influence of the distortion of the winding type of the secondary optical system. Fig. 4 is a view showing that the distance from the opening of the second plurality of opening plates 4211 to the center γ is shifted outward by the ideal position of the uniform distribution. The offset is simulated by the optical system including the objective lens 4207, the enlarged lenses 4209 and 4210, and the ExB separator 4206. The opening on the outside is too large to form a crosstalk y so that a sufficiently large opening can be formed. Figs. 39 and 40 show an embodiment in which the plurality of opening plates 4203 and 4211 are openings in which a plurality of openings are formed in one plate. However, in the device design, the plurality of opening plates may be composed of two or more plural pieces. As described above, the cross-sectional shape of the first plurality of opening plates 42A3 can be formed in a step shape for the curvature of field to correct the curvature of field of the primary optical system. The second-order optical system also exhibits image plane curvature. However, since the opening of the second plurality of aperture plates 4211 provided in front of the detector 4212 is large, the curvature of field of the secondary optical system can be virtually ignored. The field of view non-dot is caused by the difference in the refractive index of the lens in the radial direction of the lens and the circumferential direction. Figs. 41A and 41B are diagrams showing a second example of the second plurality of aperture plates 42〇3 used for mitigating the field of view non-point difference, and the first plurality of aperture plates 4203 shown in Fig. 41A (Fig. 41A and 41B). Correction page) 312765 111 1288424 Each opening is formed in a shape elongated from the center of the first plurality of opening plates 42〇3, and has a shape elongated in the radial direction. The 41st-figure diagram shows openings having different sizes in the radial direction and the circumferential direction of the virtual figure centered on the center of the first jade opening plate 4203. The payout number 4217 of the younger figure 38 indicates a blanking deflector, and a narrow pulse is applied to the blanking deflector 4217 to form an electron beam having a narrow pulse width. By using the above-described narrow pulse, the pattern potential formed on the test piece 42A can be decomposed at a high time to perform measurement, whereby the function of the strobo SEM (scanning electron microscope) can be added to the electron beam device. Reference numeral 4218 of Fig. 38 denotes an axisymmetric electrode, and a potential of several tens of volts lower than that of the test piece 4208 is applied to the axisymmetric electrode 4128, so that the secondary electrons emitted from the test piece 4208 are dependent on the potential of the pattern of the test piece 4208. This allows secondary electrons to flow toward the counter lens 42〇7 or to recover the test piece side. From this, the potential contrast on the test piece 4208 can be determined. 38 to 40 show an electronic wire device 420 according to a fourteenth embodiment of the present invention, which is applied to a defect inspection device, a wire width measuring device, a matching precision measuring device, a potential contrast measuring device, and a defect evaluation device or frequency. Flash SEM device. The electron beam device 42 of the fourteenth embodiment of the present invention can be used for the evaluation of the crystal of the manufacturing process of the semiconductor device shown in Figs. 12 and 13 . The photolithography process in the center of the wafer processing step of Fig. 12 includes a step of applying a resist film on a wafer on which a circuit pattern is formed in the previous step, a step of exposing the resist film, and exposing the light. The resist film is subjected to development to obtain a resist pattern of the resist pattern, and the resist pattern of the image (corrected page) 312765 112 1288424 is used for the simplification process (the line sling 4200 is more usable and subtracted:丄Electronics 14th Example of Wafer for Inspecting Processed Wafers The invention is not limited to the above embodiments. For example, a plurality of electrons = gamma, a plurality of open-plate tears, and one-time optics can be provided. Department, secondary optical season, ^ number of turns: plate 4211 and detector 4212 consisting of electron beam irradiation · = 'use the Wei electronic to grab the plural - secondary electron line simultaneously irradiated at -42G1 different positions, with The plurality of detectors can also receive a plurality of secondary electron beams discharged from the test piece, thereby greatly shortening the time required for inspection and measurement. As can be understood from the above description, the electronic wire device 4200 of the fourteenth embodiment of the present invention can be understood. The following effects can be achieved. This corrects the distortion of the optical system once, and can alleviate the non-point of the field of view. Therefore, it can scan a large number of electron beams that are irradiated in a wide range of fields, and can perform defect inspection with high productivity. Distortion, scanning the test piece with a small number of electronic wires at a narrow interval does not cause crosstalk, and can increase the transmittance of the secondary electrons. As a result, a signal with a high S/N ratio can be obtained, and high reliability can be implemented. Measure the line width, etc. 3. Since the primary optical image can be imaged on the main surface of the ΕχΒ separator 4206, the color difference of the primary electron beam can be reduced, and the complex electrons can be formed when the primary electron beam is formed into a plurality of electron lines. The electronic wire is twisted into a thin wire. The electronic wire device 4300 according to the fifteenth embodiment of the present invention will be described with reference to Fig. 42. The electronic wire device 43A of Fig. 42 includes a primary optical system 113 (correction page) 312765 1288424 _ 4310, twice. The optical system 4330 and the detecting device 4340. The primary optical system 4310 is an optical system for irradiating an electron beam on the surface (test piece surface) of the test piece S, and includes an electron gun 4311 for discharging an electron beam, and an electric gun for discharging the electron gun. The electrostatic lens 3112 of the sub-line biasing, the opening plate 4313 forming the plurality of small holes (but only 4313a to 4313e in FIG. 42), the electrostatic deflector 4314, the aperture 4315, and the through-opening plate The electrostatic intermediate lens 4316, the first ExB separator 4317, the electrostatic intermediate lens 4318 that deflects the electron beam, the electrostatic deflector 4319, the second E xB separator 4320, the electrostatic object lens 4321, and the electrostatic deflector 4322. As shown in Fig. 42, the optical axis A of the electron beam for discharging the electron gun with the electron gun 4311 as the uppermost portion is set to be perpendicular to the surface SF of the test piece. Thereby, the structure of the electrostatic objective lens 4321 and the test piece S is axisymmetric, and the electronic wire can be twisted into a thin line. The secondary optical system 4330 includes an electrostatic expansion lens 4331 that is disposed along the optical axis B that is inclined toward the optical axis A in the vicinity of the second Ex B separator 4320 of the primary optical system 4310, and a small number of plurals in which the second element is arranged. The aperture plate 4332 of the hole (only 4332a to 4332e is shown in the figure). The detecting device 4340 is provided with a detector 4341 for each opening of the opening plate 4332. The number of openings (4332a to 4332e) of the opening plate 4332 and the number and arrangement of the small holes (4313a to 4313e) corresponding to the opening plate 4313 of the primary optical system are arranged. Each of the above-described constituent elements can be a known technique, and the description thereof is omitted here. Next, the operation of the electronic wire device 4300 having the above configuration will be described. The electron beam C discharged from the single electron gun 4311 is converged by the electrostatic lens 4312 and irradiated on the opening plate 4313. The electron line C forms a plurality of electron lines through a plurality of small 114 (correction page) 312765 1288424 - holes (4313a to 4323e) on the opening plate 4313. The plurality of electronic wires form an overlap C1 in an opening ring 4315 provided with an opening plate. The overlapping electron beams are applied to the test piece S, and are passed through the electrostatic intermediate lens 4316 and the electrostatic intermediate lens 4318 which are disposed on the way, and the image is formed on the main surface of the electrostatic objective lens 4321 to satisfy the Keller illumination condition. On the one hand, the electron beam D forming the image of each of the apertures of the apertured plate 4313 is converged by the electrostatic intermediate lens 4316, and is imaged on the principal surface FP1 of the first ExB separator 4317, and is then converged by the electrostatic intermediate lens 4318 to form an image. The second E xB splitter 4320 is biased toward the main surface FP2, and finally the image is on the test piece surface SF. The secondary electrons emitted from the test piece surface SF are accelerated and converged by the accelerated electric field applied to the secondary electrons applied to the electrostatic object lens 43 21 and the test piece surface SF, and are separated by the electrostatic object lens 4321 in the second ExB. A little pre-overlapping image of the main surface FP2 of the 4320. The secondary electrons of the junction are deflected by the second ExB separator 4320 and moved along the optical axis B, and are incident on the electrostatic expansion lens 4331. The secondary electrons are enlarged by the electrostatic expansion lens 4331 to enlarge the small holes (4332a to 4332e) of the junction plate 4332. ~ The test piece surface SF and the opening plate 4332 have an optical conjugate relationship with respect to the secondary electron intensity of 2 eV, and the secondary electrons emitted from the test piece surface SF by the electron beam passing through the small hole 4313a of the opening plate 4313 are The secondary electrons emitted from the test piece surface SF through the small holes 4332 &amp; of the opening plate 4332 are irradiated with electron beams passing through the opening plate 4332, and the electron beams passing through the small holes 4313c are irradiated. The secondary electrons emitted from the surface SF are in the state of passing through the small holes 4332c of the opening plate 4332, and the secondary electrons emitted from the surface of the test piece by the primary electron beam pass through corresponding to the opening 115 (correction page) 312765 1288424 - The small holes in the opening plates 4 3 3 2 of the small holes of the plate 4 313 are injected into the detector 4341. The C-complex electronic line and its adjacent electronic line can be scanned by scanning the electrons between the electron lines by using the electrostatic biasing 4319 and the second ExB separator 4320 to cause the electron beam to be scanned as a principal light as indicated by the symbol E. When the second scan is performed by the second Εχβ splitter, the Wien filter condition of the second Εχβ separator 4 (10) is full, and the voltage of the straight line of the electron beam is Vw, the magnetic field is Bw, and the magnetic field of Vw is centered on the DC voltage of Vw. The voltage may overlap the electrical waveform of the sweep voltage, and if the electrode that supplies the electric field of the second splitter 432 is an electrostatic deflector of the Lu Ba pole, the binary scan can be performed. In this way, it is not necessary to be static, the upper device of the mirror 4321 is newly installed, and the Εχβ separator and the electrostatic deflection state can be set at the most appropriate positions. The eight-person β children are aware of the problem of electronic line blurring due to color collection and difference when using a single ΕχΒ separator, and the countermeasures to be solved. Generally, an electron beam device using a helium separator has a minimum difference in the position of the image of the opening of the electron beam and the deviation from the main surface of the helium separator. And the deviation between the main surface and the surface of the ε汕 is a common vehicle relationship. Therefore, when there is an energy amplitude of the f-line f-human Εχβ separator, the enthalpy of the low-energy electron line is inversely proportional to the energy of the electric field, and the amount of the magnetic field bias is only inversely proportional to the 1/2th power of the energy. Become bigger. On the one hand, the high-energy electron beam is biased by the magnetic field to be larger than the amount biased by the electric field. Here, an electrostatic lens is disposed on the side of the Εχβ separator, and if the lens has no difference, the electron line is not blurred, but the electron beam is blurred due to the lens. Therefore, only the single (correction page) 3 〗 2765 116 1288424 - one ΕχΒ separator can not avoid the color difference blurring the electron line when the energy of the electron beam has amplitude. The present invention is provided with two first and second ΕχΒ separators 4317 and 4320. The direction in which the electric field is deflected by the first splitter 4317 and the second splitter 4320 is opposite to each other as viewed from the surface of the test piece, and the electric field of each of the splitters is adjusted so that the absolute values of the magnitudes of the deflections of the two separators are equal. Therefore, when the energy of the electron beam has a magnitude, the color difference formed by the ΕχΒ separator cancels off between the first and second ΕχΒ separators 4317 and 4320. When the defect inspection of the test piece surface, the measurement of the line width of the circuit pattern formed on the test piece surface, and the like are performed by using the electronic wire device 4300 having the above configuration, the test piece to be inspected is placed on the test piece table, and the electronic wire device 4300 is as described above. Actions. Here, the scanning signal waveform supplied to the electrostatic deflector 4319 and the second ΕχΒ separator 4320, and the output signal of the secondary electron detector 4341 are used as image data, and the image data and the pattern data obtained by the other are used. A defect check can be performed like a comparison of data. Further, the measured pattern is scanned by the electrostatic deflector 4319 and the second splitter 4320 in the direction perpendicular thereto, and the line width of the pattern can be measured from the obtained signal waveform of the secondary electron. Then, the pattern formed by the photolithography of the second layer is formed into a pattern formed by the photolithography of the second layer, and the two patterns are spaced apart by an electron line approximately equal to the plurality of electron lines of the electron beam device 4300. The interval is formed, and then the pattern interval of the two strips is measured, and the matching precision can be evaluated by comparing the measured value with the set value.仏, ί Connect one or both of the secondary electron detector 4341 to the CRT helmet, and input the scanning signal waveform to form a scanning electron microscope (correction page) 312765 117 1288424: (SEM) image. CRT monitor. The inspector can then look at the SEM image to observe the type of defect. As shown in Fig. 42, a coaxial electrode 4322 is provided between the electrostatic objective lens 4321 and the test piece surface SF, and a potential voltage is applied to the electrode 4322 to measure the potential contrast. Further, in Fig. 42, in order to blank the electron beam, the electron beam is biased to the electrostatic deflector 4314 only when the electron beam is not deflected for a short period of time, and the deflected electron beam is removed by the opening ring 4315. A short pulse of electron lines. The short pulsed electron beam is incident on the test piece surface SF' so that the device on the test piece surface is decomposed in an active state for a good time. The action analysis of the device can be performed by performing the potential measurement of the pattern. Fig. 43 is a plan view showing a state in which a combination of a primary optical system and an primary optical system having the above-described configuration of the electron beam device is provided with a plurality of arrays on the test piece s, and in the present embodiment, six sets of primary optical systems 4310 are provided. The secondary optical system 4330 is arranged in two rows and three columns. The solid line drawing diagrams 431〇a to 4310f are the maximum outer diameters of the primary optical system, and the one-point line drawing diagrams 4330a to 4330f are the maximum outer diameters of the secondary optical system. In the present embodiment, the small holes of the opening plate 4313 of the primary optical system 4310 are arranged in three rows and three columns, and the small holes of the opening plate 4332 of the secondary optical system 4330 are also arranged in three rows and three columns. The optical axis β of each of the primary optical systems 4330 is arranged in a row arrangement toward the outside of the test piece to avoid mutual interference. The number of columns is preferably about 3 or 4, but it is also possible to set the following 2 columns or more. The electronic line depletion 43 of the fifteenth embodiment of the present invention can also be used for the wafer inspection process of Fig. 12 for inspecting processed wafers. That is, when the defect inspection method and the defect inspection package (correction page) 312765 118 1288424:f of the fifteenth embodiment of the present invention are used for the inspection process, the semiconductor device having the fine pattern can be checked only with good productivity. Inspection of the product is possible, thereby improving the yield of the product and preventing the shipment of the defective product. (10) The electronic wire device 43A according to the fifteenth embodiment of the present invention (Fig. 42 achieves the following effects. (1) The productivity can be improved by using a plurality of electronic wires. (2) A plurality of separators can be provided to open the opening. The position of the image of the aperture of the plate is the same as the position of the ΕχΒ separator, and the direction of the electron line deflected by the electric field of each Εχβ separator is opposite to each other in the financial plane, so the color produced by the ExB separator can be corrected. The "receiving difference" can be used to twist the electronic wire into a thin line, and can read the inspection accuracy. (3) The electric field of the second ExB separator is superimposed to scan the electric dust to deflect the electron line'. Therefore, the second ExB separator can be The electrostatic deflector is shared, and it is not necessary to newly install an electrostatic deflector on the upper portion of the electrostatic object lens 4321, and the ExB separator and the electrostatic deflector can be disposed at the most appropriate positions, thereby simultaneously improving the detection efficiency of the secondary electrons and By reducing the deviation of the deflection, the optical path of the secondary optics can be greatly shortened. (4) The complex number is set on the test piece by combining the primary optical system and the secondary optical system of the electronic wire device. For groups, it is possible to check the reduced specimens at (5) and the productivity is further improved. (5) A coaxial static electricity is applied between the electrostatic object lens 4321 and the test piece (4), and the potential is applied to the electrostatic deflector by applying a negative electric charge to the electrostatic deflector. (6) Set the blanking function of the electronic line to control the voltage formation of the electrostatic deflector 4314 (correction page) 312765 119 1288424 - Short pulse electronic line, so that the device on the test piece is in operation state with good 'time decomposition can implement graphics The action analysis of the device can be performed by measuring the potential. Fig. 44A is a view showing a schematic configuration of an electron beam device 4400 according to a sixteenth embodiment of the present invention. As shown in Fig. 44A, the electron beams discharged from the electron gun 4401 are converged by the capacitor lens 4402, and overlap at a point 4404. Below the capacitor lens 4402, a first plurality of opening plates 4403 having a plurality of small openings are formed, and a plurality of primary electron beams are formed therefrom. Each of the primary electron lines formed by the first plurality of aperture plates 4403 is reduced by the reduction lens 4405 and projected at a point 4415. After the primary electron beam is focused at point 4415, the target lens 4407 is focused on the test piece 4408. The plurality of primary electron lines from the first plurality of aperture plates 4403 are deflected by the deflector 4419 disposed between the reduction lens 4405 and the objective lens 4407, and the surface of the test piece 4408 placed on the xy table 4420 is scanned. . As shown in FIG. 44B, in order to eliminate the influence of the reduction of the curvature of the reduction lens 4405 and the object lens 4407, the first 娄i opening plate 4403 is provided with a small opening 4433 on the circumference, which is equal to the X-axis. The projection point is the setting of the equal interval Lx. The plurality of focused electron beams are a plurality of points irradiated on the surface of the test piece 4408, and the secondary electron lines discharged from the plurality of irradiated points are attracted by the electric field of the objective lens 4407 to be thinned and separated by an ExB separator. 4406 deflects it into the secondary optics. The secondary electron image junction is closer to point 4416 of object lens 4407 than point 4415. The reason is that each primary electron line has 50OeV of energy on the surface of the test piece, while the secondary electron line has only a few eV of energy. 120 (Revision page) 312765 1288424 The secondary optics is provided with enlarged lenses 4409 and 4410 through which the secondary electron lines of the enlarged lenses are then passed through a plurality of openings 4443 of the second plurality of aperture plates 4411 to form a plurality of electron detectors 4412. As shown in Fig. 44B, the plurality of openings 4443 formed in the second plurality of opening plates 4411 provided before the detector 4412 are in a one-to-one correspondence with the plurality of openings 4433 formed in the second plurality of opening plates 44〇3. The plurality of detectors 4412 are each disposed in a plurality of openings facing the second plurality of aperture plates 4411. Detector 4412 converts the detected secondary electron beam into an electrical signal indicative of its intensity. The electric signals outputted from the respective detectors 4412 are amplified and converted into image data by the imaging processing unit 4414. Since the imaging processing unit 4414 supplies the scanning signal 35 for deflecting the primary electron beam, the imaging processing unit 4414 can generate an image indicating the surface of the test piece 44〇8. The defect of the test piece 44〇8 can be checked by comparing the key with the standard pattern. The rising width detecting unit 4430 operates to cut off the excitation voltage during the initial focusing, and does not operate during the processing. The operation will be described later. Further, the image of the test piece 4408 is moved closer to the optical axis of the optical system by image superposition, and a line scan is performed to take out the line evaluation signal, and appropriate correction is performed to determine the pattern on the test piece 44〇8. Line width. The primary electron beam passing through the opening 4433 of the first plurality of opening plates 4403 is focused on the surface of the test piece 4408, and when the secondary electron beam which is discharged from the test piece 44A is imaged on the detector 4412, it is particularly necessary to consider The effects of distortion caused by one optical system, axial color difference, and visual field non-point are the smallest. In addition, regarding the relationship between the interval of the plurality of electron lines and the secondary optical system, the distance between the electron beams and the secondary electrons (correction page) 312765 121 1288424 is greater than the distance difference. This eliminates crosstalk between complex electronic lines. As shown in Fig. 44C, the objective lens 4407 is a uni potential lens, so that the primary electron beam is focused on the surface of the test piece 44〇8, and the central electrode of the object lens 4407 is positively applied by the power source 4428. At a high voltage of VG volts, a bias voltage of a small voltage close to the ground potential of the power source 4429 is applied to the upper side and the lower side of the object lens 44A7. The electronic grab 4401, the shaft-aligning deflector 4417, the second opening plate 4403, the capacitor lens 4402, the deflector 4419, the Wien filter, ie, the Εχβ separator 4406, the objective lens 4407, the axisymmetric electrode 4423, and the secondary electron The detector 4412 or the like is housed in a lens barrel 442β of an appropriate size to constitute an electronic line scanning inspection system. The focus of the initial focus of the electronic line scanning detection system is the excitation voltage V. For example, it is fixed at -1 volt volt, and the positive voltage V is changed. Implementation. On the upper side, the electron beam scanning detection in the lens barrel 4426 is performed on the crystal on the test piece, and the scanning result is an electrical signal represented by detecting the second time from the test piece, and rotating the intensity thereof. . In fact, a plurality of wafer patterns are formed, so that the electronic line scanning detection system (not shown) composed of :: is complex.  仵 ^, the distance between them is the state setting of the distance of the wafer size on the test piece. 'Plus / 1 down - step to explain the electronic line scanning detection system, by electronic inspection, crying 4412 rounds of electric oxygen ton 轳 force 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占 占The data is converted into a number of basins of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of the number of Compare it to the reference circuit pattern. Thereby, defects or the like of the circuit pattern formed on the test piece can be detected. A comparison with the image data indicating the circuit pattern on the test piece can be performed using various types of non-image data. For example, the data of the (10) data used in the circuit pattern of the image data obtained by H can be used. In the electronic wire device of Fig. 44A, the value of the excitation voltage ±Vq applied to the objective lens, the upper I and the lower electrode is controlled by a device such as cpu (not shown) and is determined as follows. The two cuts are formed on any of the circuit patterns formed on the surface of the test piece, for example, by reading the pattern edge iP^ernedge parallel to the first direction from the pattern data, and the pattern parallel to the second direction orthogonal to the first direction. Therefore, the location of existence is specified. Scanning in the second direction by the pattern of the secondary electron deflector 4419 and the dirty separator side by the secondary electron line crying 4 two enemy brothers / direction, by electronic detection:, take out, the result shows the intensity of the secondary electron beam The electrical signal ~ the rising amplitude detecting unit 443 〇 〇 该 该 〇 〇 〇 〇 〇 419 419 419 419 419 419 419 419 419 419 419 419 419 419 419 419 419 419 419 419 419 419 419 419 419 419 419 对于 对于 平行 平行 406 406 406 406 406 406 In the 1-direction scanning, the sub-private measurement 4412 takes out the scanning result to indicate that the electrical signal of the secondary electronic line 2 is released, and the electrical signal-upsizing Ρ is measured by the rising amplitude detecting unit 4430. The above operation is performed by changing the voltage ±Vd to at least three kinds of voltage value control devices (not shown) according to the data of the rising amplitude detecting portion 443 (correction page) 312765 123 1288424. The curve A represents the relationship between the edge of the figure parallel to the 〗 〖 direction and the rising amplitude P of the soil ΔVg. The curve β represents the relationship of the pattern side parallel to the second direction to the rising width p. As shown in Fig. 45B, the "rising amplitude R" of the electrical signal is fixed in a state in which the excitation voltage ΔV〇 (and the high voltage V()) is fixed, and will be parallel to the second direction (or the jth direction). The electrical signal measured when the pattern is scanned in the second direction (or the ith direction) is changed from 丨2% of the maximum value to 88%. The required scanning distance is represented by R (early position &quot;m). The curve A of Fig. 45A shows that the upper rise Ρ is the smallest when the excitation voltage Δν 〇 is -Δν 〇 (χ), indicating that the rise is the fastest. The same curve 6 has the smallest increase in the excitation voltage ±?^ is AVky), and the rise is the fastest. Therefore, the focus condition of the objective lens 4407 is the voltage ±Δν applied to the upper electrode and the lower electrode. The value is set to divination ν. (1) + Δν. (7)}/2 is appropriate. The excitation voltage is Δν. Only in the range of 〇 to ±2〇ν, the actual adjustment of the objective lens 4407 as described above, the setting of the objective lens 4407 can be performed at a high speed of α 1 〇 # s, in order to obtain the curve a&amp;b 150 of Fig. 45A. // s 〇 ', but to get the music, the line Α and Β do not need to △ ν for the majority of the soil. Perform the measurement, as shown in the 45th, for the voltage value of the third voltage, set V(2), +ΔΚ3ΠΧ to measure the rising width ρ, and find the curve (1) by the hyperbolic approximation to find the minimum value of the rising towel—Δν. (1) Δν (1) is sufficient. Here, the measurement can be performed at a level of 45 microseconds. As described above, the curve Α and β of the 45th graph are approximate to the quadratic curve (correction page) 312765 124 1288424 line. &quot;m), the object lens voltage ± Δν ° is q (Ό then curve a and when constant can be represented by q (V) (P2 / a2) - (qc) 2 / B2 = 1. If three q ( The voltage ±V (the value of 〇 q p (rise towel 5) 彳t value Deng and its corresponding rise W value P1, P2, P3 substituting the above formula can get the following 3 formula (1) to w)-(Φ- c)2 / b2 = 1 :··(1) (p22/a2)- (q2-c)2 / b2 = 1 (2) (P32/a2)-(q3-c)2 / V = 1'··(3 When q=c, the values of a, b, and c can be calculated from the above equations (1) to (3) to be the minimum value. As shown in the above 4', the rising amplitude P is the smallest for the parallel third direction. The excitation voltage of the lens can be obtained with three lens conditions: mirror = (:) for the edge of the pattern parallel to the second direction. As shown in Fig. 45A and Fig. 45B, when the first direction extending edge is scanned in the second direction, the rising width is generally different when the pattern extending in the second direction is scanned in the f direction. For example, it is necessary to The eight-pole non-point correction is applied to the 4421 (44®), and (4) the (4) is applied to the lens to make the rise of the electrical signal for the edge of the pattern and the output of the second-party electron detector 4415 smaller. The state of the line is non-point correction. When the non-point difference is not present, only one of △&) or ΔV〇(y) can be obtained, and the curves A and B can only find one. As described above, the focus pair (correction page) 312765 125 1288424 for the electronic line scanning detection system is executed, and then the focus is shifted to the evaluation processing for the test piece. This method uses an optical z-sensor to obtain a counterpart by means of electro-optical means. Therefore, it is advantageous to obtain correct focusing conditions when the test piece is charged. A state in which a lens barrel (not shown) having a barrel 4426 including an electron beam scanning detection system is arranged in parallel with the lens barrel 4426 is at a distance from an integral multiple of the crystal size of the test piece 4. In the state configuration, it is necessary for each mirror ft to focus on the test piece. However, because the above-mentioned focusing action can be carried out at the same time, the West has little effect on the productivity calculation. The semiconductor device manufacturing method of the present invention will be described next. The semiconductor manufacturing method of the present invention is a semiconductor device manufacturing method using the above-described first electron beam device and the semiconductor device shown in Fig. 13. In the manufacturing method, the electronic wire device described with reference to FIG. 44 is used, and it is used not only in the process of the process "rounding inspection process" but also in the inspection process of the wafer (Fig. 2). The graphic guide of the introduction of the seven-portion ~ heart thousand body device also seeks distortion, blurring to reduce the image, so it can indeed detect defects in the wafer. Because of the application of the electronic wire device of the present invention to the wafer inspection process and the wafer inspection process of FIG. 12, it is also possible to perform inspection for high-productivity for a semiconductor device containing a fine pattern. If possible, increase the yield of the product and prevent the outflow of defective products. The electronic wire device 44 according to the sixteenth embodiment of the present invention has the following effects. (1) Since it is not necessary to use an optical sensor for measuring the height of the test piece surface, only the (correction page) 312765 126 1288424 - the electron optical system between the objective lens and the test piece can be optimally designed. (8) The focus of the electronic line scanning detection system can be achieved only by adjusting the low voltage, and the setting time can be shortened, that is, the focusing can be performed in a short time. (3) It is possible to perform non-point correction in a short time in the focusing operation in response to actual needs. Since the test piece can be evaluated in a short time during the process, the yield of the device manufacturing can be improved. Next, an electronic wire device 4500 according to a seventeenth embodiment of the present invention will be described with reference to Figs. 46 and 47. Fig. 46 is a view showing the mode of the electronic wire unit 4500 of the seventeenth embodiment. The electron beam device 45A includes a primary optical system 451A, a secondary optical system 4530, and a detecting device 4540. The human optical system 4510 is a photonic system that emits electron beams on the surface of the test piece S, and includes an electron gun 4511 that discharges electron beams, an electrostatic lens 4513 that reduces electron beams emitted from the electron gun, and a plurality of columns in which secondary elements are formed. a second aperture opening 4514, an opening ring 4515, an electrostatic lens 4516 that narrows the electron beam passing through the first opening plate, an electrostatic deflector 4517, an ExB separator 4518, and a small aperture (4514a to 4514i in Fig. 46) The static object lens 4519' is an arrangement in which the optical axis A of the electron beam which is the uppermost portion of the electron gun 4511 and the electron beam is discharged as shown in Fig. 46 is perpendicular to the surface of the test piece f. Inside the electronic grab 4511, a single crystal LaBe cathode is ground into a raised portion 4512 having a plurality of convex shapes. In order to eliminate the influence of the surface curvature of the electrostatic lens 4513, 4516, and the electrostatic object lens 4519, as shown in Fig. 47, the first opening plate has a small hole formed in the circumference and its projection in the X direction is equal. Interval Lx. 127 (Revision page) 312765 1288424 The secondary optical system 4530 includes a first electrostatic expansion lens 4531, an opening ring 4532, and a second electrostatic expansion lens which are disposed in order along the optical axis Α inclined to the vicinity of the ΕχΒ separator 4518. 4533, and a second opening plate 4534 having a plurality of small holes (only 4534a to 4534i shown in the figure) formed with a second element. The detector 4540 is provided with a detector 454 at each opening of the second opening plate 4534. The number of holes 4534a to 4534i in the small holes of the plate 4534 (indicated by broken lines in Fig. 47) and the arrangement of the small holes (indicated by solid lines in Fig. 47) formed by the first opening plate 4514 are consistent with the number and arrangement of the holes 4514a to 4514i. . The above configuration may be a known technique, and the description thereof is omitted here. Next, a standard mode of the above-described electronic wire device 4500 will be described. The electron beam c discharged from the boss portion 4512 of the single electron gun 4511 is converged by the electrostatic lens 4513 and irradiated onto the first opening plate 4514. The plurality of small holes (4514a to 4514i) formed by the first opening plate 4514 of the electron line c are formed into a plurality of electron lines. The plurality of electron lines form an overlap image C1 at the opening ring 4515. The overlapping plurality of electron beams are applied to the test piece S, and are closed by the electrostatic intermediate lens 4516 provided on the way, and are imaged on the main surface of the electrostatic objective lens 4519 to satisfy the Keller illumination condition. The plurality of electron lines of the junction form a reduced image on the test piece, and the test piece is scanned by the deflection of the electrostatic deflector 4517 and the ExB separator 4518. The secondary electrons emitted by the test piece S are applied to the electrostatic opposite lens. The accelerating electric field between 4519 and the test piece S is accelerated and converged, and the electrostatic object lens 4519 is moved by the deflection of the separator 8518 along the optical axis 6 to enter the electrostatic expansion lens 4531. The secondary electrons are enlarged by the electrostatic opening lens 4531 128 (modified page) 312765 1288424 to form an overlapping image C2. The secondary electrons of the junction image are further enlarged by the electrostatic expansion lens 4533, and are formed in the small holes (4534a to 4534i) of the second opening plate 4534. The expansion ratio of the secondary filament system can be determined by two electrostatic expansion lenses 4531 and 4533. As shown in Fig. 47, the electron beam passing through the small hole 451 of the jth opening plate 4514 is incident on the secondary electron that is discharged through the small hole 4534a of the second opening plate 4 through the small hole 451. The secondary electrons emitted by the pattern s are passed through the small holes 453, and the electron beams emitted from the test piece S through the electron beam passing through the small holes 4514c are in the state of passing through the small holes 4534c, that is, the primary electrons. The secondary electron beam placed by the line on the test piece s is incident on the detector 4541 through the small holes of the second opening plate 4534 corresponding to the respective holes of the W-th plate. When changing from the above standard mode to the high resolution mode, it is necessary to change the fingerprint size and change the image magnification. Changing the scanning width can be achieved by adjusting the deflection sensitivity per bit of the deflector of the electrostatic deflector 7 and the ExB splitter 4518. However, if the scanning width is reduced from the standard mode, a scanning gap occurs between the scanning of the respective electron lines of the plurality of electron lines. Further, the interval between the electron line image interval and the detector in the secondary optical system becomes inconsistent. The scanning gap between the electron lines can be changed by the zooming action of the third opening plate 45^ to the test piece S by the electrostatic lens 4516 and the electrostatic objective lens 4519 1 to change the size corresponding to the size of the element. has been solved. The hungry condition for the image of the overlapping image C1 on the principal surface of the objective lens 4519 is that only the standard mode is satisfied, and the high resolution mode is not satisfied. ',,, for the line between the electron line of the secondary optics and the detector of the detector (correction page) 312765 129 1288424 - the countermeasure against the size difference, the position and size of the opening ring 4532 of the secondary optical system The excitation voltage of the electrostatic expansion lens 4533 is changed so as to be fixed so that the chief ray of the secondary electrons emitted from the respective electron lines of the test piece is incident on the small hole of the corresponding second opening plate. That is, the electrostatic expansion lens 4533 of the secondary optical system integrates the focusing conditions of the overlapping magnification with the opening ring 4532. Further, the reduction ratio of the plurality of electron beams is a zooming operation by the electrostatic lens 4516 and the electrostatic counter lens 4519, and the zooming operation is performed, and the enlargement ratio is changed by the electrostatic expansion lenses 4531 and 4533 of the secondary optical system. The size is evaluated for the test piece. Specifically, the relationship between the reduction ratio of the complex electron beam of the primary optical system and the expansion ratio of the electrostatic lens of the secondary optical system is specifically such that the size between the openings (for example, the interval between 4514a and 4514b) in FIG. 46 is 1 mm, once. When the reduction ratio of the complex electron lines of the optical system is 1/100, the interval between the electron lines emitted from the openings 45i4a and 4514b is 1 〇#m. On the other hand, if the expansion ratio of the secondary optical system is 500 times, the interval between the openings 453 and 4534b is 5 mm. When the reduction ratio of the complex electron beam of the primary optical system is 1/2 ,, the expansion ratio of the secondary optical system is changed to 500 χ 2 = 〇 00 times, and the interval between the openings 4534 &amp; 4534b is 5 mm, and the opening 4534a and The interval of 4534b can be detected by secondary electrons without changing. An advantage of this feature is that the electron beam size, the electron beam current, and the scanning width can be changed by changing the reduction ratio of the complex electron beams by the primary optical system. This makes it possible to carry out evaluations with low productivity but high resolution, and evaluations with low decomposition energy but high productivity. Further, in a mode in which the productivity is high but the resolution is low, an overlapping image is formed on the main surface of the objective lens. Specifically, for example, for a resolution of (correction page) 312765 130 1288424 ^ 22 is a (one) minute ... mode and resolution is 1. - A device with a productivity of 33 seconds/em mode, the former mode being placed on the main surface of the objective lens. 1、Electrical device according to the seventeenth embodiment (FIG. 46) of the present invention, the manufacturing method of the fourth embodiment and the semi-conducting time of the first embodiment, that is, the third embodiment of the present invention is used for the inspection process of the manufacturing method. For example, when the 、 = method and the defect inspection device are used, the + ¥ body clothing with fine patterns can also be inspected with good productivity. It is possible to check the product to improve the yield of the product and prevent defective products. The exit Ά 0 According to the π-th embodiment (FIG. 46) of the present invention, the following effects can be achieved. (1) Since it is possible to form an image of an arbitrary magnification without a scanning gap, it can be used in the standard mode and the high resolution mode. (2) When the magnification is changed, 'this can roughly correspond to the size of the image and the size of the electron beam. (3) The standard mode can be used for the illumination of the sub-optical system. On the one hand, the deviation of the Keller illumination condition in the = resolution mode red-sub-optical system is small, and the difference does not increase greatly. (4) Since the secondary electrons emitted from the material in the vertical direction of the test piece are provided with open circles at positions crossing the optical axis of the -t light (4), it is also possible to detect the difference between the plurality of electron lines without changing the intensity. Secondary electrons. The electronic line device 5000 of the first embodiment of the present invention (amendment page) 312765 131 1288424 will be described with reference to Figs. 48 and 49. The electron beam device 5000 of Fig. 48 includes a primary electron optical system (hereinafter referred to as "primary optical system") 5010, a secondary electron optical system (hereinafter referred to as "secondary optical system") 5020, and a detection system 5030. The primary optical system is an optical system that generates an electron beam to be irradiated onto the surface of an evaluation object (hereinafter referred to as "test piece") S of a wafer or the like, and includes an electron gun 5011 that emits a primary electron beam, and an electronic wire that is discharged to the electron gun 5011. The condenser lens 5012, the first plurality of aperture plates 5013, the reduction lens 5014, the ExB separator 5015, and the objective lens 5016 are formed with a plurality of openings. These are arranged in the order of the top of the electron gun 5011 as shown in Fig. 48. 5017, 5018 A deflector for scanning the primary electron beam, 5019 is an axisymmetric electrode. The secondary optical system 5020 includes enlarged lenses 5021 and 5022 and a second plurality of aperture plates 5023 arranged along an optical axis inclined to the optical axis of the primary optical system. The detecting unit 5030 includes a detector 503 provided for each opening 5231 of the second plurality of aperture plates 5023, and an imaging forming unit 5033 for connecting the detectors via the amplifier 5032. The structures and functions of the constituent elements of the primary optical system 5010, the secondary optical system 5020, and the detection system 5030 are the same as those of the prior art, and detailed description thereof will be omitted. The opening 5131 of the first plurality of opening plates 5013 is formed corresponding to the opening 5231 of the second plurality of opening plates 5023, and the opening 5131 is shown by a solid line (Fig. 49), which is smaller than the opening 5231 indicated by a broken line. The test piece S is supported by a holding means 5041 of the table apparatus 5040 by a known method. The holding portion 5041 is supported by the XY table 5042 so as to be movable in the orthogonal direction. The electronic wire device 5000 includes a deceleration 132 (correction page) 312765 1288424 voltage application device (hereinafter referred to as an application device) 5050, a charge investigation and deceleration voltage determination system (hereinafter referred to as investigation and determination system) 5060 that is electrically connected to the security unit 5041. The investigation and determination system 5060 includes a monitor 5061 for electrically connecting the image forming unit 5033, an operator 5062 connected to the monitor 5061, and a CPU 5063 connected to the operator 5062. The CPU 5063 supplies signals to the aforementioned applying device 5050 and deflector 5017. Next, the operation of the electronic wire unit 5000 of the eighteenth embodiment will be described. The primary electron beam emitted by the electron gun 5011 is overlapped by the condenser lens 5012 at a point P1 to form an overlap. The plurality of electron lines are formed by the plurality of openings 5131 through the electron lines passing through the openings 5131 of the first plurality of opening plates 5013. The primary electron line formed by the first opening plate 5013 is reduced by the reduction lens 5014 and projected at the point P2. After focusing at point P2, it is focused on the surface of the test piece S by the objective lens 5016. The plurality of electronic wires are subjected to scanning by the deflector 5018 provided between the reduction lens 5014 and the objective lens 5016 while scanning the test piece surface. In order to eliminate the influence of the curvature reduction of the reduction lens 5014 and the object lens 5016, the plurality of openings 5131 and 5232 of the plurality of aperture plates 5013 and 5023 are provided on the circumference of the optical axis of each optical system, and the X direction thereof The distance Lx between the adjacent projections is equal to that shown in Fig. 49. The primary electron beam of the plurality of focusing is irradiated onto the test piece S, and the secondary electrons emitted by the plurality of points of the irradiation are attracted by the electric field of the objective lens 5016, and are deflected by the ExB separator 5015 to be incident on the secondary optical system. 5020. The secondary electron line is closer to the point P3 of the object lens than the point P2. It is because the primary electron beam has a weight of 500 volts on the surface of the test piece and the secondary power 133 (correction page) 312765 1288424 is only a few eV of energy. The secondary electron beam is enlarged by the lens 5〇21 and the plurality of gates of the slab 5G23 are passed through the second detector 503. The detectors 5031 are detected by the detectors of the Rmi object lines, which are set in the respective openings. Each test ^ ^ i : The detected secondary electrons are converted into electrical 1 表示 indicating their strength. From each of the detector wheels, the name of the device is increased, and the corresponding amplifier 5032 is amplified and the input image forming unit 5〇'33 is input. For the formation of the neighboring image, the / / ± ± ± 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 欠 ± 欠 欠 欠 欠 ± 欠 欠 ± 欠 ±昼 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 The figure of the map is opened. The appropriate correction can be used to map the material line. No. In the test: the opening of the opening of the opening plate 5013 of the two ^1 - sub-electronic line focusing \ released by the piece s The secondary electron junction is like the detector price. It is especially necessary to consider the effects of the distortion caused by the primary optical system, the difference between the Yang and the non-point of the field of view. The relationship between the spacing between the lines and the secondary optics system 'If the interval between the complex electronic wires is set to be larger than the distance between the secondary optical two, the crosstalk between the complex electronic wires can be eliminated. The image data converted by the part 5033 is displayed by the display device 5061 by the display device and the display device. The processor 5〇62 evaluates the image as the 5062 in the present embodiment to constitute the charge investigation device. Further, operation 134 (correction page) 312765 1288424 TM 5062 investigates the state of charge according to the image. Then the result is input into the cpu 5063, and the pressure is reduced. The voltage is set to the most appropriate value. The CPU constitutes the extinguishing voltage determining means in the present embodiment. Fig. 50A is an explanatory diagram showing the evaluation position and evaluation method of charging. The memory unit (cell) of the negative film 5100 is 51. 2 The outer peripheral portion is a low-density field for the peripheral circuit. The inner side is the memory unit portion and is a high-density shoulder j. Al and A2 are portraits in the realm area, and A3 and A4 are the image of the memory unit unit. The two-point lock line and the broken line in Fig. 5A show the boundary where the density changes greatly. Specifically, the position that is most susceptible to the charge of the evaluated test piece is formed as shown in Fig. 50A. The corner portion of the memory unit 51〇1 of the wafer 5100 on the wafer surface of the test piece is evaluated. That is, (1) the amount of pattern distortion of the memory unit boundary 5102 is measured for the corner portion 51〇3, 51〇4', or (2) in the memory unit The comparison of the signal intensity obtained by scanning the corners across the pattern (as indicated by arrows A1 and A2) is indicated by solid lines 5105 and 5107 in Fig. 50B, and by scanning the arrows at the center of the wafer by arrows A3 and A4. The comparison of the signal strengths 5106 and 51〇8 (indicated by the broken lines in the fifth panel B) is performed by comparison. The voltage of the complex value is applied to the deceleration voltage applying device 5050 and the distortion amount 5103 and 5104 is measured or compared. 5105, 5107, and 5106, 5108, and when the distortion amounts 5103 and 51〇4 are small, the influence of charging is small. The contrast value of the corners is 51〇5, 51〇7 is closer to the central part. The time is evaluated as being less affected by charging. When the deceleration voltage with a good charge state is detected, its value is supplied to the application device 5050' via 135 (correction page) 312765 1288424: CPU 5063, and the evaluation is performed on the wafer. Further, it is also possible to reduce the electron beam current in the test piece in which the charging is reduced by reducing the electric current. As described above, since the image of the vicinity of the boundary where the pattern density of the test piece is largely changed is changed, the effect of charging is apparent from the image, and thus the charged state is easily evaluated, thereby making it easy to measure the decelerating voltage which is less likely to generate electricity. The electron beam device 5 of the eighteenth embodiment (Fig. 48) of the present invention can be suitably used in the method of manufacturing the semiconductor device of Figs. 12 and 13. In other words, when the electronic wire device of the eighteenth embodiment of the present invention is used in the inspection process of the manufacturing method, the semiconductor device having the fine pattern can be inspected with good productivity, and it is possible to inspect all the products. It can improve the yield of defective products and prevent the shipment of defective products. According to the electronic wire device 5 of the eighteenth embodiment (Fig. 48) of the present invention, the following effects can be obtained. (1) Productivity can reach a multiple of the number of electronic lines, which is several times higher. (2) Since the wafer is evaluated in the state where the state of charge is the smallest, the reliability is good. (3) The charging performance is measured by various currents, and the actual image is evaluated. Therefore, a more accurate evaluation result can be obtained. Fig. 51 is a view showing the ExB separator 6〇2〇 of the μth embodiment of the present invention. The ExB separator 6〇2〇 is composed of an electrostatic deflector and an electromagnetic deflector, and Fig. 51 is a cross-sectional view on the y-y plane of the orthogonal optical axis (the axis perpendicular to the plane of the drawing: 2 axes). The X-axis direction is orthogonal to the y-axis direction. The electrostatic deflector has a pair of electrodes disposed in the vacuum vessel (electrostatic bias 136 (correction page) 312765 1288424 ^electrode '1 ' is used to generate an electric field E in the direction of the x-axis. The electrostatic bias is reversed through the insulation 6001. The distance J3 between the electrodes of the vacuum chamber 3 installed in the vacuum chamber is set to be smaller than the length 2L of the axial direction of the electrostatic deflection electrode. From the above setting, the range in which the electric field intensity formed around the z-axis is the same is relatively large, but ideally, for example, d &lt;l, the larger electric field strength can be obtained in the same range. That is, the D/2 range of the end line of the ytterbium electrode has different electric field strengths, and the field in which the electric field strength is substantially the same is the 湏 field of D which removes the central portion of the different end regions. Therefore, in order to have an area in which the electric field strength is the same, it is also necessary to make 2L&gt;D, and set l&gt;D to be larger in the field of the same electric field strength. An electromagnetic biasing force for generating a magnetic field M in the y-axis direction is provided on the outer side of the vacuum wall 6003. The electromagnetic deflector includes electromagnetic coils 6〇〇4 and 6〇〇5, and each of the coils generates a magnetic field in the X-axis direction and the y-axis direction. Further, the magnetic field μ in the x-axis direction can be generated by only the coil 6005. However, in order to increase the orthogonality between the electric field e and the magnetic field ,, a coil 6〇〇4 which generates a magnetic field in the X-axis direction is provided. That is, the magnetic field component in the x-axis direction generated by the coil 6004 cancels the magnetic field in the x-axis direction generated by the coil 6〇〇5, so that the orthogonality between the electric field and the magnetic field is good. The coils 6〇〇4 and 6005 for generating the magnetic field are provided in the vacuum container, and the two divided portions are attached to both sides of the vacuum wall 6〇〇3, and the portion 6007 is fixed by screws or the like. . The outermost layer 6〇〇6 of the tantalum separator is composed of an iron-nickel alloy (permaH〇y) or a yoke made of ferrite. The outermost layer 6006 is divided into two in the same manner as the coils 6004 and 6005, and is attached to the outer side of the coil 6005 from both sides, and the portion 6007 is fixed by screws or the like and integrated. 137 (Revision page) 312765 1288424 Fig. 52 is a cross-sectional view showing the Εχβ separator 6〇4〇 of the twentieth embodiment of the present invention orthogonal to the optical axis (Ζ axis). Fig. 52 is a splitter 6〇4〇. The electrostatic deflecting electrode 6001 is provided with six poles different from the helium separator of the nineteenth embodiment shown in Fig. 5. For the electrostatic deflection electrodes 6 , when the angle between the center of each electrode and the optical axis (two axes) and the electric field direction &amp; axial direction is ^=0, Bu 2, 3, 4, 5) , is the supply voltage proportional to _ ^ voltage k · C0S ^ (k is a constant). Any angle. The twentieth embodiment shown in Fig. 52 is similar to the ninth embodiment, and only the electric field X in the X-axis direction is generated. Therefore, the coils 6004 and 6005 which generate the magnetic field in the y-axis direction are corrected to correct the degree of orthogonality. According to the second embodiment, the field of the electric field strength is the same as that of the nineteenth embodiment shown in Fig. 51. In the ΕχΒ separator of the 19th and 2nd embodiments shown in Figs. 51 and 52, the coil for generating the magnetic field is formed in a saddle shape, but a loop-shaped coil may be formed. Fig. 53 is a schematic view showing an electron beam apparatus 6000 (defect inspection apparatus) according to a twenty-first embodiment of the present invention in which a primary electron beam and a secondary electron beam are separated by using the Εχβ separator of the 20th and 21st embodiments. As shown in Fig. 53, the electron beam discharged from the electron gun 6021 is converged by the capacitor lens 6〇22, and overlaps at a point 6024. Below the capacitor lens 6022, an i-th complex opening plate 6023 having a plurality of openings is formed, and a plurality of primary electron beams are formed therefrom. Each of the plurality of formed electron lines is reduced by the reduction lens 6 〇 25 and projected at a point 6 〇 35. After focusing at point 6035, the objective lens 6〇27 is focused on the wafer 6028 of the test piece. The plurality of primary electron lines from the first plurality of aperture plates 6〇23 are subjected to a deflection of 138 (correction page) 312765 1288424 to a deflector 6039 disposed between the reduction lens 6025 and the objective lens 6027 while simultaneously performing the wafer 6028 surface. scanning. In order to prevent the curvature reduction of the reduction lens 6025 and the objective lens 6027 from occurring, the first plurality of aperture plates 6023 are as shown in FIG. 53B, and a plurality of small openings are provided on the circumference, which are on the X-axis. The points of projection are at equal intervals. The plurality of focused primary electron beams are a plurality of points irradiating the wafer 6028, and the secondary electron beams emitted from the plurality of irradiated points are attracted by the electric field of the objective lens 6027 to be bundled into a thin line, which is deflected by the ExB separator 6026. Into the secondary optics. The image formed by the secondary electron beam is the junction 6036 closer to the object lens 6027 than the point 6035. The reason is that the plurality of electron lines each have about 500 eV of energy on the surface of the wafer 6028, while the secondary electron line has only a few eV of energy. The secondary optics includes enlarged lenses 6029 and 6030, and the secondary electron beam junction of the lens is enlarged to form a plurality of openings in the second plurality of aperture plates 6031. It is then detected by the complex detector 6032 through the openings. The plurality of openings of the second plurality of aperture plates 6031 before the detector 6032 and the plurality of openings of the first plurality of aperture plates 6023 have a one-to-one configuration as shown in Fig. 52B. Detector 6023 converts the received secondary electron beam into an electrical signal indicative of its intensity. The electric signal from each detector 6032 is amplified by an amplifier 6033 and converted into image data by the imaging processing device 6034. The image processing means 6034 is supplied with a scanning signal for deflecting the primary electron line by the deflector 6039, whereby the imaging processing means 6034 acquires the image data indicating the artifact of the surface of the wafer 6028. 139 (Revision page) 312765 1288424; Comparing the obtained imaging data with a standard pattern to inspect the defects of the wafer 6028', and by overlapping the images, the evaluated pattern on the wafer 6028 is moved closer to the optical axis of the optical system. Scanning can take out the line evaluation signal and perform appropriate calibration to determine the pattern line width of the wafer 6〇28. Preferably, an electron beam passing through the opening of the first plurality of aperture plates 6023 is focused on the surface of the wafer 6028, and the secondary electron beam emitted from the wafer 6028 is tied to the second plurality of aperture plates 6031 for detection. It is considered that the effects of the distortion caused by the primary optical system and the secondary optical system, the curvature of field, and the non-point of the visual field are minimized. By setting the minimum value of the irradiation position interval of the plurality of electron lines at a distance larger than the difference of the secondary optical system, the crosstalk between the plurality of electronic lines can be eliminated. According to the nineteenth embodiment of the present invention, the ExB separator 6020 generates an electric field for the electrode using one of the electrostatic deflectors, and forms a parallel plate-type electrode having a larger interval between the electrodes than the length in the direction perpendicular to the optical axis. The field around the shaft that produces the same intensity and is parallel to the electric field is wider. Further, in the ExB separator according to the 19th and 20th embodiments, the electromagnetic biasing device uses a saddle coil, and the angle viewed by the optical axial coil is set to 2 7 Γ / 3 on one side without generating a 3 Θ component. This makes the field of the magnetic field that produces the same intensity around the optical axis and becomes parallel. Further, since the magnetic field is generated by the electromagnetic coil, the weight of the coil can be biased toward the current, thereby providing a scanning function. The ExB separators of the 19th and 20th embodiments are composed of a combination of an electrostatic deflector and an electromagnetic deflector, so that the difference between the electrostatic deflector and the lens system can be calculated, and the electromagnetic deflector and the lens system can be calculated separately. By collecting the difference, the difference between the two collections can be obtained by the optical system. (Revision page) 312765 140 1288424 - 广 The following describes a 'charged electronic wire device 7000 according to a twenty-second embodiment of the present invention with reference to Figs. 55 and 56. "Vacuum" in this embodiment means a so-called vacuum in the art. According to the charged electron beam device 7000 of Fig. 55, the charged electron beam irradiation portion 7002 which is the tip end portion of the lens barrel 7001 which irradiates the test piece with the charged electron beam is attached to the casing 7014 which forms the vacuum chamber C. A test piece S placed on a movable table in the X direction (the left-right direction in Fig. 55) of the XY stage 7003 is disposed directly below the lens barrel 7001. The test piece S is accurately irradiated with a charged electron beam at any position on the surface by a high-precision XY stage 7003. The pedestal 7006 of the XY stage 7003 is fixed to the bottom wall of the casing 7014, and is provided with a table 7005 that moves in the Y direction (the direction perpendicular to the paper in Fig. 55). The two side faces of the Y stage 7005 (the left and right sides in FIG. 55) are recessed in the Y-facing side of one of the Y-direction guide portions 7007a and 7007b placed on the pedestal 7006, and are formed therein. Protruding from the protrusions in the groove. The groove is provided to extend in the Y direction for the entire length of the Y-direction guide. Hydrostatic bearings 7011a, 7009a, 7010a, 7011b, 7009b, &amp; 7010b of a known construction are provided on the upper, lower and side surfaces of the projections protruding in the recesses. The high-pressure gas is blown through the hydrostatic bearing so that the Y stage 7005 is non-contacted to the Y-direction guides 7007a and 7007b, and can smoothly reciprocate in the Y direction. A well-known linear motor (1 inearm motor) 7012 is provided between the pedestal 7006 and the Y stage 7005, and is driven in the Y direction. The Y stage supplies high-pressure gas via a flexible tube 7022 for high-pressure supply, and a static pressure 141 (correction page) 312765 1288424 bearings 7009a to 70113 and 7〇 through a gas passage (not shown) formed in the Y stage. 〇91) to 7〇nb supply high pressure gas. The high-pressure gas supplied to the hydrostatic bearing is sprayed to a gap of several micrometers to several tens of micrometers formed between the surface of the Y-direction guide portion to achieve the Y-direction to the guide surface in the X direction. And the Z direction (up and down direction in Fig. 55) is the correct positioning function. In the Y work, the X-stage 70 04 is a y-direction guide 7007a for moving the β γ table 7〇〇5 and the γ table in the X direction (the left and right direction in Fig. 55). 7〇〇7b The same structure is completed. 7 to 4 are provided with a pair of X-direction guides 7008a and 7〇〇8b (only 7〇〇8a is shown). A groove is formed in the side of the guide surface of the j-direction guide portion on the X-stage, and a convex portion that protrudes into the groove is formed in a side portion of the boring table (on the side facing the X-direction guide portion). The groove extends approximately the entire length of the X-direction guide. The same arrangement as the static bearing 7011a, 7009a, 701〇a, 7011b, 7_b, 7〇1〇b is provided on the upper, lower and side surfaces of the convex portion of the X-direction table 7_ projecting in the convex groove. Press dry bearing (not shown). A linear motor 7013 having a known structure is provided between the gamma table 5 and the boring table 4, and is driven in the χ direction. The pumping station 7004 supplies high-pressure gas from the hose 7021, and supplies high-pressure gas to the static wire. Since the high-pressure gas is ejected from the guide surface of the X-direction guide portion by the static wire, the boring table 7_ can be supported by the directional guide portion with high precision and non-contact. The vacuum chamber C is opened by a vacuum pipe 7〇19, 7〇2〇a, 7〇 connected to a vacuum pump of a known structure, and is placed on the upper side of the position where the high-pressure gas is discharged from the GGι 7GG3. This tries to prevent the pressure in the vacuum chamber from rising due to the high pressure (JL page) 312765 142 1288424 from the static slave. A differential exhaust mechanism 7025 is provided around the front end of the lens barrel 7001, that is, the charged electron beam irradiation unit 7002, so that the charged electron beam irradiation space 7030 can maintain a very low pressure when the inside of the vacuum chamber C is at a high pressure. That is, the annular portion 7026 of the differential exhaust mechanism 7025 installed around the charged electron beam irradiation portion 7002 forms a minute gap (a few micrometers to several hundreds of micrometers) between the underside (the surface on the side of the test piece S) and the test piece. 7040, the housing 7014 is positioned, and an annular groove 7027 is formed on the lower surface thereof. The annular groove 7027 is connected to a vacuum pump (not shown) by an exhaust pipe 7028. Therefore, the minute gap 7040 is exhausted through the annular groove 7027 and the exhaust port 7028, and when gas molecules are to be intruded from the vacuum chamber C to the space 7030 surrounded by the annular portion 7026, they are also exhausted. Thereby, the charged electron beam irradiation space 7030 can be kept at a low pressure, so that the charged electron beam can be irradiated without any problem. The annular groove can form a double structure or three configurations according to the pressure in the chamber and the pressure in the charged electron irradiation space 7030. The high pressure gas supplied to the hydrostatic bearing is generally dry nitrogen. If possible, it is best to use a higher purity inert gas. If impurities such as moisture or oil are contained in the gas, the impurities are attached to the inner surface of the casing forming the vacuum chamber and the surface of the workpiece forming part to deteriorate the degree of vacuum, and are attached to the surface of the test piece to be charged. The degree of vacuum of the electron beam irradiation space is deteriorated. The test piece S is usually not placed directly on the X stage, but is placed on a test piece that has a function of changing the position of the XY table 7003 by being held in a detachable position on the XY stage 7003. The presence or absence and construction thereof are not the gist of the present invention 143 (amendment) 312765 1288424 - and the description thereof is hereby incorporated. Since the charging electron beam device 7000 can directly use the table mechanism of the hydrostatic bearing used in the atmosphere, it is possible to use the same high-precision XY table for the high-precision stage used in the atmosphere such as the exposure device. The cost and size of the XY work station for the charged electron beam device. The structure and arrangement of the static pressure guiding portion and the actuator (linear motor) described above are only one embodiment, and any electrostatic guiding portion and actuator that can be used in the atmosphere can be used. Fig. 56 shows an example of numerical values of the size of the annular groove formed in the annular portion 7026 of the differential exhaust portion 7025. The annular portion 7026 of Fig. 56 has annular grooves 7027a and 7027b of a double structure in which the radial direction is spaced apart, and each of them is for discharging TMP and DP. The flow rate of the high-pressure gas supplied to the hydrostatic bearing is usually about 20 L/min (at atmospheric pressure). It is assumed that the pressure in the vacuum chamber is about 160 Pa (about 1.2 Torr) when the vacuum chamber C is evacuated by a dry pump having an inner diameter of 50 mm and a length of 2 m and an exhaust speed of 2000 OL/min. At this time, the size of the annular portion 7026 and the annular groove of the differential venting mechanism portion is as shown in Fig. 56, and the pressure in the charged electron beam irradiation space 7030 can be 10 - 4 Pa (1 (T6 Torr). Fig. 57 is a view showing a charging electron beam device 7000 according to a twenty-third embodiment of the present invention. The vacuum chamber C formed by the casing 7014 is connected to the dry vacuum pump 7053 via the vacuum pipes 7074 and 7075. The ring of the differential exhaust mechanism 7025 The groove 7027 is connected to the exhaust port 7028 via a vacuum pipe 7070 to be connected to the ultra high vacuum pump turbo molecular pump 7051. In the lens barrel 7001 144 (revision page) 312765 1288424 - the vacuum is connected to the exhaust port 7018. The pipe 7071 is connected to the turbo molecular pump 7052. The turbine molecular pumps 7051 and 7052 are connected to the dry vacuum pump 7053 by vacuum piping 7〇72, 7〇73. The turbo molecular pump in the charged electron beam device 7000 of Fig. 57 The vacuum pump for the rough pump and the vacuum chamber is used as a dry vacuum pump. 'But the flow rate of the high pressure gas supplied to the hydrostatic bearing of the χγ table, the volume of the vacuum chamber, and the inside can also be considered. Surface area, inner diameter and length of vacuum piping, etc. The dry vacuum pump of the other system is used for exhausting. The static pressure bearing of the XY stage 7003 supplies high-purity inert gas (N2 gas, Ar gas, etc.) through the hoses 7021 and 7022. The gas ejected by the hydrostatic bearing The molecules are diffused in the vacuum chamber, and are exhausted by the dry vacuum pump 7053 through the exhaust ports 7019, 7020a, 7020b. The special gas molecules that invade the differential exhaust mechanism and the f electron beam irradiation space are from the ring sample 7 〇 2 7 or the same as the end of the 7001 is attracted 'exhaust through the exhaust ports γ 〇 28 and 7 〇 18 by the turbo molecular pump 7051 and 7052, discharged by the turbine molecular fruit and then exhausted by the dry vacuum pump 7053. The high-purity inert gas supplied to the hydrostatic bearing is collected and discharged by the dry vacuum pump. On the one hand, the exhaust port of the dry vacuum pump 7053 is connected to the compressor 7054 via the pipe 7〇76, and the exhaust port of the compressor 7054 is connected via the pipe 7 〇77, 7〇78, 7079 and regulators (regUiat〇r) 7061, 7062 are connected to hoses 7〇21, 7022. Therefore, the high-purity inert gas discharged by dry vacuum pump 7053 is repressurized by compressor 7054 and Adjusted to the appropriate pressure by the voltage regulators 7061, 7062 After that, it is supplied to the hydrostatic bearing of the χγ台. (Revision page) 312765 145 1288424 Gas supplied to the hydrostatic bearing, as described above, is required to be as high-purity as possible without moisture and oil, so the turbomolecular pump The dry pump and the compressor are required to have a structure in which no water and oil are mixed in the gas flow path, and a cold interceptor and a filter 7076 are provided on the way of the discharge side pipe 7077 of the compressor to intercept the water mixed in the circulating gas. It is also effective to supply the static pressure bearing after the impurities such as the oil and the oil. As described above, the high-purity inert gas can be recycled and reused, so that high-purity non-soil gas can be saved, and no inert gas can be discharged from the plant in which the device is installed, regardless of the occurrence of suffocation due to the inert gas. accident. A high-purity inert gas supply source 7063 is connected to the circulation piping system, and when the gas starts to circulate, the entire circulation system of the vacuum chamber C, the vacuum piping 7070 to 7075, and the pressure side piping 7076 to 7080 is filled with a high-purity inert gas. And supplement it when the gas flow in the cycle is reduced for some reason. Further, if the dry vacuum pump 7053 has a function of being compressed to a pressure higher than atmospheric pressure, the dry vacuum pump 7053 and the compressor 7054 can be used by one pump. The ultra-high vacuum pump used for the exhaust of the lens barrel can also use an ion pump and a getter pump instead of the turbo molecular pump. For dry vacuum pumps, other types of dry pumps such as diaphragm dry pumps can also be used. Figure 58 is a diagram showing a charged electronic wire device 7100 of a twenty-fourth embodiment of the present invention. The charging electron beam device 7100 includes an optical system 7160 and a detector 7180 that can be used in the charging electron beam device 7000 of Fig. 57. The optical system 7160 includes a primary optical system 7161 that irradiates a charged electron beam on the test piece S placed on the stage 7103, and a secondary optical system 7171 〇 146 (corrected page) 312765 1288424 in which secondary electrons emitted from the test piece are emitted. The optical system 7161 of Fig. 5 is provided with an electron gun 7162 that discharges the charged electron beam, a lens system 7163 and 7164 formed by a two-stage electrostatic lens that converges the charged electron beam discharged from the electron gun 7162, and a deflector 7165 to make the charged electron The optical axis of the line is a Wien filter that is perpendicular to the surface of the object, that is, the ExB separator 7166, and the lens system 7167, 7168 formed by the two-stage electrostatic lens. These are electrons as shown in Fig. 58. Grab the 7162 as the uppermost part of the optical axis of the charged electron line to the surface of the test piece s (the test piece surface). The vertical line is inclined. The ExB deflector 7166 is provided with an electrode 7661 and a magnet 7662. A human optical aperture 7171 is an optical system that receives secondary electrons emitted from the test piece S, and has a lens system 7172, 7173 formed by a two-stage electrostatic lens disposed on the upper side of the primary optical system deflector 7166. . The detector 718 detects the secondary electrons incident by the secondary optics 7171. The structures and functions of the respective components of the optical system 716A and the detection device 7180 are the same as those of the conventional technology, and the description thereof will be omitted. The charged electron beam discharged from the electron gun 7162 is normalized by the square opening of the electron gun, and then reduced by a lens system 7163 and 7164. The optical axis is adjusted by the polarizer 7165 and the image is formed on the side of the center of the ExB deflector 7166. 1·25mm square. The electric field and the magnetic field in the plane perpendicular to the normal of the member are orthogonal to each other. When the energy relationship between the electric field and the electron meets a predetermined condition, the electron is straight forward, and the electric field is dependent on the electric field. The relationship between the magnetic field and the energy of the electrons is biased; In order to set the direction of the detector 7180 so that the charged electron beam from the electron gun is deflected perpendicularly into the test piece s and the test piece s is discharged (correction page) 312765 147 1288424, the direction of the detector 7180 The formed electron beam is projected to the test piece S by 1/5 reduction by the lens systems 7167 and 7168. The secondary electrons with the image data released from the test piece S are enlarged by the lens systems 7167, 7168, and 7172, 7173, and the secondary electron image is formed by the detector 7180. In the four-stage enlarged lens, the lens systems 7167 and 7168 form a symmetric double lens, and the lens systems 7172 and 7173 also form a symmetric double lens, so that there is no distortion. The charged electron beam device 7000 of Figs. 55 to 58 can be applied to the method of fabricating the semiconductor device of Figs. 12 and 13. In other words, when the charging electron beam device 7000 is used for the wafer inspection step of Fig. 12 or the exposure process of Fig. 13, the inspection or exposure of the fine pattern can be performed with high precision, so that the yield of the product can be improved and the product can be prevented. Shipment of defective products. The following effects can be achieved by the charged electronic wire device 7000 according to Figs. 55 to 58. (1) It is possible to carry out the stability of the test piece on the workbench for the worktable of the same structure of the hydrostatic bearing type that is generally used in the atmosphere (the work platform without the static pressure bearing support of the differential exhaust mechanism) Charged electronic wire processing. (2) It is possible to suppress the influence of the charged electron beam irradiation field on the degree of vacuum to the minimum limit, and to stabilize the treatment of the test piece by the charged electron beam. (3) It is possible to provide a high-precision inspection device with a high degree of vacuum and a vacuum in the field of charged electron beam irradiation at a low cost. (4) It is possible to determine the position of the work station at a low cost and to determine the high-precision and vacuum of the charged electron beam. (5) Since the position of the workbench is determined to be high-precision, and the charged electronic wire illuminator 148 (Revision page) 3Π765 1288424 - The semiconductor in which the vacuum in the field of radiation is made to be stable, a semiconductor circuit can be fabricated. Fig. 59 is a view showing a schematic configuration of an electron beam apparatus 8000 according to a twenty-fifth embodiment of the present invention. As shown, the electron beams discharged from the electron gun 8 001 are converged by the capacitor lens 8002 and overlapped at a point 8004. Below the capacitor lens 8002, a first plurality of opening plates 8003 having a plurality of openings 8003' are formed, and a plurality of primary electron lines are formed therefrom. The primary electron lines formed by the first plurality of aperture plates are each reduced by the reduction lens 8005 at a point 8015, and then focused on the test piece 8008 by the objective lens 8007. The plurality of primary electron beams emitted from the first plurality of aperture plates 8003 are deflected by a deflector disposed between the reduction lens 8005 and the objective lens 8007 to simultaneously scan at different positions on the surface of the test piece 8008. In order to eliminate the influence of the reduction of the curvature of the lens 8005 and the object lens 8007, as shown in FIG. 60, the plurality of openings 8003' on the plurality of aperture plates 8003 are disposed on the same circumference of the plurality of aperture plates 8003. The center projections are equally spaced on the X axis. In the electronic wire device 8000 of the twenty-fifth embodiment of the present invention, the secondary electron beam is discharged from a plurality of points on the test piece 8008 irradiated by the plurality of electron beams, and is attracted by the electric field of the object lens 8007 to be a fine line. The bias of the ExB separator 8006 is incident on the secondary optics. The secondary electron image is closer to point 8016 of object lens 8007 than point 8015. The reason is that each electron beam has 500 eV energy on the test piece surface, while the secondary electron line has only a few eF energy. The secondary optics includes enlarged lenses 8009 and 8010, and the plurality of open-ended detectors are formed by the plurality of open junctions of the second plurality of aperture plates 8〇11 through the enlarged 149 (correction page) 312765 1288424 lenses 8009, 8010. 8012. The positional relationship between the plurality of openings of the second plurality of open plates 8011 provided before the detectors 8 and 12 and the plurality of openings 8003 of the plurality of open plates 8003 is one-to-one correspondence. Each detector 8012 converts the detected secondary electron beam into an electrical signal indicative of its intensity. The electric signals outputted from the respective detectors are amplified by the amplifiers 8013, input to the imaging processing unit 8〇14, and converted into image data. Since the scanning signal for biasing the primary electron beam is input to the imaging processing unit 8014, the imaging processing unit 8014 can display an image indicating the surface of the test piece 8〇〇8. By comparing the image with the standard pattern, the defect of the test piece 8〇〇8 can be inspected, and the image to be measured of the test piece 8008 is moved closer to the optical axis of the optical system by image coincidence, and the line scan is performed to take out the line evaluation signal. The line width of the graphic on the test piece 8008 can be determined by appropriate correction. 'When the primary electron beam passing through the opening of the first plurality of apertured plates 8003 is concentrated on the surface of the test piece, and the secondary electron beam junction detector 8012 is discharged from the test piece, it is particularly necessary to consider The distortion caused by one optical system, the curvature of field and the non-point of view are the least affected. Secondly, the relationship between the interval of the complex-sub-electron lines and the secondary optical system can eliminate the crosstalk between the complex electronic lines by making the interval of the secondary electron lines away from the difference of the secondary optical system. The optical system described above is a state in which a plurality of electron lines are formed by a plurality of openings of an electron beam of a single electron gun. However, a plurality of electrons may be provided, or an electron is trapped in the radio field of the cathode. Fig. 61 shows the analog pattern of the objective lens 8〇〇7 of Fig. 59. (Revision page) 312765 150 1288424 8021 denotes the optical axis, 8022 is 0V (volts) connected to the upper electrode of the lens 8007, 8023 is the center electrode of the objective lens to which the high voltage is applied, and 8024 is the ground voltage. The lower electrode of the lens and the test piece surface 8025 were set at -4000V. 8026, 8027, 8028 are insulator spacers that hold the electrodes. Various changes are made to the position where the overlapping lens 8005 is formed, and the image of the complex electron beam of z=0 mm is focused on the test piece surface 8025 by changing the center electrode of the objective lens, and the difference generated by the time is calculated. . Fig. 62 is a graph showing the results of the above simulation. In Fig. 62, the overlapping position (mm) of the change is the horizontal axis, and the difference value corresponding to the difference is the vertical axis. The center electrode 8023 (Fig. 61) is provided with z = 144 mm. Further, the complex electron beam has an r position of 50 // m and an opening half angle of 5 mrad. In the graph of Fig. 62, the curve 8031 is the image difference, the 8032 is the magnification of the magnification, the 8033 is the non-point difference, the 8034 is the axis color, the 8035 is the image curvature, the 8036 is the distortion, and the 8037 is the blur. degree. When the complex electron line is on the circumference centered on the optical axis, the image plane curvature 8035 is zero, so the blur degree 8037 is substantially determined by the magnification color difference 8032 and the axis color difference 8034. Here, the energy amplitude of the electron gun is set to 5 eV. When the overlap position is set to 140 ,, the magnification color difference 8032 is reduced to a negligible value. That is, according to the above simulation, it is understood that the overlapping position where the front lens is formed is formed closer to the electron gun side than the center electrode position (144 mm) of the alignment lens. The electronic wire device 8000 of the twenty-fifth embodiment of Fig. 59 can be used for wafer evaluation of the semiconductor device manufacturing process of Figs. 12 and 13 . When the electronic wire device of Fig. 59 to Fig. 62 is used for the wafer inspection process of Fig. 12, the semiconductor device having the fine pattern can also be inspected with good productivity 151 (correction page) 3Π765 1288424 Inspection is also possible, so it can improve the yield of products and prevent the shipment of defective products. According to the electronic wire device 8_ of the twenty-fifth embodiment of Fig. 59, the following effects can be achieved. (1) High-yield wafer evaluation can be performed by electronic wires by using a plurality of electronic wires. (2) The color difference of the magnification which becomes a problem when the complex electronic line is increased in radius can be reduced to the extent that it is not a problem. Figure f 64 is a horizontal cross-sectional view showing the detailed structure of the electron beam deflector 90 which can be used in the electronic wire device of the present invention. Figure 65 shows the side of the line A-A of Figure 64. As shown in Fig. 64, the electron beam deflector 9 is a structure in which the electric field and the magnetic field are straight fathers in a plane perpendicular to the optical axis of the photographic projection optical portion, that is, the ExB structure. The electric field E here is generated by the electrodes 9Ga, _ having a concave curved surface. The electrodes 9Qa and the electric field generated by the electrodes are controlled by the control units 93a and 93b. On the one hand, the electrodes 90a and 90b for generating electric field are in an orthogonal state to configure the electromagnetic wires... and (10) to generate magnetic %. The electrodes 9a and 90b for generating electricity are formed into point symmetry (concentric type). In order to increase the uniformity of the magnetic field, pole-pieces having parallel flat plates are formed to form a magnetic circuit. Figure 65 shows the electronic line motion along the longitudinal section of line A_A. The irradiated electron beams 91a and 91b are incident on the surface of the test piece by the electric field generated by the electrode and the electric field and the magnetic field generated by the electromagnetic coils 94 and 91b. The position and angle of the electron beam 91a and 91b to the electron beam deflecting portion 9 (correction page) 312765 152 1288424 = the energy of the electron is determined. Further, each control unit is fine, 9 north ^4a, 94b controls the electric field generated by the electrode, (10), and the magnetic field generated by the electromagnetic coil 91 a, 91 b to make the electric field and the magnetic field condition, then the second = electron is biased toward the electron line The portion 90 is directly incident on the photographic projection optical portion. The above v is the velocity of electrons (m/s), B is the magnetic field (7), and ^ is the amount of charge (c) 'E is the electric field (v/m). Fig. 66 is a plan view showing the method of irradiating the primary electron beam of the present invention. As shown in Fig. 66, the primary electron line 1 is formed by four electronic lines 1 , 102, 103, and 104. Each electronic line scans a 5 Mm amplitude. · When the primary electronic line 1〇1 is taken as an example, the primary electronic line ι〇ι is initially at the left end, and is scanned to the right end on the substrate W (test piece) having the pattern 107, and immediately reaches the right end and then quickly returns to the left end, and then thereafter Scan in the right direction. The moving direction of the stage on which the substrate w is placed is approximately perpendicular to the scanning direction of the primary electron line. BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 is a perspective view showing the main configuration of an inspection apparatus according to a first embodiment of the present invention, as seen along line A-A of Fig. 2. The second chart is not a plan view of the main structure of the inspection apparatus of Fig. 1, and is shown along the β-Β line of Fig. 1. Figure 3 shows the edge of the small-environment space device of Figure 1 (:-(;: a cross-sectional view of the line, Figure 3 shows a side view of another form of small-scale environmental space device. Figure 4 shows the load room of the brother 1 , which is seen along the d-d line of Figure 1. 'Fig. 5 and Fig. 5 show an enlarged view of the rack. The μ 153 (correction page) 312765 1288424 is a side view, and the 5B is a side view. The cross-sectional view 1 and the sixth and sixth FIGS. 6A and 6B of the E_E line in Fig. 5A show a second modification of the method of supporting the main casing. Fig. 7 is a view showing the second embodiment of the present invention used in the inspection apparatus of Fig. 1. A schematic configuration diagram of an electro-optical device. Fig. 8 is a view showing an opening positional relationship of a plurality of open plates used in an optical system of the electro-optical device of Fig. 7. Fig. 10A diagram of a potential application mechanism. 10B is a view for explaining an electronic wire calibration mechanism, FIG. 10A is a side view, and FIG. 1B is a plan view. FIG. 11 is a schematic view showing a crystal excavation controller device. FIG. 12 is a view showing the present invention. Flowchart of one embodiment of a semiconductor device manufacturing method Fig. 13 is a flow chart showing a photolithography process at the core of the wafer manufacturing process of Fig. 12. Fig. 14A is a schematic view showing the optical system of the electronic wire device according to the third embodiment of the present invention. Fig. 15 is a view showing an enlarged view of an image formed by a plurality of electron lines. Fig. 15 is a view showing a secondary optical system and an opening angle according to a third embodiment of the present invention. Fig. 16 is a view showing a difference between the surface of the test piece and the opening half angle ai Figure 17 is a top view of a complex emitter, and Figure 17 is a cross-sectional view along line 17 of the line. 154 (Revision page) 312765 1288424 The 18A and 18B diagrams show the vacuum chamber of a conventional charged electronic line device. And XY table, Fig. 18A is a front view, and Fig. 18B is a side view. Fig. 19 is a schematic perspective view of an exhaust mechanism used in the XY table of Figs. 18A and 18B. Fig. 20A and 20B The front view and the side view of the vacuum chamber and the XY table of the charged electron beam device according to the fourth embodiment of the present invention are shown in Fig. 21. Fig. 21 is a view showing the vacuum chamber and the XY table of the charged electron beam device according to the fifth embodiment of the present invention. Figure 22 shows the sixth embodiment of the present invention. FIG. 23 shows a vacuum chamber and an XY station of the charged electronic line device according to the seventh embodiment of the present invention. The second figure shows the eighth implementation of the present invention. Example of the charged electron beam device vacuum chamber and XY station. Fig. 25 is a schematic view showing an optical system and a detection system according to a ninth embodiment of the present invention provided in the lens barrel of the embodiment of Figs. 18 to 24. Fig. 26 is a view showing a schematic configuration of a defect inspection device according to a first embodiment of the present invention. Fig. 27 is a view showing an example of a plurality of inspection images and reference images obtained by the defect inspection device of Fig. 26. Fig. 28 is a flow chart showing the main routine for performing wafer inspection by the defect inspection device of Fig. 26. Fig. 29 is a detailed flowchart showing the sub-step of the plurality of inspected image data obtaining steps (step 3304) in the flowchart of Fig. 28. 155 (Revision page) 312765 1288424: Fig. 30 shows a detailed flowchart of the sub-step of the comparison process (step 3308) of Fig. 28. Fig. 31 is a view showing a specific configuration example of the detector of the defect inspection device of Fig. 26. Fig. 32 shows a plurality of inspected fields which are partially overlapped on the surface of the semiconductor wafer and are mutually offset by a conceptual representation. Figure 33 is a view showing the configuration of a scanning type electron beam device constituting the defect inspection device of the eleventh embodiment of the present invention. Fig. 34 is a view showing the arrangement of the main components of the electronic wire device of the twelfth embodiment of the invention. Fig. 35A is a top plan view of the opening plate of the device of Fig. 34, and Fig. 35B and Fig. 35C are plan views showing the opening arrangement. Fig. 36 is a view showing the arrangement of the primary electron beam irradiation dots formed on the surface of the test piece by the electron beam device of Fig. 34; Figure 37 is a schematic view showing the configuration of an electronic wire device according to a thirteenth embodiment of the present invention. Fig. 38 is a schematic plan view showing an optical system of the electronic wire device of the first embodiment of the present invention. Fig. 39 is a plan view showing an example of a plate in which a plurality of openings are used in the electronic wire device of Fig. 38. Fig. 40 is a plan view showing an example of a detector opening plate used in the electronic wire device of Fig. 38. Fig. 41A and Fig. 41B are views showing another example of the panel of the plurality of openings for use in the electronic wire of Fig. 38. (Revision page) 312765 156 I288424 • ^ Fig. 42 is a view showing the optical system configuration of the electronic wire device according to the fifteenth embodiment of the present invention. Fig. 43 is a plan view showing the state in which the optical system of the electron beam device of Fig. 42 is arranged in parallel in two rows and in parallel. * Fig. 44A is a view showing the outline of an electronic wire device according to a sixteenth embodiment of the present invention;

配置圖,第44B圖為複數開口板之開口的俯視圖,第44C 圖為對於對物透鏡施加電壓之構造的配置圖。 第45A圖表示施加於對物透鏡之電壓與電氣訊號之上 升巾田的圖表,第45B圖表示電氣訊號之上升幅的說明圖。# 第46圖表示本發明第17實施例之電子線裝置之光學 系概略配置圖。 第47圖表示本發明第16圖之電子線裝置之第i開口 板及於第2開口板之各開口俯視圖。 第48圖表示本發明第18實施例之電子線裝置概略配 置圖。 第49圖表示第48圖之電子線裝置之一次光學系使用 之複數開口之板的開口位置關係俯視圖。 第50A圖表示充電之評價部位與評價方法的說明圖, 第50B圖表示比較訊號強度對比圖。 第51圖表示本發明第19實施例之Εχβ分離器之與光 軸直交的剖面圖。 第52圖表示本發明第20實施例之Εχβ分離器之與光 軸直交的剖面圖。 第53Α圖表示可用於第51圖或第52圖之ΕχΒ分離器 (修正頁)312765 157 1288424 :之本發明第21實施例之晶圓的缺陷檢查裝置概略配置 圖,第53B阖表示複數開口板的位置關係。 第54圖表示習用例之ExB能量過濾器的構成說明圖。 第55圖表示本發明第22實施例之帶電電子線裝置之 真空容室及XY工台剖視圖。 第56圖表示第55圖之帶電電子線裝置所設之動作排 氣機構之一例。 第57圖表示本發明第23實施例之第55圖之帶電電子 線裝置之氣體循環配管系。 第58圖表示本發明第24實施例之帶電電子線裝置之 光學系及檢測糸概略配置圖。 第59圖表示本發明第25實施例之電子線裝置概略配 置圖。 第60圖表示第58圖之電子線裝置所用開口板的俯視 圖。 第61圖表示本發明之電子線裝置對物透鏡之模擬圖。 第62圖表示第61圖之模擬結果圖表。 第63圖表示檢查順序的檢查流程圖。 第64圖表示電子線偏向器之俯視剖面圖。 弟6 5圖表不電子線偏向斋之電子線的偏向狀態側面 圖。 第66圖表示本發明之一次電子線照射方法的說明用 俯視圖。_ 第67圖表示檢查順序之檢查流程圖。 158 (修正頁)312765 1288424 【主要元件符號說明】 1 半導體檢查裝置 10 卡匣保持器 11 升降台 12,615 升降機構 20 小型環境室 21 小型環境空間 22, 2008, 7014 殼體 23 氣體循環裝置 24 基板搬運箱 25 預調整器 27, 45, 46 ,快門裝置 30 主殼體 31 工作容室 32, 32a,32b,43 殼體本體 33, 33a,33b殼體支持裝置 36, 36b 框 37, 37b 防振裝置 40 裝载殼體 40b 裝載室 41 第1裝載室 42 第2裝載室 47 晶圓架 49b 吊下部 50, 2003, 2007, 3004, 4420, 5040, 7005, 7103 工台 50a, 50b,50e,50f,60a,60b,60f 距離 51 固定台 53,7004 X工台 54 旋轉台 55,5041 保持部 60 裝載器 63 弟2搬運部 70 電子光學裝置 71,2001,4426, 7001 鏡筒 72, 2061,4310, 4510, 5010, 7161 —次電子光學系 74, 2071,4009, 4330, 4530, 5020, 7171 二次電子光學系 7 6,5 0 3 0檢測糸 81 預充電部 83 電位施加機構 85 電子線校準機構 87 調整控制裝置 (修正頁)312765 159 1288424 _ 90a,90b,2661,4322, 6001,7661 電極 91a,91b,6004, 6005 電磁線圈 93a,93b,3016 控制部 101,102, 103, 104 電子線 107 圖形 221,322, 432 頂壁 222, 301,321,321a,321b,431 底壁 223, 323, 433 周壁 225, 226, 325, 435, 436, 437 出入口 231 氣體供給部 232 回收管 233,243,244 導管 241 吸入口 242 吹風機 271,451 密封材 272, 452, 461 門 273,453 驅動裝置 331,336, 336b框構造體 331a 矩形鋼板 337b 縱框 434 間隔壁 471 基板 472 支柱 473, 474, 2029 支持部 501 箱本體 502 基板搬出入門 503 蓋體 505 ULPA過濾器 506 化學過濾器 507 風扇馬達 521,531 伺服馬達 522,532 編碼器 541 载置面 551 晶圓載置面 611 驅動部 612 第1搬運部 613 軸 616 把持裝置 721,2062, 3001,3061,4001,4101,4201,4311,4401,4511,5011, 6021,7162, 8001 電子槍 160 (修正頁)3Π765 1288424 722,1004, 3062, 4002, 4103, 4202, 4402, 5012, 6022, 8002 電容器透鏡 723a,743a,4004, 4014, 4051,4052, 4061,4062, 4105a〜4105d,4123a〜 4123d, 4204, 4221 〜4224, 4433, 4443, 5131,5231,5232, 8003’ 開 口 723, 4003, 4050, 4060, 4105, 4107, 4123, 4203, 4211,4313, 4332, 4403, 4411,4514, 4534, 5013, 5023, 6023, 6031,8003, 8011 開口板 724, 3065, 4005, 4205, 4405, 5014, 6025, 8005 縮小透鏡 725,1009, 3066, 4006, 4115, 4206, 4317, 4320, 4406, 4518, 5015, 6020, 6026, 6040, 7167, 7168, 8006 ExB 分離器 726,1008, 3010, 3067, 4007, 4207, 4407, 5016, 6027, 8007 對物透鏡 727, 2065, 3080, 4012, 4013, 4117, 4121,4417, 4419, 5017, 5018, 6039, 7165偏向器 741,742, 3069, 3070, 4119, 4209, 4210, 4409, 4410, 5021,5022, 6029, 6030, 8009, 8010 擴大透鏡 743 檢測板 761,1014, 1015, 1016, 2080, 3007, 3072, 4212, 5031,6032, 7180, 8012 檢測器Fig. 44B is a plan view showing an opening of a plurality of aperture plates, and Fig. 44C is a configuration diagram of a structure for applying a voltage to an objective lens. Fig. 45A is a graph showing the voltage applied to the objective lens and the electric signal above the towel field, and Fig. 45B is an explanatory view showing the rising amplitude of the electrical signal. Fig. 46 is a view showing a schematic configuration of an optical system of an electronic wire device according to a seventeenth embodiment of the present invention. Fig. 47 is a plan view showing the opening of the i-th opening plate and the opening of the second opening plate of the electronic wire device of Fig. 16; Fig. 48 is a view showing the schematic configuration of an electronic wire device according to an eighteenth embodiment of the present invention. Fig. 49 is a plan view showing the positional relationship of the opening of the plate of the plurality of openings used in the primary optical system of the electronic wire device of Fig. 48; Fig. 50A is an explanatory view showing an evaluation portion and an evaluation method of charging, and Fig. 50B is a comparison chart showing a comparison signal intensity. Figure 51 is a cross-sectional view showing the Εχβ separator of the nineteenth embodiment of the present invention orthogonal to the optical axis. Figure 52 is a cross-sectional view showing the Εχβ separator of the twentieth embodiment of the present invention orthogonal to the optical axis. Fig. 53 is a view showing a schematic configuration of a defect inspection apparatus for a wafer according to a twenty-first embodiment of the present invention, which is applicable to the ΕχΒ separator (modified page) of Fig. 51 or Fig. 52 (modified page) 312765 157 1288424, and Fig. 53B shows a plurality of aperture plates. The positional relationship. Fig. 54 is a view showing the configuration of an ExB energy filter of a conventional example. Fig. 55 is a sectional view showing the vacuum chamber and the XY table of the charged electron beam device of the twenty-second embodiment of the present invention. Fig. 56 is a view showing an example of an operation venting mechanism provided in the charging electron beam device of Fig. 55. Fig. 57 is a view showing a gas circulation piping system of the charged electric wire device of Fig. 55 of the twenty-third embodiment of the present invention. Figure 58 is a view showing a schematic configuration of an optical system and a detecting frame of a charged electron beam device according to a twenty-fourth embodiment of the present invention. Fig. 59 is a view showing the schematic configuration of an electronic wire device according to a twenty-fifth embodiment of the present invention. Figure 60 is a plan view showing an opening plate used in the electronic wire device of Figure 58. Fig. 61 is a view showing the simulation of the objective lens of the electronic wire device of the present invention. Fig. 62 is a graph showing the simulation result of Fig. 61. Fig. 63 is a flow chart showing the inspection sequence. Fig. 64 is a plan sectional view showing the electron beam deflector. Brother 6 5 chart is not the electronic line biased towards the electronic state of the fasting side view. Fig. 66 is a plan view showing the description of the primary electron beam irradiation method of the present invention. _ Figure 67 shows the inspection flow chart of the inspection sequence. 158 (Revision page) 312765 1288424 [Description of main components] 1 Semiconductor inspection device 10 Retainer 11 Elevator 12, 615 Elevating mechanism 20 Small environmental chamber 21 Small environmental space 22, 2008, 7014 Housing 23 Gas circulation device 24 Substrate transportation Box 25 Pre-adjuster 27, 45, 46, shutter unit 30 Main housing 31 Working chamber 32, 32a, 32b, 43 Housing body 33, 33a, 33b Housing support device 36, 36b Frame 37, 37b Anti-vibration device 40 loading housing 40b loading chamber 41 first loading chamber 42 second loading chamber 47 wafer holder 49b hanging lower 50, 2003, 2007, 3004, 4420, 5040, 7005, 7103 work bench 50a, 50b, 50e, 50f, 60a, 60b, 60f distance 51 fixed table 53, 7004 X table 54 rotary table 55, 5041 holding portion 60 loader 63 brother 2 transport unit 70 electro-optical device 71, 2001, 4426, 7001 lens barrel 72, 2061, 4310, 4510, 5010, 7161 - Sub-electron optics 74, 2071, 4009, 4330, 4530, 5020, 7171 Secondary electro-optical system 7 6, 5 0 3 0 detection 糸 81 Pre-charging section 83 Potential application mechanism 85 Electron-wire calibration mechanism 87 Adjusting the control unit (correction page) 312765 159 1288424 _ 90a, 90b, 2661, 4322, 6001, 7661 Electrode 91a, 91b, 6004, 6005 Electromagnetic coil 93a, 93b, 3016 Control unit 101, 102, 103, 104 Electronic line 107 Graphic 221, 322, 432 Top wall 222, 301, 321, 321a, 321b, 431 bottom wall 223, 323, 433 peripheral wall 225, 226, 325, 435, 436, 437 inlet 231 gas supply 232 recovery pipe 233, 243, 244 conduit 241 suction port 242 blower 271, 451 sealing material 272, 452, 461 door 273, 453 drive unit 331, 336, 336b frame structure 331a rectangular steel plate 337b vertical frame 434 partition wall 471 substrate 472 pillar 473, 474, 2029 support portion 501 box body 502 substrate carry-in entry 503 cover body 505 ULPA filter 506 Chemical filter 507 Fan motor 521, 531 Servo motor 522, 532 Encoder 541 Mounting surface 551 Wafer mounting surface 611 Drive unit 612 First transport unit 613 Shaft 616 Holding devices 721, 2062, 3001, 3061, 4001, 4101, 4201, 4311,4401,4511,5011, 6021,7162, 8001 Electron gun 160 (amendment page) 3Π765 1288424 722,1004, 3062, 4002, 4103, 4202, 4402, 5012, 6022, 8002 Container lenses 723a, 743a, 4004, 4014, 4051, 4052, 4061, 4062, 4105a to 4105d, 4123a to 4123d, 4204, 4221 to 4224, 4433, 4443, 5131, 5231, 5232, 8003' openings 723, 4003, 4050 , 4060, 4105, 4107, 4123, 4203, 4211, 4313, 4332, 4403, 4411, 4514, 4534, 5013, 5023, 6023, 6031, 8003, 8011 open plates 724, 3065, 4005, 4205, 4405, 5014, 6025, 8005 reduction lenses 725, 1009, 3066, 4006, 4115, 4206, 4317, 4320, 4406, 4518, 5015, 6020, 6026, 6040, 7167, 7168, 8006 ExB separators 726, 1008, 3010, 3067, 4007 , 4207, 4407, 5016, 6027, 8007 objective lens 727, 2065, 3080, 4012, 4013, 4117, 4121, 4417, 4419, 5017, 5018, 6039, 7165 deflector 741, 742, 3069, 3070, 4119, 4209, 4210, 4409, 4410, 5021, 5022, 6029, 6030, 8009, 8010 Enlarged lens 743 Detection plates 761, 1014, 1015, 1016, 2080, 3007, 3072, 4212, 5031, 6032, 7180, 8012 Detector

762 A/D變換器 763, 3074, 4214, 4414, 8014 765, 833, 873, 5061 監視器 831 電壓施加裝置 834, 5062操作器 851,852法拉第杯 872 CCD 晝像處理部 811,7002帶電粒子照射部 832 電壓決定系統 835,5063 CPU 871 光學顯微鏡 1000,4000,4100,4200,4300,4400,4500, 5000,5001,6000,8000 電子、線裝置 161 (修正頁)312765 1288424 1001,1002, 1003 複數射極 1005 像面 1006, 1011,1012,4109,4111 透鏡 1007 開口絞 1010, 8025試件面 1013 檢測面 1017, 1018, 4314, 4319, 4322, 4517 靜電偏向器 1021 Si基極 1022 Mo射極 1023 Au引出電極 1024 Si3N4絕緣膜 2000, 2100, 2200, 2300, 2400, 2500, 7000, 7100 帶電電子線襄備 2002 照射部 2003, 5042, 7003 XY 工台 2004 試件台 2004-1 圈狀部 2005 Y方向可動部 2006 X方向可動部 2006a,2007a 導引面 2011-1,2011-2真空排氣通路 2009, 2009a,2009b,7009a,7009b, 7010a,7010b, 7011a,7011b 靜壓軸承 2010, 2011,2023, 7019, 7020a, 7020b, 7070, 7071,7072, 7073, 7074, 7075, 7076, 7077, 7078, 7079, 7080 真空配管 2012,2014間隔板 2013, 2015, 2024 空間 2016, 2019, 2026 間隔部 2017,2018差動排氣槽 2020 圓周槽 2021 排氣通路 2022 内部空間 2027, 2028 零件 2050,2051 收絞部 2052, 7040微小間隙 2060,7160 光學系 2063, 2064, 2067, 2068, 2072, 2073, 7163, 7164, 7172, 7173, 7167, 7168 透鏡系 2066, 3003, 7166 ExB 偏向器 2662,7662 磁鐵 162 (修正頁)312765 1288424 3052 螢光面 3056 攝像感測器 3063 第1複數開口板 3064, 3075, 3076, 4216, 4404, 4415, 4416, 6024, 6035, 6036, 8004, 3000 缺陷檢查裝置 3002, 3006, 4312, 4513, 4516 3005,6028 晶圓 3011 偏向電極 3013 基準畫像記憶部762 A/D converter 763, 3074, 4214, 4414, 8014 765, 833, 873, 5061 monitor 831 voltage application device 834, 5062 operator 851, 852 Faraday cup 872 CCD image processing unit 811, 7002 charged particle irradiation Section 832 Voltage Determination System 835, 5063 CPU 871 Optical Microscope 1000, 4000, 4100, 4200, 4300, 4400, 4500, 5000, 5001, 6000, 8000 Electronics, Line Devices 161 (Revision Page) 312765 1288424 1001, 1002, 1003 Plural Emitter 1005 image surface 1006, 1011, 1012, 4109, 4111 lens 1007 open twist 1010, 8025 test piece surface 1013 detection surface 1017, 1018, 4314, 4319, 4322, 4517 electrostatic deflector 1021 Si base 1022 Mo emitter 1023 Au extraction electrode 1024 Si3N4 insulation film 2000, 2100, 2200, 2300, 2400, 2500, 7000, 7100 charged electronic line equipment 2002 Irradiation Department 2003, 5042, 7003 XY Table 2004 Test piece table 2004-1 Ring part 2005 Y Directional movable part 2006 X-direction movable part 2006a, 2007a Guide surface 2011-1, 2011-2 Vacuum exhaust passage 2009, 2009a, 2009b, 7009a, 7009b, 7010a, 7010b, 7011a, 7011b Hydrostatic bearing 2010, 2011, 2023 , 7019, 7020a, 7020b, 7070, 7071, 7072, 7073, 7074, 7075, 7076, 7077, 7078, 7079, 7080 Vacuum piping 2012, 2014 Spacer 2013, 2015, 2024 Space 2016, 2019, 2026 Spacer 2017, 2018 Differential vent 2020 Circumferential groove 2021 Exhaust path 2022 Internal space 2027, 2028 Parts 2050, 2051 Retracting part 2052, 7040 micro gap 2060, 7160 Optical system 2063, 2064, 2067, 2068, 2072, 2073, 7163, 7164, 7172, 7173, 7167, 7168 Lens system 2066, 3003, 7166 ExB deflector 2662, 7662 Magnet 162 (correction page) 312765 1288424 3052 Fluorescent surface 3056 Camera sensor 3063 1st plural opening plates 3064, 3075, 3076, 4216, 4404, 4415, 4416, 6024, 6035, 6036, 8004, 3000 Defect Inspection Device 3002, 3006, 4312, 4513, 4516 3005, 6028 Wafer 3011 Deflection Electrode 3013 Reference Image Memory

3015 CRT 3 018 輸入部 3030a,3032 畫像 3032b 矩形領域 3036 基準晝像 8015,8016 點 3068, 4113, 4208, 4408, 8008 3071 第2複數開口板 3073, 4213, 5032, 6033, 8013 3100 帶電電子照射手段 4011 二次電子檢測器 4108a,4108c交疊位置 4217 消隱偏向器 靜電透鏡 3009 缺陷檢查程式 3012 偏向控制器 3014 控制部本體 3017 一次電子晝像 3030 檢查晝像 3030k,3032a被檢查領域 3034 晶圓檢查表面 3050 複數通道板 3054 中繼光學系 試件 放大器 4010,4125檢測器孔群 4020, 4218, 5019軸對稱電極 4215 偏向主面 4220 四方形 4313a〜4313e,4332a〜4332e,4514a〜4514i,4534a〜4534i 小孔 4315, 4515, 4532開口圈 4316, 4318靜電中間透鏡 4321,4519靜電對物透鏡 4331,4531,4533靜電擴大透鏡 163 (修正頁)312765 1288424 4340,4540檢測裝置 4412,4415電子檢測器 4421 非點修正透鏡 4428,4429 電源 4430 上升幅部檢測部 4512 凸起部 4541 射入檢測器 5033 晝像形成部 5050 減速電壓施加裝置 5060 減速電壓決定系統 5100 晶片 5102 記憶體單元境界 6001, 6001’ 磁板片 6002 絕緣間隔部 6003 真空壁 6006 最外層 6007 部分 6034 晝像處理裝置 7006 台座 7007a,7007b Y方向導引部 7008a,7008b X方向導引部 7012 直線馬達 7018 排氣口 7021,7022 軟管 7025 差動排氣機構 7026 環狀部 7027, 7027a, 7027b 環狀槽 7028 排氣管 7030 帶電電子線照射空間 7051,7052透平分子果 7053 真空泵 7054 壓縮機 7061, 7062調壓器 7063 南純度非活性氣體供給源 7165 偏光器 8021 光轴 8022 上部電極 8023 中央電極 8024 下部電極 8026, 8027, 8028絕緣物間隔器 8032 倍率色收差 8033 非點败差 8034 軸上色收差 8035 像面彎曲 8036 失真 8037 模糊度 (修正頁)312765 1643015 CRT 3 018 Input 3030a, 3032 Portrait 3032b Rectangular Area 3036 Reference Image 8015, 8016 Point 3068, 4113, 4208, 4408, 8008 3071 2nd Complex Opening Plates 3073, 4213, 5032, 6033, 8013 3100 Charged Electronic Irradiation 4011 Secondary electron detector 4108a, 4108c overlap position 4217 blanking deflector electrostatic lens 3009 defect inspection program 3012 deflection controller 3014 control unit body 3017 primary electronic image 3030 inspection image 3030k, 3032a inspection field 3034 wafer inspection Surface 3050 complex channel plate 3054 relay optical system sample amplifier 4010, 4125 detector hole group 4020, 4218, 5019 axisymmetric electrode 4215 biased to the main surface 4220 square 4313a~4313e, 4332a~4332e, 4514a~4514i, 4534a~4534i Small hole 4315, 4515, 4532 open ring 4316, 4318 electrostatic intermediate lens 4321, 4519 electrostatic object lens 4331, 4531, 4533 electrostatic expansion lens 163 (correction page) 312765 1288424 4340, 4540 detection device 4412, 4415 electronic detector 4421 non Point correction lens 4428, 4429 power supply 4430 rising width detecting portion 4512 convex portion 4541 injection detector 5033 artifact formation 5050 Deceleration voltage application device 5060 Deceleration voltage determination system 5100 Wafer 5102 Memory unit boundary 6001, 6001' Magnetic plate 6002 Insulation spacer 6003 Vacuum wall 6006 Outer layer 6007 Part 6034 Image processing device 7006 Bench 7007a, 7007b Y direction guide Section 7008a, 7008b X-direction guide 7012 Linear motor 7018 Exhaust port 7021, 7022 Hose 7025 Differential exhaust mechanism 7026 Annular portion 7027, 7027a, 7027b Annular groove 7028 Exhaust pipe 7030 Charged electron beam irradiation space 7051 ,7052 Turbo Molecular Fruit 7053 Vacuum Pump 7054 Compressor 7061, 7062 Regulator 7063 Southern Purity Inactive Gas Supply Source 7165 Polarizer 8021 Optical Axis 8022 Upper Electrode 8023 Central Electrode 8024 Lower Electrode 8026, 8027, 8028 Insulator Spacer 8032 Magnification color difference 8033 non-point error 8034 axis color difference 8035 image surface curvature 8036 distortion 8037 ambiguity (correction page) 312765 164

Claims (1)

1288424 第901 15558號專利申請案 申請專利範圍修正本 (96年1月12日) 1 · 一種心查裝置’係將帶電粒子照射於檢查對象以對該檢 查對象實行檢查之檢查裝置(7〇、700),具有: 在真空環境可實行控制之用於對檢查對象實行檢 查的工作容室; 對配置在前述工作容室内之前述檢查對象施加電 位之電位施加機構; 產生作為電子線(束)之帶電粒子之電子線產生機 構; 使複數之前述電子線照射於保持在前述工作容室 内之檢查對象,檢測由檢查對象產生之二次帶電粒子並 將其導入晝像處理系之電子光學系; 由該二次帶電粒子形成畫像之晝像處理系; 依據畫像處理系之輸出,將檢查對象之狀態資料顯 示或記憶之資料處理系,其特徵在於: 如述電子光學系具有對物透鏡及ExB分離器,以利 用前述對物透鏡使因前述電子線之照射而放出之二次 帶電粒子加速並利用前述Εχβ分離器加以分離,且更具 傷·含有用以將二次帶電粒子像投影之至少一段之放大 透鏡電子光學系、及檢測二次帶電粒子像之複數的檢測 器。 種檢查裝置’係將一次帶電粒子線照射於試件,然後 (修正本)312765 1288424 .檢測由試件放出之二次帶電粒子的檢查裝置(麵),具 有·· ㈣數之-次帶f㈣線照射料狀至少一個 —次光學系,· 將前述二次帶電粒子導入至少一個檢測器之至少 一個二次光學系,而且 、、前述複數之—次帶電粒子線照射在互相為對自前 述二次光學系之距離分解能為離開的位置。 3. 如ΐ請專利範㈣2項之檢查裝置,其中前述—次光學 系,有以比較前述複數之帶電粒子線之照射位置間隔 更寬的間隔使前述帶電粒子線掃描的功能。 4. =申請專利範圍第2項之檢查震置,其中對於前述二次 先學系之初段透鏡與試件面之間施加有使前述二次帶 2子線加速之電場,使由試件面至少以比45度為小 的角度放出之二次帶電粒子通過前述二次光學系。 .〇申請專利期第2項之檢查裝置,其中前述複數之帶 :粒子線大约為垂直的射入試件面’前述二次帶電粒子 為受ΕχΒ分離器的偏向而自前述一次光學系分離。 .:申請專利範㈣2項至第5項之任—項的檢查裝置, 係用於對裝置實行缺陷檢查。 7·:種檢查襄置’係由對試件面上照射複數之一次帶電粒 次光學系,以及使形成在試件面上之複數的一次 二:粒子之各照射點放出之二次帶電粒子由施加在對 透鏡與試件面之間的電場加速及集束,然後由設在對 (修正本)312765 2 1288424 生機構側― 脏&quot;, ,子自-次光學系分離,铁後 、¥入-:人電子檢測器之二次電子光學李所構成的 檢查裝置⑽〇),其特徵為: “構成的 點在2 =學系為使複數之一次帶電粒子的照射 點在料面上為二次元的形成’並且照射點對於一轴方 向之投影的點為等間隔。 8.如申請專利範圍第7項之檢查裝置,其中複數之一次帶 電粒子線以二次元的方式形成在試件面上之複數的照 射點之任意兩個照射點間的距離之最大值使其為最小 的配置。 9· 一種檢查裝置,具有對試件面上照射複數之一次帶電粒 子線的一次帶電粒子線照射装置,以及用以檢測形成在 試件面上之複數的一次帶電粒子線照射點分別放出之 二次帶電粒子之二次帶電粒子檢測器,並為移動著試件 以對試件面之预定領域之二次帶電粒子實行檢測的檢 查裝置(4000),其特徵為·· 一次帶電粒子線照射裝置為將一次帶電粒子線照 射點配置成在試件之移動方向為N行,在該移動方向的 直角方向為Μ列,而且向照射點之一軸方向投影之點成 為等間隔。 10·如申請專利範圍第9項之檢查裝置,其中一次帶電粒子 線照射裝置具有電子線產生機構,以及含有用以接受電 子線產生機構放出之粒子以形成Ν行Μ列之一次帶電粒 (修正本)312765 Ϊ288424 子線照射點之複數的帶電粒子線之具有複數個開口的 開口板’而上述開口為設在電子線產生機構放出之帶電 粒子之預定電子密度範圍内之位置。 L如申凊專利範圍第1〇項之檢查裝置,其中各一次帶電 粒子線照射點係對試件之移動方向的直角方向只掃插 (列Μ間的間隔)/(行數Ν)+ α的距離,在此“為微小距 .如申明專利範圍第7項至第I〗項之任一項的檢查裝 ^ ’其中將二次電子檢測器檢測之二次電子線使用於對 $件面之缺陷測定、形成在試件面上之積體電路之配線 1九測疋、電位對比測定、對合精度測定等之測定。 •如申請專利範圍第1G項或第11項之檢查裝置,1中一 ί帶電粒子線照射裝置具備複數之f子祕生機構及 错由開口板而在試件面形成複數之一次帶電粒子線昭 ^點的-次帶電粒子照射系,且使各—次帶電粒子照射 =-次帶電粒子與其他一次帶電粒子照射系的一次 ㈣粒子互不干擾,此外,以㈣與—次帶電粒子照射 2對應的方式設置複數個二次帶電粒子檢測器。 • -種檢查裝置’其特徵為:將採用單—之電子緣產生機 射於具有複數個開口的開口板,且使 學系,以及將由試件產生之ΛΛ件之一次光 η光學系分離電;:用,分離器 入&gt; 離之—次帶電粒子經由至少 3有一段之透鏡的二次光㈣射人紐個檢測器對其 (修正本)312765 1288424 實行檢查。 15.-種檢查裝置,其特徵為:將採用具有—體之陰極的 子線產生機構放出之電子線照射於設有複數個開 開口板’並將通過前述複數個開口的電子線各聚隹於▲ 件表面之-錢學系,及將試件放出之二次帶電=二 ExB分離器自-次光學系分離,然後將分離之二次册雷 粒子經由至少含有一段之透鏡的二次光學系射入複 1 個檢測器以檢測二次帶電粒子。 16. —種檢查裝置,係將由電子線產生機構放出之電子 射於設有複數個開D的開σ板所得之複數個開口像射’、、 入試件,將該試件放出之二次帶電粒子自一次光學系分 離且射入二次光學系,由二次光學系將其擴大且投影^ 檢測器面的檢查裝置(41〇〇),其特徵為:於一次光學系 之比其透鏡形成之電子線產生機構之像的位置更偏移、 於電子線產生機構側的位置設置單一之開口板,而將; 置該開Π板之光轴方向位置為使射人試件面之來自各又 開口的電子線強度之差成為最小。 17.—種檢查裝置,係將由電子線產生機構放出之電子線昭 射於設有複數個開π的開π板所得之複數個開口像射、、 入試件’將該試件放出之二次帶電粒子自一次光學系分 離且射人二次光學系,由二次光學系將其擴大且投影^ 檢測器面的檢查裝置(4100),其特徵為:於一次光學系 之比其透鏡形成之電子線產生機構之像的位置更偏移 於電子線產生機構側的位置設置單—之開口板,該偏移 (修正本)312765 5 1288424 里為^又疋成將無圖形之試件放置在試件面時所得之二 次帶電粒子之檢測量在前述複數個開口間之差成為最 .18.如申請專利範圍第14項至第17項之任一項的檢查裴 置’係用於對製造工序中之晶圓實行評價。 &quot; 19. -種檢查装置,係將—個以上之電子線產生機構放出之 電子線照射於設有複數個開口的第)複數開口板,將通 過該複數個開口的一次帶電粒子線之縮小像用一次光 學系投影在試件上實行掃描,並對前述試件放出之二次 帶電粒子以二次光學系擴大且由複數個檢測元件形成 =檢測器進行檢測,而且將形成有複數個開口的第2 複數開口板配置在前述檢測器之前面的檢查裝置 (4200)’其特徵為:設定前述第2複數開口板之開口的 位置,以對前述二次光學系之失真實行修正。 設定前述複數個開口的形狀, 之視野非點實行修正。 20. -種檢查裝置,係將電子線產生機構放出之電子線照射 =設有複數個開σ的開σ板,將通過複數個開口的一次 π電粒子之縮小像甩一次光學系投影在試件上實行掃 ,,並將前述試件放出之二次帶電粒子之像用二次光學 系投影於檢測器的檢查裝置(42〇〇),其特徵為: 以對前述一次光學系 -種檢查裝置’係將電子線產生機構放出之電子線昭射 於設有複數個開口的開口板,將通過該開Π之—次帶電 粒子之縮小㈣含有Εχβ分離器之—次光學系投影在 (修正本)312765 6 21. 1288424 描,並將該試件放出之二次帶電粒子之像 數據的檢絲置咖),其特碰通輕得晝像 2述二次帶電粒子之像結像在對於前述EXB分 u :向主面為在試件侧,而將前述複數個開口之一 π η!粒子之像結像在前述Εχβ分離11之偏向主面上。 如申请專利範圍第1Q馆 J靶㈤弟19項至弟21項之任一項的檢查裝 置,係用於對製造工序中之晶圓實行評價p 23.種仏查裝置’係具備有含有用以放出帶電粒子之單一 的電子線產生機構、設有複數個孔㈣口板、複數個透 鏡以及互相隔離設置之至少有兩個ΕχΒ分離器,且將前 述電子線產生機構之電子線照射於應進行檢查之試件 面上之第一次光學系,以及將前述試件放出之帶電粒子 用前述ΕχΒ分離器内之一自第一次光學系分離且射入 二次電子檢測裝置實行檢測之第二次光學系的檢查裝 置(4300),其特徵為: 使别述電子線產生機構之電子線照射前述開口板 2形成複數個孔的像,且使前述複數個孔的像之位置與 别述ΕχΒ分離器之各位置相一致,並使前述各Εχβ分離 裔之電場構成帶電粒子偏向的方向由試件面上觀看成 為互相相反方向。 24·如申請專利範圍第23項之檢查裝置,其中前述第一次 光學糸及弟一次光學糸為藉由前述ΕχΒ分離器中之一 而產生偏向之二次電子的路徑為互不干擾的方式而配 (修正本)312765 7 1288424 置成二行複數列之組。 25· —種檢查裝置,係具備有含有用以放出電子線之單一的 電子線產生機構、設有複數個孔的開口板、以及複數個 透鏡及ExB分離器,且將前述電子線產生機構放出之電 子線照射於應進行檢查之試件面的第一次光學系,及將 前述試件放出之二次帶電粒子用前述EXB分離器自第、 一次光學系分離且射入二次帶電粒子檢測裝置實行檢 測之第二次光學系的檢查裝置(4300),其特徵為: 將由前述電子線產生機構之電子線照射於前述開 口板以形成複數個孔的像,且將掃描電壓重疊於前 xB分離器的電場,以使前述電子線實行偏向動作。 26·如申請專利範圍第23項或第25項之檢查裝置,其中卞 述,光學系及第二次光學系係以藉由前述心^ 離斋產生偏向之二次帶電粒子之路徑為互不干擾的方 式,而配置成二行複數列之組。 27.如申請專利範圍第26項之檢查裝置,係用於對 序中之晶圓實行評價。 &quot; 认:種檢查裝置’仙-次光學⑽複數之—次帶電粒 線照射於試件,使試件放出之二次帶子 透鏡後由EXB分離器將其射入二次光學系,射入後至: 段透鏡使複數之帶電粒子線間__大 硬數之檢測器實行檢測之檢查裝置⑽〇),其 = 對於對物透鏡至少個別供給三種不同之激:電’、、' · 壓’而測定對與第!方向相平行之圖形邊緣婦描於第; (修正本)312765 8 1288424 =向時所得之用以表示對應二次帶電粒子之 Μ氧訊號上升幅度的至少三個數據。 ^ 9·查置’係與試件相對向的設有複數個㈣^ Γ &quot; 00) ’其特徵為:鏡筒含有申請專利範圍第 ^項之檢查裝置,各鏡筒之—:域㈣在試次圍將弟複 固一次帶電粒子照射在與其他鏡筒不同的位置。、 杳=^專,乾圍乐28項或第29項之檢查裝置’其中檢 -衣置係在晶圓上之圖形呈帶電狀態 之激發條件所構成。對物透鏡 31·::檢查裝置’係由一次光學系將複數之—次帶電粒子 3於試件,使試件放出之二:欠帶子通過對物透鏡 後由ExB分離器射入二次光學系,射入後至少用一段透 :擴數之二次帶電粒子線間的間隔,然後由複二 檢測器實行檢測的檢查裝置(4400),其特徵為·· ,對物透鏡係具備有施加有近於接地電位之第1電 壓的第1電極,及施加比第i電壓大的第2電壓之第2 電極,而藉由變化施加在第」電極之第i電壓,以變化 對物透鏡的焦距所構成,而且 用以激發對物透鏡之激發機構係具備有用以使對 物透鏡之焦距大幅變化而變更施加在第2電極之電壓 的機構,以及為了使焦距在短時間變化而變更施加在第 1電極之電壓的機構。 32·如申請專利範圍第28項或第31項之檢查裝置,係用於 對製造工序中或終了後之晶圓實行評價。 (修正本)312765 9 1288424 33·—種檢查裝置,係具備有將單一之電子線產生機構放出 之電子線照射於設有複數個孔的開口板形成複數電子 線,對前述複數電子線至少用二段靜電透鏡予以縮小, 且對應進行檢查之試件實行掃描之第一次光學系,及將 月’J述试件放出之二次帶電粒子線於通過靜電對物透鏡 後以ExB分離器使其自第一次光學系分離,其後至少以 一&amp;靜電透鏡予以擴大,且射入複數個檢測裝置的第二 次光學系的檢查裝置(45〇〇),其特徵為: 用生產率高而解像度比較低的模式及生產率低而 解像度,的模式對試件實行評價,而至少以兩種晝素尺 寸對試件實行評價。一” 34· =申請專利範圍第犯項之檢查裝置,其中使前述第一 -人光學系之複數電子線的縮小率與前述第二次光學系 之靜電透鏡之擴大率產生關連。 5·如1 r專利範圍第33項之檢查裝置,其中前述第一次 光予系之乂豐成像係在實行前述生產率高而解像度比 較低的模式下’形成在前述對物透鏡的主面。 專利乾圍第33項之檢查裝置,其中前述第二次 先學系之擴大率係由設在比配置在第二次光學系之開 口圈更近檢測器側之靜電透鏡加以調整。 .二申’專利範圍第33項至第36項之任—項的檢查裝 扣一係、用於對製造1序中之晶圓實行評價。 ’種檢查|置’係具備有產生—次帶電粒子 束以對試件上實 八木 貝仃柯描一射之一次光學系、由前述試件 (修正本)312765 10 1288424 之帶電粒子照射部分放出之二次帶電粒子射入而且至 少含有-段透鏡之二次光學系、及檢測前述二次帶電粒 子之檢測器,將前述帶電粒子照射部分放出之二次帶電 粒子,以ExB分離器使其自一次光學系加以分離且 射=前述二次光學系,然後將前述二次帶電粒子之像利 用前述透鏡擴大,再由檢測n進行檢測之檢查 (5000),其特徵為·· ^别述一次光學系產生複數之一次帶電粒子,且同時 照射於試件,而前述檢測器係以與前述一次帶電粒子之 數量相對應的方式設置複數個,而且具備有 對前述試件施加減速電壓之減速電壓施加裝置,及 調查前述試件之充電狀態之充電調查功能。 39.如申請專利範圍第%項之檢查裝置,其中更具備有: 依據得自前述充電調查功能之有關充電狀態的資料,決 定最適減速電壓,並將其施加於前述試件的功能,或變 化一次帶電粒子的照射量的功能。 =杈查裝置,係具有將複數之帶電粒子照射於試件的 光學系,及具有充電調查功能的檢查裝置(5〇〇〇),其特 =為.刖述充電調查功能為以一次帶電粒子照射於前述 試件所發生之二次帶電粒子用複數的檢測器檢測且形 成晝像時,對於前述試件之特定部分的圖形失真或圖形 模糊實行評價’其結果將圖形失真或圖形模糊程度大之 情形評價為充電大。 41.如申請專利範圍第38項、第39項或第4〇項之檢查裝 (修正本)312765 11 1288424 置,其中前述充電調查功能係可對 電墨,在至少施加兩個減 件〜加m咸速 之圖形密度大幅變化的邊界附近 述晝像顯示以供操作者能實行圖形失直$入且將上 評價的顯示裝置。 ⑽失真或圖形模糊之 42.如申請專利範圍第41項之檢杳 序+或終了後之晶圓實行評價/糸用於對製造工 43:=分離器,係產生與光轴正交之電場及磁場,且 :進:丁方向不同之至少兩個帶電粒子分離之Μ分離 =04〇),其特徵為··具備有:具有心產生電場之至 少六極之電極,且產生可旋轉之電場 與該靜電偏向器為相反方向地使帶電粒子偏向之;型及 或鞍型之電磁偏向器。 以,如申請專利範圍第43項之ΕχΒ分離器,其令環型或鞍 型電磁偏南器係設有產生電場及磁場之兩方向磁場的 兩組電磁線圈,藉由調整流通上述二組線圈的電流比, 即可將電磁偏向器之偏向方向調整為與靜電偏向器之 偏向方向相反所構成。 如申請專利範圍第44項之ΕχΒ分離器,其中於鞍型或 環型電磁偏向器的内部設有靜電偏向器。 46· 一種檢查裝置,係將複數之一次帶電粒子照射於半導體 晶圓,而以複數之檢測器檢測由該晶圓放出之二次帶電 粒子而求得晝像數據,而藉此評價半導體晶圓之加工狀 態的檢查裝置(6000),其特徵為:為了用於將一次帶電 12 (修正本)312765 1288424 粒子與二次帶電粒子加以分離,而使用了申請專利範圍 第44項之ExB分離器。 47. —種檢查裝置,係將複數之帶電粒子用含有電容器透鏡 之透鏡系實行集束,且利用對物透鏡結像於試件上之檢 查裝置(8000) ’其特徵為: 前述複數之帶電粒子之交疊位置係將該當交疊位 置设定在藉由利用調節前述透鏡系使交疊位置變化,且 測定隨其產生變化之前述結像之像差值而決定之用以 使前述像差值成為預定值以下之交疊位置。 48. 如申請專利範圍第47項之檢查裝置,其中以前述像差 為倍率之色像差以設定前述交疊位置。 49. 如申請專利範圍第47項之檢查裝置,其中複數之帶電 粒子係由單一之電子線產生機構放射,且通過複數個開 口而形成之複數個帶電粒子,或由複數之電子線產生機 構放出之複數個帶電粒子或於單一的電子線產生機構 形成之複數個射極放出之複數個帶電粒子。 ^申 專利乾圍第47項至第49項之任—項的檢查裝 述透鏡系Γ。述交叠位置設定在由對物透鏡之主面的前 圍第47項至49項之任-項的檢查裝置, 5? ;、衣造工序中途之晶圓實行評價。 W·—種撿查裝置,後曰Μ丄 且在气件卜ί糸具備有:產生-次電子線,將其集束 電子線照射部分放中…、射之—人“糸’由剛述試件之 刀放出之二次電子線射入且至少含有一 (修正本)312765 13 1288424 透兄之一人光予系,&amp;檢測前述二次電子線之檢測 器,由對前述電子線照射部分放出之二次電子線實行加 ^以賊分離器使其自一次光學系分離後射入二次光 子糸然口後將别述二次電子線之像利用前述透鏡擴大再 由檢檢測之檢絲置(5刚),其特徵為: ^人光予系產生複數之一次電子線且同時照 射於4件’而前述檢測H係以與前述—次電子線之數量 相對應的方式設置複數個, 包子線裝置係具備有:對前述試件施加減速電壓之 減速電施加裝置,及調查前述試件之充電狀態之充電 调查機能,而依據前述充電調查功能之充電狀態相關資 料決定最適減速電Μ,並將該電壓施加於前述試件或以 其變化一次電子線的照射量。 53·種;^查裝置,係具備有··含有放出電子線之單一的電 子線產生機構、設有複數個孔的開口板、複數個透鏡及 分離器,且將前述電子線產生機構產生之電子線照 射於應進行檢查之試件面上的第一次光學系,及將前述 4件放出之二次帶電粒子以前述Εχβ分離器自第一次 $學系分離,且使其射入二次帶電粒子檢測裝置以實行 檢測之第二次光學系的檢查裝置(43〇〇),其特徵為·· ‘述電子線產生機構產生之電子線為照射前述開 口板並形成複數個孔之像,且使前述複數個孔之像的位 置與剷述ΕχΒ分離器的位置相一致,並使掃描電壓與前 述ΕχΒ分離器之電場相重疊,以使前述電子線發生偏向 (修正本)312765 14 1288424 動作。 54·-種檢查方法,係將帶電粒子照射於檢查對象以對檢查 對象實行檢查的檢查方法,設置有: 可於真空環境進行控制,且對檢查對象實行檢查之 工作容室;產生作為電子線之帶電粒子之電子線產线 構;將複數個前述電子線照射於簡在前述王作容室内 之檢查對象,檢測由檢查對象產生之二次帶電粒子,並 導入畫像處理系的電子光學系;由該二次帶電粒子形成 晝像的f像處理系;依據該晝像處理系之輸出將檢查對 象之狀態資料顯示或記憶之資料處理系;以及將檢查對 象以對前述電子線可相對移動的方式加以保持之工台 裝置,而且 “别述裰查方法係藉由測定檢查對象之位置,以將前 述電子線正確定位在檢查對象上,然後使經測定之帶電 ♦:或電磁波之任—者在檢查對象表面之預期位置上 使别述電子線偏向,以前述電子線照射前述檢查對象表 面之+預期位置,檢測前述檢查對象產生之二次帶電粒 子藉由則述一次帶電粒子形成晝像,然後依據前述畫 像處理系之輪出,顯示或記憶檢查對象之狀態資料之檢 查方法,其特徵為·· ⑽準#將複數之帶電粒子線照射於試件之至少 、個w、人光孥系及將前述二次帶電粒子至少導入一個 檢測^之至少一個二次光學系,而將前述複數之帶電粒 子線…、射於互相比前述二次光學系之距離分解能更離 15 (修正本)312765 1288424 開之位置的工序。 55·種檢查方法,其特徵為:以包含將單— 機構放出之電子绩日β ^ 、 之電子線產生 工有複數㈣口的.板之 = 通㈣職數_ 口的帶電粒 ㈣分離器使Λ =由試件發生之二次帶電粒子以 之二次帶電h 系分㈣工序;以及使分離 广經由至少含有一段透鏡的二次光學系 射入複數個檢測器以實行檢測的工序。…、 56.:=ΐ法’其特徵為:包含將具有-體之陰極的電 生機構放#出之電子線照射於具有複數個開口之 工序;藉由—次光學系使通過前述複數個開口 試件表面進行聚焦照射的工序;將由試 =出:二次帶電粒子用ExB分離器自一次光學系分 離的工序,以及使分離之二次帶電粒子經由至少具有一 長透鏡的一次光學系射入複數個檢測器以實行檢測之 工序。 57.-種檢查方法,係包含將電子線產生機構放出之電子線 照射於具有複數㈣口板所得之複數個開口像 射入試件的工序;及將由試件放出之二次帶電粒子自一 次光學系分離且射人二次光學系,而由二次光學系予以 擴大,並投影在檢測益面之工序的檢查方法(41⑽),其 特徵為:包含有在一次光學系之比其透鏡形成之電子線 產生機構之像的位置更偏移於電子線產生機構側的位 置設置單-之開口板的I序;及將設置該開口板之光軸 (修正本)312765 16 1288424 方向位置設為使射入試件 強度之差成為最小的工序 面之由各開口發出的電子線 .-種檢查方法’係包含有將電子線產生機構放出之電子 f照㈣具有複數個開口的開口板所得之複數個開口 射入4件的工序;及將由試件放出之二次帶電粒子自 一次光學系分離且射人二次光㈣,而由二次光學系擴 大’並投影在檢測器面之工序的檢查方法⑷⑽),直特 徵為:在-次光學系之比其透鏡形成之電子線產生機構 之像的位置更偏移於電子線產生機構侧的位置設置單 一之開口板,且將該偏移量設定為使將錢形之試件放 置在試件面時所得之二次帶電粒子之檢測量在前述複 數個開口間之差成為最小。 59.—種檢查方法’係包含有具有將電子線放出之單一的電 子線產生機構、設錢數個孔之開σ板、複數個透鏡及 ExB分離器,且以前述電子線產生機構放出之電子線照 射於應進行檢查之試件面上的第一次光學系準備工 序’及將前述試件放出之二次帶電粒子用前述Εχβ分離 器自第一次光學系分離,且射入二次帶電粒子檢測裝置 =實行檢測之工序的檢查方法(4300),其特徵為··將由 前述電子線產生機構發出之電子線照射於前述開口 板,以形成複數個孔的像,將掃描電壓重疊於前述Exb 分離器的電場,以使前述電子線實行偏向動作。 60.—種檢查方法,係包含有藉由一次光學系將複數個一次 帶電粒子線照射於試件的工序;及使試件放出之二次帶 (修正本)312765 17 1288424 電粒子通過對物透鏡後由ΕχΒ分離器將其射入二次光 學系,射入後至少用一段透鏡使複數個帶電粒子線間的 間隔擴大,然後由複數個檢測器實行檢測的工序的檢查 方法(4400),其特徵為: 對於對物透鏡至少個別供給三種不同之激發電 壓,而將與第1方向相平行之圖形邊緣掃描於第2方向 時所得之用以表示與二次帶電粒子之強度相對應之電 氣訊號的上升幅度之至少三個數據。 61. —種檢查方法,係包含有將產生一次帶電粒子,且將其 集束以在試件上實行掃描照射之一次光學系,及由前述 試件之帶電粒子照射部分放出之二次帶電粒子射入且 至少含有一段透鏡之二次光學系準備工序,及對前述帶 電粒子照射部分放出之二次帶電粒子實行加速,以ΕχΒ 分離器使其自一次光學系分離後射入前述二次光學 系,然後將前述二次帶電粒子之像利用前述透鏡擴大, 再由檢測器進行檢測之工序的檢查方法(5000),其特徵 為:更包含, 藉由前述一次光學系產生複數個一次帶電粒子,且 同時照射於試件的工序;以與前述一次帶電粒子之數量 相對應的方式設置複數個前述檢測器之工序;對前述試 件施加減速電壓的工序;以及調查前述試件之充電狀態 的工序。 62. —種檢查方法,係使用將複數個帶電粒子照射於試件的 光學系,及具有充電調查功能之檢查裝置(5000)的檢查 18 (修正本)312765 1288424 方法’其特徵為··前述充電調查功,能係將由_次私 子照射前述試件所發生二次帶電粒子用 、、 檢測而形成晝像時,對於前述試件之特定 ㈣器 真或圖形模糊實行評價,其結果將圖形失:::圖形失 程度較大之情形評價為充電大。^失真或圖形模糊 63 .-種檢查方法,舞於載置在χγ^ 電粒子的檢查方法(7000),其特徵為··八件知射▼ χγ工台係收容在殼體内,並藉 體非接觸式支撐,收容該工台之殼體係實?承對二殼 在對該檢查裝置之試件面上照射帶電粒子仃:工排氣’ 圍,對試件面上之帶電粒子照射_之部位的周 方法。Α只仃排氣的檢查1288424 Patent Application No. 901 15558 Application for Revision of Patent Scope (January 12, 1996) 1 · A heart-checking device' is an inspection device that irradiates charged objects on an inspection object to inspect the inspection object (7, 700), having: a working chamber for inspecting an inspection object that can be controlled in a vacuum environment; a potential application mechanism for applying a potential to the inspection object disposed in the working chamber; generating an electron beam (beam) An electron beam generating mechanism for charging particles; irradiating the plurality of electron beams to an inspection object held in the working chamber, detecting secondary charged particles generated by the inspection object, and introducing the secondary charged particles into the electro-optical system of the image processing system; The image processing system for forming an image of the secondary charged particles; and the data processing system for displaying or storing the state data of the inspection object according to the output of the image processing system, wherein the electron optical system has an object lens and an ExB separation a secondary charged particle that is emitted by the irradiation of the electron beam by using the aforementioned objective lens And using the speed Εχβ through a separator, and the more damage-containing for projecting an image of the secondary charged particles at least some of the electronic zoom lens optical system, and a plurality of secondary charged particles detected as the detector. The inspection device 'sends a charged particle beam to the test piece, and then (Revised) 312765 1288424. The inspection device (surface) for detecting the secondary charged particles emitted by the test piece has (4) the number of times - the sub-band f (4) At least one secondary optical system, wherein the secondary charged particles are introduced into at least one secondary optical system of at least one of the detectors, and wherein the plurality of secondary charged particle beams are irradiated to each other from the foregoing two The distance decomposition of the secondary optics can be the location of departure. 3. The apparatus according to the second aspect of the invention, wherein the aforesaid-sub-optical system has a function of scanning the charged particle beam at a wider interval than the irradiation position of the plurality of charged particle beams. 4. The inspection of the second item of the patent application scope is applied, wherein an electric field for accelerating the secondary strip 2 sub-line is applied between the first-stage lens of the second pre-school and the test piece surface, so that the test piece surface The secondary charged particles discharged at least at an angle smaller than 45 degrees pass through the secondary optical system. The inspection apparatus of the second application of the patent application, wherein the plurality of bands: the particle beam is approximately perpendicular to the injection test piece surface. The secondary charged particles are separated from the primary optical system by the deflection of the separator. .: The inspection device for applying for the patent (4), item 2 to item 5, is used to perform defect inspection on the device. 7: The type of inspection device is a secondary charged optical system that irradiates a plurality of charged particles on the surface of the test piece, and a secondary charged particle that is emitted from each of the plurality of particles of the surface formed on the surface of the test piece. Acceleration and bundling by the electric field applied between the lens and the test piece surface, and then by the pair (revision) 312765 2 1288424 raw mechanism side - dirty &quot;, sub-self-order optical system separation, iron after, ¥ In-: an inspection device (10) consisting of a secondary electron optical plum of a human electronic detector, characterized in that: "The point of formation is 2 = the system is to make the irradiation point of the first charged particle on the surface The formation of the secondary element 'and the points of the projection of the illumination point for the one-axis direction are equally spaced. 8. The inspection apparatus of claim 7, wherein the plurality of charged particle lines are formed in the second dimension on the test piece surface The maximum value of the distance between any two irradiation points of the upper plurality of irradiation points is minimized. 9. An inspection device having a primary charged particle beam irradiation of a plurality of charged particle lines on the surface of the test piece And a secondary charged particle detector for detecting the secondary charged particles respectively emitted from the plurality of charged particle beam irradiation spots formed on the surface of the test piece, and moving the test piece to a predetermined field of the test piece surface The inspection device (4000) for detecting the secondary charged particles is characterized in that the primary charged particle beam irradiation device arranges the primary charged particle beam irradiation point so that the moving direction of the test piece is N lines, and the moving direction is The right-angle direction is a queue, and the points projected toward the axis of the illumination point are equally spaced. 10. The inspection apparatus of claim 9, wherein the primary charged particle beam irradiation apparatus has an electron beam generating mechanism, and includes Receiving the particles emitted by the electron beam generating mechanism to form a floating plate having a plurality of openings of the charged particle beam of the plurality of charged particles of the 312765 Ϊ288424 sub-line irradiation point of the enthalpy array, and the opening is provided in the electron The position within the predetermined electron density range of the charged particles emitted by the line generating mechanism. L. , wherein each of the charged particle beam irradiation points is only in the direction of the right direction of the moving direction of the test piece (the interval between the columns) / (the number of rows Ν) + α distance, here "is a small distance. Such as a patent The inspection device of any one of the items of item 7 to item I, wherein the secondary electron line detected by the secondary electron detector is used for the measurement of the defect of the surface of the part, and the integrated body formed on the surface of the test piece The measurement of the wiring of the circuit, the measurement of the potential, the measurement of the matching precision, and the like. • In the case of the inspection device of the 1G or 11th patent application, the 1st charged particle beam irradiation device has a plurality of sub-subjects and a complex charged particle line formed on the surface of the test piece by the open plate The secondary-sub-charged particle irradiation system, and the primary-sub-charged particle irradiation=-sub-charged particles and the primary (four) particles of the other primary charged particle irradiation system do not interfere with each other, and (4) and the secondary charged particle irradiation 2 A plurality of secondary charged particle detectors are provided in a corresponding manner. - an inspection device' characterized in that an electronic edge generator is used to shoot an opening plate having a plurality of openings, and the optical system of the primary light η of the element and the element produced by the test piece is separated. ;: Use, separator into &gt; The off-sub-charged particles are inspected (corrected) 312765 1288424 via at least 3 secondary light (four) shot detectors with a segment of the lens. 15. An inspection apparatus characterized by irradiating an electron beam emitted from a sub-line generating mechanism having a cathode having a body to a plurality of open-opening plates and collecting electron beams passing through the plurality of openings On the surface of the ▲ - Qian Xue Department, and the second charge of the test piece released = two ExB separator separation from the secondary optical system, and then the separation of the second volume of thunder particles through the secondary optics containing at least a segment of the lens A detector is injected into the detector to detect secondary charged particles. 16. An inspection device for injecting electrons emitted from an electron beam generating mechanism into a plurality of openings imaged by a plurality of open σ plates, and into a test piece, and discharging the test piece twice. The particle is separated from the primary optical system and injected into the secondary optical system, and is expanded by the secondary optical system and projected onto the detector surface inspection device (41〇〇), which is characterized in that the lens is formed in the primary optical system. The position of the image of the electron beam generating mechanism is further shifted, and a single opening plate is disposed at the position on the side of the electron beam generating mechanism, and the position of the optical axis of the opening plate is set so that the surface of the test piece comes from each The difference in the strength of the open electron line is minimized. 17. An inspection device for exposing an electron beam emitted by an electron beam generating mechanism to a plurality of opening images obtained by providing a plurality of open π-opening π plates, and inserting the test piece into the test piece. An inspection device (4100) in which a charged particle is separated from an primary optical system and incident on a secondary optical system, and is expanded by a secondary optical system and projected onto a detector surface, and is characterized in that the primary optical system is formed by a lens thereof. The position of the image of the electron beam generating mechanism is further offset from the opening plate of the position setting sheet on the side of the electron beam generating mechanism, and the offset (revision) 312765 5 1288424 is placed in the unillustrated test piece. The difference between the detection amount of the secondary charged particles obtained in the surface of the test piece is the highest among the plurality of openings. 18. The inspection device of any one of the 14th to 17th of the patent application is used for The wafer in the manufacturing process is evaluated. &quot; 19. An inspection device for irradiating an electron beam emitted from one or more electron beam generating mechanisms to a plurality of first opening plates provided with a plurality of openings, and reducing a primary charged particle beam passing through the plurality of openings Scanning is performed on the test piece by one-time optical projection, and the secondary charged particles emitted from the test piece are expanded by the secondary optical system and detected by a plurality of detecting elements = detector, and a plurality of openings are formed. The second plurality of aperture plates are disposed on the inspection device (4200) in front of the detector. The feature is that the position of the opening of the second plurality of aperture plates is set to correct the distortion of the secondary optical system. The shape of the plurality of openings is set, and the field of view is not corrected. 20. An inspection device that emits electron beams from an electron beam generating mechanism = an open σ plate with a plurality of open σs, and a reduction of the primary π electric particles passing through the plurality of openings The inspection device (42〇〇) for performing scanning on the workpiece and projecting the image of the secondary charged particles discharged from the test piece to the detector by the secondary optical system is characterized in that: the primary optical system is inspected The device 'projects an electron beam emitted from the electron beam generating mechanism to the opening plate provided with a plurality of openings, and reduces the sub-charged particles passing through the opening (4) - the sub-optical system containing the Εχβ separator is projected (corrected) This) 312765 6 21. 1288424, and the test piece is released from the image data of the secondary charged particles, and the special touch is as light as the image of the secondary charged particle. The EXB is divided into u: the main surface is on the test piece side, and the image of one of the plurality of openings π η! particles is imaged on the main surface of the Εχβ separation 11 . For example, the inspection device of any one of the 19th and the 21st of the J target (5) of the 1st Hall of the patent application is used to evaluate the wafer in the manufacturing process. p. 23. The inspection device is equipped with a single electron beam generating mechanism for discharging charged particles, a plurality of holes (four) port plates, a plurality of lenses, and at least two ΕχΒ separators disposed apart from each other, and illuminating the electron beams of the electron beam generating mechanism The first optical system on the surface of the test piece to be inspected, and the charged particles from which the test piece is discharged are separated from the first optical system by one of the ΕχΒ separators and injected into the secondary electron detecting device to perform the detection. The inspection apparatus (4300) of the secondary optical system is characterized in that the electron beam of the electron beam generating means is irradiated onto the opening plate 2 to form an image of a plurality of holes, and the positions of the images of the plurality of holes are described. The positions of the ΕχΒ separator are identical, and the direction in which the electric fields of the respective Εχβ separations constitute the charged particles are opposite to each other when viewed from the surface of the test piece. [24] The inspection apparatus of claim 23, wherein the first optical 糸 and the first optical 糸 are paths in which the secondary electrons are biased by one of the ΕχΒ separators to be mutually non-interfering. And the matching (revision) 312765 7 1288424 is set into a group of two rows and multiple columns. 25. An inspection apparatus comprising: a single electron beam generating mechanism for emitting an electron beam; an opening plate having a plurality of holes; and a plurality of lenses and an ExB separator; and discharging the electron beam generating mechanism The first optical system is irradiated onto the surface of the test piece to be inspected, and the secondary charged particles from which the test piece is discharged are separated from the first optical system by the EXB separator and injected into the secondary charged particle detection. The apparatus for performing inspection of the second optical system (4300) is characterized in that the electron beam from the electron beam generating means is irradiated onto the opening plate to form an image of a plurality of holes, and the scanning voltage is superimposed on the front xB. The electric field of the separator is such that the aforementioned electron beam is biased. 26. In the inspection apparatus of claim 23 or 25, wherein the optical system and the second optical system have the path of the secondary charged particles which are biased by the aforementioned eccentricity, The way of interference is configured as a group of two rows and multiple columns. 27. The inspection device of claim 26 is used to evaluate the wafers in the sequence. &quot; Recognize: The inspection device 'Sin-Second Optics (10) plural-sub-charged grain line is irradiated on the test piece, and the secondary tape lens released by the test piece is injected into the secondary optical system by the EXB separator, and is injected. After: the segment lens is used to detect the detector (10)〇 of the detector of the complex number of charged particles between the __ large hard numbers, which = at least three different excitations for the objective lens: electric ',, ' · pressure 'And measure the right and the first! The edge of the figure parallel to the direction is described in the first paragraph; (Revised) 312765 8 1288424 = at least three data obtained by the time-dependent expression indicating the increase in the oxygen signal corresponding to the secondary charged particle. ^ 9·Checking the 'the system and the test piece are opposite each other with a plurality of (four) ^ Γ &quot; 00) 'characteristics: the lens barrel contains the inspection device of the scope of the patent application, each lens barrel -: domain (four) In the trial, the younger brother reattached the charged particles to a different position from the other lens barrels. , 杳 = ^ special, dry music 28 or 29th inspection device 'where the inspection - the pattern on the wafer is in the charged state of the excitation condition. The objective lens 31·::the inspection device ′ is a plurality of sub-charged particles 3 from the primary optical system to the test piece, and the test piece is released two: the under-belt passes through the objective lens and is injected into the secondary optics by the ExB separator. After the injection, at least one section is used: an interval between the two charged particle lines of the expansion number, and then an inspection device (4400) is performed by the second detector, which is characterized by being applied to the lens system. a first electrode having a first voltage close to the ground potential and a second electrode applying a second voltage greater than the i-th voltage, and varying the ith voltage applied to the first electrode to change the objective lens The focal length is configured, and the excitation mechanism for exciting the objective lens is provided with a mechanism for changing the focal length of the objective lens to change the voltage applied to the second electrode, and for changing the focal length in a short time. The mechanism of the voltage of the first electrode. 32. The inspection device of claim 28 or 31 is used to evaluate wafers during or after the manufacturing process. (Revised) 312765 9 1288424 33--Inspection apparatus is provided with an electron beam emitted from a single electron beam generating mechanism, which is irradiated onto an opening plate provided with a plurality of holes to form a plurality of electronic wires, and at least the plurality of electronic wires are used The second-stage electrostatic lens is reduced, and the first optical system for scanning the test piece corresponding to the inspection is performed, and the secondary charged particle beam for discharging the test piece of the month 'J is passed through the electrostatic pairing lens, and then the ExB separator is used. The second optical system inspection device (45〇〇) which is separated from the first optical system and then enlarged by at least one &amp; electrostatic lens and injected into a plurality of detecting devices, is characterized by: high productivity The mode with a lower resolution and the mode with low productivity and resolution are evaluated for the test piece, and the test piece is evaluated with at least two element sizes. A "34" = inspection apparatus of the first application of the patent scope, wherein the reduction ratio of the plurality of electron lines of the first-human optical system is related to the expansion ratio of the electrostatic lens of the second optical system. The inspection apparatus of claim 33, wherein the first optical system of the optical system is formed on the main surface of the objective lens in a mode in which the productivity is high and the resolution is relatively low. The inspection apparatus of item 33, wherein the expansion ratio of the second prior school is adjusted by an electrostatic lens disposed closer to the detector side than the aperture circle disposed in the second optical system. The inspection and assembly of items from item 33 to item 36 are used to evaluate the wafers in the manufacturing order. The 'inspection|set' system is provided with the generated-sub-charged particle beam to test the test piece. The primary optical system of the episode of the syllabus of the sylvestre of the syllabus, the second charged particle emitted by the charged particle irradiation part of the test piece (Revised) 312765 10 1288424 and containing at least the secondary optical system of the segment lens and Measuring the secondary charged particle detector, discharging the secondary charged particles of the charged particle irradiation portion, separating it from the primary optical system by an ExB separator, and emitting the secondary optical system, and then charging the second secondary The image of the particle is enlarged by the lens, and the inspection (5000) is performed by detecting n. The feature is that the optical system generates a plurality of primary charged particles and simultaneously irradiates the test piece, and the detector system A plurality of deceleration voltage applying means for applying a decelerating voltage to the test piece and a charging investigation function for investigating the state of charge of the test piece are provided in a plurality of ways corresponding to the number of the primary charged particles, and a charging investigation function for investigating the state of charge of the test piece. The inspection device of the item of the range of item %, further comprising: determining the optimum deceleration voltage according to the information about the state of charge obtained from the charging investigation function, and applying the same to the function of the test piece, or changing the irradiation of the charged particles once The function of the quantity. The inspection device has an optical system that irradiates a plurality of charged particles to the test piece, and An inspection device (5〇〇〇) with a charge investigation function, which is a description of the charge investigation function, which is detected by a detector of a plurality of secondary charged particles generated by irradiating the test piece with one charged particle and forming a flaw. In the case of the image, the image distortion or the image blur of the specific portion of the test piece is evaluated. The result is that the distortion of the pattern or the degree of blurring of the pattern is evaluated as a large charge. 41. If the patent application is in the 38th, 39th or The inspection item of the fourth item (amendment) 312765 11 1288424, wherein the foregoing charging investigation function can describe the image of the ink near the boundary where the pattern density of at least two subtracting parts is increased. A display device that is displayed for the operator to perform a graphic straight-out and will be evaluated. (10) Distortion or graphic ambiguity 42. If the inspection procedure of the 41st application of the patent scope is + or after the end of the wafer implementation evaluation / 糸 for the manufacturer 43: = separator, is to generate an electric field orthogonal to the optical axis And a magnetic field, and: at least two separated particles having different butyl directions are separated by Μ separation = 04 〇), and are characterized by: having at least six electrodes having an electric field generated by the heart, and generating a rotatable electric field The electrostatic deflector is biased in the opposite direction to the electrostatic deflector; and the electromagnetic deflector of the type and saddle type. For example, in the ΕχΒ separator of the 43rd patent application, the ring type or saddle type electromagnetic southerly device is provided with two sets of electromagnetic coils for generating electric fields and magnetic fields in two directions, and the two sets of coils are circulated by adjusting The current ratio can be adjusted to adjust the deflection direction of the electromagnetic deflector to be opposite to the deflection direction of the electrostatic deflector. For example, in the ΕχΒ separator of claim 44, an electrostatic deflector is provided inside the saddle or ring type electromagnetic deflector. 46. An inspection apparatus for illuminating a semiconductor wafer by irradiating a plurality of charged particles to a semiconductor wafer, and detecting a secondary charged particle emitted from the wafer by a plurality of detectors to obtain imaging data, thereby evaluating the semiconductor wafer The inspection apparatus (6000) of the processing state is characterized in that an ExB separator of the 44th patent of the patent application is used for separating the primary charging 12 (corrected) 312765 1288424 particles from the secondary charged particles. 47. An inspection apparatus for performing a clustering of a plurality of charged particles by a lens system including a capacitor lens, and using an objective lens to image the inspection device (8000) on the test piece, wherein: the plurality of charged particles are: The overlapping position is determined by changing the overlapping position by adjusting the lens system, and determining the aberration value of the junction image which varies with the same to determine the aberration value It becomes an overlapping position below the predetermined value. 48. The inspection apparatus of claim 47, wherein the chromatic aberration of the aforementioned aberration is used to set the overlap position. 49. The inspection device of claim 47, wherein the plurality of charged particles are emitted by a single electron beam generating mechanism, and a plurality of charged particles formed by a plurality of openings, or released by a plurality of electron beam generating mechanisms a plurality of charged particles or a plurality of charged particles emitted by a plurality of emitters formed by a single electron beam generating mechanism. ^ The application of the inspection of the 47th to the 49th of the patent stipulations is for the lens system. The overlapping position is set in the inspection device of any of items 47 to 49 of the main surface of the objective lens, and the wafer in the middle of the coating process is evaluated. W·—A kind of inspection device, after the 曰Μ丄 曰Μ丄 在 在 糸 糸 糸 糸 糸 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生 产生The secondary electron beam emitted by the knife of the piece is injected and contains at least one (amendment) 312765 13 1288424 one of the brothers, and the detector for detecting the secondary electron beam is emitted from the irradiated portion of the electron beam The secondary electron line is applied to the thief separator so that it is separated from the primary optical system and then injected into the secondary photon sputum, and then the image of the secondary electron beam is expanded by the aforementioned lens and then detected by the detection. (5), which is characterized in that: ^人光予系 produces a plurality of primary electron beams and simultaneously irradiates four pieces' while the aforementioned detection H system sets a plurality of buns in a manner corresponding to the number of the aforementioned-second electron lines, the buns The line device includes a deceleration electric application device that applies a decelerating voltage to the test piece, and a charging investigation function that investigates a charging state of the test piece, and determines an optimum deceleration electric power according to the charging state related data of the charging investigation function. And applying the voltage to the test piece or changing the amount of irradiation of the primary electron beam. 53. The device is provided with a single electron beam generating mechanism including a discharge electron beam, and a plurality of electrodes are provided. An opening plate of the hole, a plurality of lenses and a separator, and irradiating the electron beam generated by the electron beam generating mechanism to the first optical system on the surface of the test piece to be inspected, and charging the second piece of the fourth piece The second optical system inspection device (43〇〇) is separated from the first 学β separator by the first $β separator, and is injected into the secondary charged particle detecting device to perform detection. The electron beam generated by the electron beam generating means irradiates the opening plate and forms an image of a plurality of holes, and positions the image of the plurality of holes in accordance with the position of the ΕχΒ separator, and the scanning voltage and the ΕχΒ The electric fields of the separator are overlapped so that the electron beam is deflected (corrected) 312765 14 1288424. 54. - The inspection method is to irradiate the charged particles to the inspection object to check the object to be inspected. The inspection method for the line inspection is provided with: a working chamber that can be controlled in a vacuum environment and inspects the inspection object; an electron line production line that generates charged particles as an electron beam; and a plurality of the aforementioned electron beams are irradiated to Jane In the inspection object in the Wang Zuorong room, the secondary charged particles generated by the inspection object are detected and introduced into the electro-optical system of the image processing system; the f-image processing system in which the secondary charged particles form an image; according to the image processing system The data processing system for displaying the state data of the inspection object or the memory; and the work station device for holding the inspection object in such a manner that the electronic wire can be relatively moved, and "the inspection method is performed by measuring the inspection object a position for correctly positioning the aforementioned electron beam on the inspection object, and then causing the measured charge ♦: or electromagnetic wave to deflect the other electron line at a desired position on the surface of the inspection object, and irradiating the aforementioned electron beam with the aforementioned electron beam Check the + expected position of the surface of the object, and detect the secondary charged particles generated by the aforementioned inspection object. Then, the charged particle is formed into an image, and then according to the rounding of the image processing system, the inspection method of the state data of the inspection object is displayed or memorized, and the feature is that (10) quasi # irradiates the plural charged particle beam to the test piece. At least one w, a human optical system, and at least one of the secondary charged particles is introduced into at least one secondary optical system, and the plurality of charged particle lines are ... and are incident on each other from the secondary optical system The decomposition can be further removed from the position of 15 (corrected) 312765 1288424. 55. A method for inspecting, characterized in that: a charged particle (four) separator that generates a plurality of (four) ports of an electronic line containing an electronic performance date β ^ of a single-unit discharge; Λ = secondary charged particles generated by the test piece, which are subjected to secondary charging h (4), and a step of performing separation by passing a secondary optical system having at least one segment of the lens into a plurality of detectors. ..., 56.:==ΐ method' is characterized in that: an electron beam containing an electrophysical mechanism having a cathode of the body is irradiated onto a step having a plurality of openings; and the plurality of openings are passed through the plurality of optical systems a step of performing focused irradiation on the surface of the open test piece; a step of separating the secondary charged particles from the primary optical system by the ExB separator, and causing the separated secondary charged particles to pass through the primary optical system having at least one long lens A plurality of detectors are incorporated to perform the inspection process. 57. An inspection method comprising the steps of: irradiating an electron beam emitted from an electron beam generating mechanism to a plurality of opening images obtained by a plurality of (four) orifice plates into a test piece; and discharging the secondary charged particles discharged from the test piece from the primary optical An inspection method (41(10)) which is separated by a secondary optical system and which is enlarged by a secondary optical system and projected on a process of detecting a beneficial surface, and is characterized in that it comprises a lens formed in a primary optical system. The position of the image of the electron beam generating mechanism is further shifted from the I-order of the opening plate of the position setting unit on the side of the electron beam generating mechanism; and the position of the optical axis (revision) 312765 16 1288424 in which the opening plate is provided is set to The electron beam emitted from each opening of the process surface having the smallest difference in the intensity of the injected test piece is a plurality of electrons generated by the electron beam generating means, and the plurality of open plates having a plurality of openings are obtained. a step of injecting four openings into the opening; and separating the secondary charged particles emitted from the test piece from the primary optical system and projecting the secondary light (4), and expanding by the secondary optical system and projecting The inspection method (4) (10) of the process of the detector surface is characterized in that a single opening plate is disposed at a position shifted from the position of the image of the electron beam generating mechanism formed by the lens to the position of the electron beam generating mechanism. And the offset amount is set such that the difference between the detection amount of the secondary charged particles obtained when the test piece of the money shape is placed on the test piece surface is the smallest among the plurality of openings. 59. The inspection method includes a single electron beam generating mechanism for discharging electron beams, an opening σ plate for a plurality of holes, a plurality of lenses, and an ExB separator, and is discharged by the electron beam generating mechanism. The first optical system preparation step of irradiating the electron beam on the surface of the test piece to be inspected and the secondary charged particles discharging the test piece are separated from the first optical system by the Εχβ separator, and are injected twice. Charged particle detecting device = inspection method (4300) for performing a detecting step, characterized in that an electron beam emitted from the electron beam generating means is irradiated onto the opening plate to form an image of a plurality of holes, and the scanning voltage is superposed on The electric field of the Exb separator is such that the electronic wire is biased. 60. An inspection method comprising the steps of irradiating a plurality of primary charged particle beams to a test piece by a primary optical system; and a secondary band for releasing the test piece (Revised) 312765 17 1288424 Electron particle passage After the lens is injected into the secondary optical system by the helium separator, the interval between the plurality of charged particle lines is enlarged by at least one lens after the injection, and then the inspection method (4400) of performing the detection by the plurality of detectors is performed. The method is characterized in that: at least three different excitation voltages are respectively supplied to the objective lens, and the edge of the pattern parallel to the first direction is scanned in the second direction to represent the electrical corresponding to the intensity of the secondary charged particles. At least three data of the increase in the signal. 61. An inspection method comprising: a primary optical system that will generate primary charged particles and be bundled to perform scanning illumination on the test piece, and a secondary charged particle emission emitted from the charged particle irradiation portion of the test piece. And a secondary optical system preparation step including at least one lens, and accelerating the secondary charged particles emitted from the charged particle irradiation portion, and separating the primary optical system from the primary optical system and then entering the secondary optical system. Then, the inspection method (5000) of expanding the image of the secondary charged particles by the lens and detecting the step by the detector further includes generating a plurality of primary charged particles by the primary optical system, and a step of simultaneously irradiating the test piece; a step of providing a plurality of the detectors corresponding to the number of the primary charged particles; a step of applying a decelerating voltage to the test piece; and a step of investigating the state of charge of the test piece. 62. An inspection method using an optical system that irradiates a plurality of charged particles to a test piece and an inspection device (5000) having a charge investigation function (Revised) 312765 1288424 Method 'characterized by the foregoing The charge investigation work can be performed by illuminating the secondary charged particles generated by the test piece by the _ sub-child, and detecting the specific (4) true or graphic blur of the test piece, and the result is a graphic Loss::: A situation in which the degree of loss of the pattern is large is evaluated as a large charge. ^ Distortion or graphic blur 63. - A kind of inspection method, danced on the χγ^ electric particle inspection method (7000), which is characterized by eight pieces of ▼ ▼ 工 工 工 工 收容 收容 收容 收容 收容 收容 收容 收容Body non-contact support, the housing of the workbench is solid? Bearing the second shell The method of irradiating the surface of the test piece of the inspection device with the charged particles 仃: the working exhaust circumstance, and irradiating the charged particles on the surface of the test piece. Α 仃 only exhaust inspection (修正本)312765 19 1288424 七、指定代表圖· (一) 本案指定代表圖為:第(7 )圖。 (二) 本代表圖之元件代表符號簡單說明: 54 旋轉台 72 一次電子光學系 74 二次電子光學系 76 檢測系 541 載置面 721 電子槍 722 電容器透鏡 723a, 743a 開口 723 開口板 724 縮小透鏡 725 ExB分離器 726 對物透鏡 727 偏向器 741,742 擴大透鏡 743 檢測板 761 檢測器 762 A/D變換器 763 晝像處理部(Revised) 312765 19 1288424 VII. Designation of Representative Representatives (1) The representative representative of the case is: (7). (2) A brief description of the components of the representative figure: 54 Rotary table 72 Primary electron optical system 74 Secondary electron optical system 76 Detection system 541 Mounting surface 721 Electron gun 722 Capacitor lens 723a, 743a Opening 723 Opening plate 724 Reduction lens 725 ExB separator 726 objective lens 727 deflector 741, 742 enlarged lens 743 detection plate 761 detector 762 A/D converter 763 image processing unit 八、本案若有化學式時,請揭示最能顯示發明特徵的化學式: 益〇 # ο、 (修正頁)3127658. If there is a chemical formula in this case, please disclose the chemical formula that best shows the characteristics of the invention: 益〇 # ο, (amendment page) 312765
TW90115558A 2000-06-27 2001-06-27 Inspection apparatus and inspection method TWI288424B (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2000192918 2000-06-27
JP2000336091 2000-11-02
JP2000335751 2000-11-02
JP2000335752 2000-11-02
JP2000336156 2000-11-02

Publications (1)

Publication Number Publication Date
TWI288424B true TWI288424B (en) 2007-10-11

Family

ID=39203019

Family Applications (1)

Application Number Title Priority Date Filing Date
TW90115558A TWI288424B (en) 2000-06-27 2001-06-27 Inspection apparatus and inspection method

Country Status (1)

Country Link
TW (1) TWI288424B (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI453782B (en) * 2008-09-24 2014-09-21 Applied Materials Israel Ltd A method and apparatus for imaging a surface of an object
TWI498569B (en) * 2010-10-27 2015-09-01 Suntory Holdings Ltd Measuring device and measuring method
TWI576888B (en) * 2014-11-28 2017-04-01 財團法人工業技術研究院 Detecting instrument and detecting method thereof
TWI707376B (en) * 2016-01-09 2020-10-11 美商克萊譚克公司 High throughput electron beam apparatuses and methods for operating the same
TWI773030B (en) * 2019-12-20 2022-08-01 荷蘭商Asml荷蘭公司 Multi-modal operations for multi-beam inspection system
CN115312417A (en) * 2022-10-11 2022-11-08 合肥新晶集成电路有限公司 OCD measuring method and measuring device thereof
TWI796636B (en) * 2020-04-06 2023-03-21 日商紐富來科技股份有限公司 Multi-electron beam inspection device and multi-electron beam inspection method

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI453782B (en) * 2008-09-24 2014-09-21 Applied Materials Israel Ltd A method and apparatus for imaging a surface of an object
TWI514435B (en) * 2008-09-24 2015-12-21 Applied Materials Israel Ltd A method and apparatus for imaging a surface of an object
TWI498569B (en) * 2010-10-27 2015-09-01 Suntory Holdings Ltd Measuring device and measuring method
TWI576888B (en) * 2014-11-28 2017-04-01 財團法人工業技術研究院 Detecting instrument and detecting method thereof
TWI707376B (en) * 2016-01-09 2020-10-11 美商克萊譚克公司 High throughput electron beam apparatuses and methods for operating the same
TWI773030B (en) * 2019-12-20 2022-08-01 荷蘭商Asml荷蘭公司 Multi-modal operations for multi-beam inspection system
TWI796636B (en) * 2020-04-06 2023-03-21 日商紐富來科技股份有限公司 Multi-electron beam inspection device and multi-electron beam inspection method
CN115312417A (en) * 2022-10-11 2022-11-08 合肥新晶集成电路有限公司 OCD measuring method and measuring device thereof
CN115312417B (en) * 2022-10-11 2023-02-03 合肥新晶集成电路有限公司 OCD measuring method and measuring device thereof

Similar Documents

Publication Publication Date Title
JP6220423B2 (en) Inspection device
US7411191B2 (en) Inspection system by charged particle beam and method of manufacturing devices using the system
KR100885940B1 (en) Charged particle beam inspection apparatus and method for fabricating device using that inspection apparatus
CN101630623B (en) Inspection apparatus by charged particle beam and method for manufacturing device using inspection apparatus
EP1273907A1 (en) Wafer inspecting method, wafer inspecting instrument, and electron beam apparatus
JP2007206050A (en) Substrate inspection method, substrate inspection device, and electron beam unit
TWI288424B (en) Inspection apparatus and inspection method
JP2006153871A (en) Substrate inspection method, substrate inspecting device, and electron beam system
TW539845B (en) Sheet beam-type inspection device
JP4221428B2 (en) Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus
JP2008193119A (en) Inspection system by charged particle beam and method of manufacturing devices using system
TWI286776B (en) Inspecting device using electron beam and method for making semiconductor devices with such inspection device
JPWO2002040980A1 (en) Substrate inspection method, substrate inspection device, and electron beam device