TW539845B - Sheet beam-type inspection device - Google Patents

Sheet beam-type inspection device Download PDF

Info

Publication number
TW539845B
TW539845B TW90115565A TW90115565A TW539845B TW 539845 B TW539845 B TW 539845B TW 90115565 A TW90115565 A TW 90115565A TW 90115565 A TW90115565 A TW 90115565A TW 539845 B TW539845 B TW 539845B
Authority
TW
Taiwan
Prior art keywords
inspection
sheet
image
patent application
wafer
Prior art date
Application number
TW90115565A
Other languages
Chinese (zh)
Inventor
Mamoru Nakasuji
Nobuharu Noji
Tohru Satake
Toshifumi Kimba
Hirosi Sobukawa
Original Assignee
Ebara Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ebara Corp filed Critical Ebara Corp
Application granted granted Critical
Publication of TW539845B publication Critical patent/TW539845B/en

Links

Abstract

An electron beam apparatus, such as a sheet beam based testing apparatus, comprises: an electron-optical system for irradiating an object under testing with a primary electron beam from an electron beam source, and projecting an image of a secondary electron beam emitted by the irradiation of the primary electron beam; and a detector for detecting the secondary electron beam image projected by the electron-optical system. Specifically, the electron beam apparatus comprises a beam generating means 2004 for irradiating an electron beam having a particular width; a primary electron-optical system 2001 for leading the beam to reach the surface of a substrate 2006 under testing; a secondary electron-optical system 2002 for trapping the secondary electrons generated from the substrate 2006 and introducing them into an image processing system 2015; a stage 2003 for transportably holding the substrate 2006 with a continuous degree of freedom equal to at least one; a testing chamber for the substrate 2006; a substrate transport mechanism for transporting the substrate 2006 into and out of the testing chamber; an image processing analyzer 2015 for detecting defects on the substrate 2006; a vibration isolating mechanism for the testing chamber; a vacuum system for holding the testing chamber at a vacuum; and a control system 2017 for displaying or storing positions of defects on the substrate 2006.

Description

539845 A7 B7 丨 經濟部智慧財產局員工消費合作社印製539845 A7 B7 丨 Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs

五、發明說明(1 )[技術範圍] 在半導體製程的設計規則(Design Rules,半導體裝置 之業者所玎定之標準規範),正迎接著100nm時代的來臨, 且其生產型態已由以DRAM為主的少品種大產量的方式 逐漸轉移成以s〇C(Slllcon on ehlp)為主的多品種小產量的 方式。隨著該種轉變,因製造程序數增加,而必須提昇各 程序的良率,因此對於製程所造成的缺陷的檢查亦顯得格 外重要。本發明係關於一種帶電粒子線,適用於完成檢查 半導體製程之各程序後的晶圓的片狀光束式檢查裝置,具 體而言,即關於使用帶電粒子線等電子線的片狀光束式檢 查裝置,及使用該檢查裝置的半導體裝置製造方法及曝光 方法。[背景技術] 隨著半導體裝置高集裝化,及圖案的微細化,乃要求 檢查裝置需具備咼分解能及高產量。為查出1 〇〇nm設計規 則下的晶圓基板的缺陷,需要ΙΟΟηπι以下的分解能,隨著 半導體裝置高集裝化方式的製造程序增加,導致檢查量增 大’而要求更南的良品製造率(through put)。此外,隨著 半導體裝置多層化的進展,也要求檢查裝置必須具備有可 檢測出連結層間配線的接觸孔(via)的接觸不良(電性缺陷) 的機能。目前主要是使用一種光方式的缺陷檢查裝置,但 在分解能,接觸不良等檢查方面,則利用電子線的缺陷檢 查裝置將逐漸取代光方式的缺陷檢查裝置,而成為檢查裝 置的主流。但是,電子線方式的缺陷檢查裝置,卻具有在V. Description of the invention (1) [Technical scope] Design rules in semiconductor manufacturing processes (Design Rules, standard specifications set by the semiconductor device industry) are ushered in the advent of the 100nm era, and their production types have been based on DRAM. The main method of small varieties and large yields gradually shifted to the multi-variety small-yield method mainly based on SOC (Slllcon on ehlp). With this kind of change, because the number of manufacturing processes increases, the yield of each process must be improved, so the inspection of defects caused by the manufacturing process also becomes particularly important. The present invention relates to a charged beam type inspection device for a wafer beam type inspection device that is suitable for inspecting a wafer after completing various procedures of a semiconductor manufacturing process, specifically, to a wafer beam inspection device that uses an electron beam such as a charged particle beam , And a semiconductor device manufacturing method and an exposure method using the inspection device. [Background Art] As semiconductor devices become more highly packaged and patterns are miniaturized, inspection devices are required to have tritium decomposition energy and high yield. In order to detect defects in wafer substrates under the 100nm design rule, a decomposition energy of 100 nm or less is required. As the manufacturing process of the high-concentration method of semiconductor devices increases, the inspection volume increases, and more southern quality products are required. Rate (through put). In addition, with the advancement of multi-layered semiconductor devices, it is also required that the inspection device be provided with a function capable of detecting a contact failure (electrical defect) in a contact via (via) connecting the wiring between the layers. Currently, an optical type defect inspection device is mainly used, but in terms of disassembly energy, poor contact and the like, the defect inspection device using an electronic wire will gradually replace the optical type defect inspection device and become the mainstream of the inspection device. However, the electronic wire defect inspection device has

--------------.— (請先閱讀背面之注意事項再填寫本頁) . •線·--------------.— (Please read the notes on the back before filling this page). • Line ·

539845 » A7 * ----—----B7 五、發明說明(1 ) 在記憶裝置中,或將圖像輪出到CRT(陰極設限管)上。 : 以上為SEM(掃描形電子顯微鏡)的原理,藉由該方式 :所得到的圖像可檢查出半導體(通常為S〇晶圓在程序途中 ‘的缺陷。相當於良品製造率的檢查速度是由一次電子線的 量(流電值光束徑,檢出器的應答速度決定的。光束徑 Ο.ΙμΓΠ(可視為與分解能相同),電流值ι〇〇ηΑ,檢出器的應 J答速度1〇〇MHZ等皆為現在的最高值’此時的檢查速度為 20cm徑的晶圓為8小時/片。 在以上所說明的SEM方式的檢查裝置當中,其上述檢 查^度幾乎已達到極限,若要達到更快的速度,意即若要 提高其良品製造率,則必須利用其他的新方式。 [發明的開示] 本發明係有關於一種適用在片狀光束式檢查裝置上 的電子線裝置’·利用該裝置的半導體裝置的製造方法以及 露光方法。 經濟部智慧財產局員工消費合作社印製 本纸張尺度適用中國國家標準(CNS)A4規格 (210x 297 公犛) 1 能夠補足由基板產生的二次電子線,並將其導入圖像 處理系中的二次電子光學系; 539845 A7539845 »A7 * ------------ B7 V. Description of the invention (1) In the memory device, or rotate the image to the CRT (cathode set limit tube). : The above is the principle of SEM (scanning electron microscope). By this method: the obtained image can detect defects in semiconductors (usually S0 wafers in the middle of the process). The inspection speed equivalent to the production rate of good products is It is determined by the amount of primary electron beams (current value beam diameter, detector response speed. Beam diameter 0.1 μΓΠ (think of it as the same resolution energy), current value ι〇〇ηΑ, response speed of the detector 100MHZ etc. are now the highest values. At this time, the inspection speed is 20 cm for wafers with a diameter of 8 hours / piece. In the SEM inspection device described above, the above inspection degree has almost reached its limit. If you want to achieve a faster speed, which means that if you want to improve the production rate of good products, you must use other new methods. [Invention of the Invention] The present invention relates to an electronic wire suitable for a sheet-type beam inspection device. Device '· A method of manufacturing a semiconductor device using the device and a method of exposing it. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs This paper is printed in accordance with China National Standard (CNS) A4 (210x 297 mm) ) Can be made up of the secondary electron beam 1 generated by the substrate, and introduced into a secondary electron optical system of an image processing system; 539845 A7

經濟部智慧財產局員工消費合作社印製 可以將前述基板保持在至少具有一定自由度的連續 性以進行移送的工作台; 5 前述基板的檢查室; 用以將基板搬入前述檢查室中的基板搬送機構; 用以檢出刖述基板的缺陷的圖像處理解析裝置· 前述檢查室的除振機構; 用以支撐前述檢查室的真空狀態的真空系; 以及用以表示或儲存前述基板的缺陷位置的控制系 等。 μ 而在本發明的第2實施型態中,則提供一種能夠適用 於將電子線照射在檢查對象上,以檢查前述檢查對象的檢 查裝置的電子線裝置;及使用該電子線裝置的半導體裝置 製造方法。 本發明的第2實施型態具備有: 將來自電子線源的一次電子線照射在前述檢查對 象’並將藉由前述一次電子線照射而釋出的二次電子線的 影像予以投影的電子光學系; 用以檢出藉由前述電子光學系所投影的二次電子線 像的檢出器; 用以支撐前述檢查對象,並使之與前述電子光學系進 行相對性移動的工作台裝置; 讓清淨氣體流入前述檢查對象中,防止塵埃覆蓋在前 述檢查對象之上的小型環境裝置; 用以收納前述工作台裝置,並控制在真空狀態下的工 --------tT--------- (請先閱讀背面之注意事項再填寫本頁). 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐) 4 312766 ι39845 擎 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 A7 B7 五、發明說明(5 ) 作室; 配置在前述小型環境裝置以及工作室之間,各自獨立 並可控制在真空狀態下的至少兩個以上的加載室; 及具備有:π在前述小型m境裝置以及前述的一個加 載室之間移送前述檢查對象的搬送裝置;及可在前述其中 一個加載室與前述工作台裝置之間移送上述檢查對象的另 一搬送裝置的裝載器等。 並^供一種檢查裝置,係稭由振動閘門裝置支撐前述 工作室及加載室而構成。 此外,本發明第2實施形態,具備有: 將來自電子線源的一次電子線照射在前述檢查對 象’利用減速電界型對物鏡片將藉由前述一次電子線的照 射所釋出的二次電子線加速,並將其影像投影的電子光學 系以及;用以檢出藉由前述電子光學系所投影的二次電子 線像的檢出器;配置於前述減速電界型對物鏡片與前述檢 查對象之間,具有控制前述檢查對象的前述一次電子線的 造照射面上的電場強度的電極的電子光學裝置; 用以支撐前述檢查對象,並與前述電子光學系作相對 性移動的工作台裝置; 用以收納前述工作台裝置,並控制在真空狀態下的工 作室; 將前述檢查對象供應4前述工作室内的前述工作台裝 置的裝載器; 以電子線照射被配置在前述工作室當中的前述檢查 -------------^--------^---------^ (請先閱讀背面之沈意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4^^⑵◦ χ 297么;^ 5 ηττδ^· 539845 五、發明說明(6 ) 對象,以減少前述檢查對象的帶電差異性,或意圖性地使 其帶電的充電裝置以及對前述振動間門裝置施加電位的電 位施加機構等。 在上述檢查裝置中,前述裝载器具備有:各自獨立, 並可控制環境的第!加載室與第2加載室;可在第】加載 室及其外部之間’搬送前述檢查對象的第!搬送裝置·及 設置在前述第2加載室中,可在前述第!加載室内與前述 工作台裝置之間搬送前述檢查對象的第2搬送裝置,而前 述电子線裝置’逛具備有:區隔式小型環境空間,用以阻 隔將清淨氣體送入藉由前述裝载器所搬入的檢查對象,以 防止塵埃附著,同時可藉由描 > 糟由振動斷裝置,利用支樓裝置來 支撐刖述加載室以及前述工作室。 另外,在上述檢查裝置中, ^ ^ _ 遷具備有·為決定前述檢 Μ别述電子光學系中的相對位置,而觀察前述檢查 並控制對準的對準控制裝置;用以檢查前述工 >开益士二 座&的每射干涉測距裝置, 也可藉由前述對準控制裝置, 用存在於檢查對象中的圖 經 濟 部 智 慧 財 產 工 消 費 合 作 社 印 製 像I决疋檢查對象的座標, 敕 士 h 呀刚述檢查對象的位置調 整也可同時包含··前述小型 敕·爲义、+、 ^ 衣兄二間内所進行的粗位置調 回棘方^$ 進仃的XY方向的位置調整與 口轉方向的位置調整等。 而且’本發明的第2實施 t 制提供一種丰導體裝置 製造方法,可利用該種檢查 圓的缺陷。 置檢出製程途中或之後的晶 “張尺度適 312766 7 本發明的第3實施型態 屬於-種藉由電子光學系將多條電子線源所釋出的 電子線成像於試料面上的電子線裝置,其特徵為提供— 電子線裝置,具備有·· 用以分離前述電子線源與前述電子光學系用的分隔 板該刀隔板並具有用以讓前述電子線通過的縱橫比較 的孔。 人 ,前述孔,係在各電子線源上設置2個以上。且每—個 月ii述孔均形成在前述電子線源光軸之外的位置上。前述 分隔板係以高剛性材料製造’理想上最好在前述分隔板上 設置前述電子線源以及前述電子光學系。 .另外,本發明的第3實施型態也提供一種半導體裝置 製造方法,係利用上述電子線裝置,進行製程途中的晶圓 評鑑。 本發明的第4實施型態, 係一種評鑑裝置,藉著包含靜電透鏡的靜電光學系, :電子線入射到試料中,再藉著前述電子線的入射,檢出 則述試料所產生的二次電子線並形成資料,再根據前述資 料來評《述試料’其特徵為:m作關數在5Εν以上 的金屬來電鍍前述靜電光學系内的電極。 藉由此種評價裝置,由於會以工作關數在5ev以上的 金屬電鑛電極或電極的某-部份,因此會使電極所釋出的 二次電子線減少’在電極間較不易產生放電的現象,而不 易引起電極間的絕緣破壞。 539845 A7 B7 五 本纸張尺度適用中國國 發明說明 用白::電鍍前述靜電光學系内的電極的金屬最好能使 數:5二=為主的合金。此時,若能夠以白金(工作關 -部份,將白金為主的合金來電錢電極或電極的某 也較不:出的二次電子線的量減少,電極間 外, 生放電的現象,而減少電極間的絕緣破壞。此 附著半導體晶圓,或即使半導體晶圓的圖案上 电又,極上的白金’也不會使電晶體產生劣化現 ’因此適用於半導體晶圓的檢查上。 本發月的第4實施型態還提供一種評價裝置, 到試sr含有靜電透鏡的靜電光學系,、讓電子線入射 m’再藉著前述電子線的入射,檢出前述試料所 述並形綱’再根據前述資料來評鑑前 以及::靜電透鏡位於具有電位差的至少2個以上的電極 至二以上的電極之間,包含有用以支撐前述 至V 2個以上的電極的絕緣材料, :前二少2個以上的電極當之中至少有一側的電極 八備有.“述至少2個的電極之間形成最短的電極間距 離的第1電極面;電極間距離較前述第!電極面為長的第 2電極面;在前述第1電極面與第2電極面之間的前述至 少2個以上的電極間方向的段差, 前述絕緣材料,在前述至少2個電極之間,會以略垂 直方式支料述第2電極面與另外_側的電極的電極面, 前述絕緣材料的前述至少2個的電極之間的最短距離的長 ~312766 ;1.!-------#衣 (請先閱讀背面之注意事項再填寫本頁) 訂*丨 539845 A7Printed by a consumer cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs, which can maintain the aforementioned substrates at least with a certain degree of continuity for transfer; 5 an inspection room for the aforementioned substrates; a substrate transfer for carrying the substrates into the aforementioned inspection rooms Mechanism; image processing and analysis device for detecting defects of the substrate; vibration damping mechanism of the inspection room; a vacuum system for supporting a vacuum state of the inspection room; and a position for indicating or storing the defect of the substrate Control system. μ In a second embodiment of the present invention, there is provided an electronic wire device applicable to an inspection device for irradiating an electron beam on an inspection object to inspect the inspection object; and a semiconductor device using the same Production method. A second embodiment of the present invention includes electron optics that irradiates a primary electron beam from an electron beam source onto the inspection object and projects an image of a secondary electron beam released by the primary electron beam irradiation. A detector for detecting a secondary electron line image projected by the aforementioned electron optical system; a table device for supporting the inspection object and making it relatively move with the aforementioned electron optical system; Clean gas flows into the inspection object to prevent dust from covering the small environmental device on the inspection object; it is used to store the workbench device and control the work under vacuum state -------- tT --- ------ (Please read the precautions on the back before filling out this page). This paper size is applicable to China National Standard (CNS) A4 (210x297 mm) 4 312766 ι39845 Manufacturing A7 B7 V. Description of the invention (5) Working room; at least two or more of which are arranged between the aforementioned small environmental devices and working rooms, which are independent and can be controlled in a vacuum state A loading chamber provided with: a transfer device that transfers the inspection object between the small-scale environment device and the one loading chamber; and a transfer device that can transfer the inspection object between one of the loading chambers and the table device Loader of another transfer device. An inspection device is provided, which is composed of a vibrating gate device supporting the aforementioned working room and loading chamber. In addition, a second embodiment of the present invention includes: irradiating a primary electron beam from an electron beam source to the inspection object; the secondary electrons released by the primary electron beam irradiation by the primary electron beam using a deceleration electric field lens; An electronic optics system that accelerates a line linearly and projects its image; a detector for detecting a secondary electron line image projected by the aforementioned electronic optics system; arranged on the deceleration electric field type objective lens and the inspection object An electro-optical device having an electrode for controlling the electric field intensity on the irradiation surface of the primary electron beam of the inspection object; a table device for supporting the inspection object and moving relative to the electron optics system; It is used to store the workbench device and control the working room in a vacuum state; supply the inspection object to the loader of the workbench device in the workroom; and irradiate the inspections arranged in the workroom with an electron beam. ------------- ^ -------- ^ --------- ^ (Please read the connotation on the back before filling this page) Be applicable National Standard (CNS) A4 ^^ ⑵◦ χ 297? ^ 5 ηττδ ^ · 539845 V. Description of the invention (6) Object to reduce the charging difference of the aforementioned inspection object, or a charging device intended to be charged And a potential applying mechanism for applying a potential to the vibration door device. In the inspection device described above, the aforementioned loaders are provided with: each independently capable of controlling the environment! The loading chamber and the second loading chamber; the first inspection object can be transported between the first loading chamber and the outside! The transfer device and the second loading chamber are installed in the second loading chamber. The second transfer device that transfers the inspection object between the loading chamber and the workbench device, and the electronic wire device is provided with a partitioned small environmental space to prevent the feeding of clean gas into the loader. The carried-in inspection object can prevent dust from adhering, and at the same time, it can support the loading room and the above-mentioned working room by means of a vibration breaking device and a branch device. In addition, in the above-mentioned inspection device, ^ ^ _ is provided with an alignment control device for determining the relative position in the aforementioned electro-optical system and observing the inspection and controlling the alignment; for inspecting the aforementioned process The Kai Yishi two & per-interference distance measuring device can also use the aforementioned alignment control device to print an image of the inspected object using the map of the Intellectual Property Workers Consumer Cooperative of the Ministry of Economic Affairs, which is present in the inspection object. Coordinates, 敕 士 h, the position adjustment of the inspection object just described can also include the aforementioned small 敕. The meaning, +, ^ The coarse position adjustment performed in the second room of the elder brother ^ $ XY direction Adjustment of the position and position adjustment of the mouth turning direction. In addition, the second embodiment of the present invention provides a method for manufacturing a rich conductor device, which can be used to inspect defects in a circle. Detecting crystals in the middle of or after the production process "Zhang Zhishi 312766 7 7 The third embodiment of the present invention belongs to a kind of electrons that image the electron beams released by a plurality of electron beam sources on the sample surface by means of an electron optics system. The wire device is characterized by providing an electronic wire device provided with a dividing plate for separating the electron wire source from the electron optical system, a knife partition, and a vertical and horizontal comparison for passing the electron wire. The hole is provided by two or more holes on each electron source. Each of the holes is formed at a position outside the optical axis of the electron source. The partition plate is highly rigid. Ideally, it is preferable to provide the aforementioned electron beam source and the aforementioned electron optical system on the aforementioned partition plate. In addition, the third embodiment of the present invention also provides a method for manufacturing a semiconductor device using the aforementioned electron beam device, Wafer evaluation during the manufacturing process. The fourth embodiment of the present invention is an evaluation device. By means of an electrostatic optical system including an electrostatic lens, an electron beam is incident on the sample, and then the aforementioned electron beam is used. Incident, detection, the secondary electron line generated by the sample and the formation of data, and then based on the foregoing data to evaluate the "Sample" is characterized by: m as the number of metals above 5Eν to plate the electrodes in the electrostatic optical system With this kind of evaluation device, since the metal ore electrode or a certain part of the electrode with a working level of 5ev or more will be used, the secondary electron rays released by the electrode will be reduced, which is less likely to occur between the electrodes. The phenomenon of discharge is not easy to cause insulation damage between the electrodes. 539845 A7 B7 Five paper sizes are applicable to the Chinese invention. White: The metal used to plate the electrodes in the aforementioned electrostatic optical system is best to make the number: 5 = 2 = The main alloy. At this time, if you can use platinum (work off-part, the platinum-based alloy into the money electrode or some of the electrode is also less: the amount of secondary electrons out of the electrode is reduced. The phenomenon of discharge reduces the insulation damage between the electrodes. This applies to the semiconductor wafer, or even if the pattern of the semiconductor wafer is powered on, the platinum on the electrode 'does not cause deterioration of the transistor', so it is applicable For the inspection of semiconductor wafers, the fourth embodiment of the present month also provides an evaluation device for testing electrostatic optical systems that contain electrostatic lenses, and allowing electrons to enter m ', and inspecting the incident electrons through the aforementioned electrons. Before the evaluation of the above-mentioned specimens, and before the evaluation according to the foregoing materials, and: the electrostatic lens is located between at least 2 or more electrodes and 2 or more electrodes with a potential difference, and it is included to support the 2 to V or more Insulation material for the electrode: the first two and less than two electrodes should have at least one electrode on one side. "The first electrode surface that forms the shortest electrode distance between at least two of the electrodes; between the electrodes; The second electrode surface having a distance longer than the first! Electrode surface; the step difference in the direction between the at least two or more electrodes between the first electrode surface and the second electrode surface, and the insulating material is in the at least two Between the electrodes, the electrode surface of the second electrode surface and the electrode on the other side will be supported in a vertical manner, and the shortest distance between the aforementioned at least two electrodes of the insulating material is ~ 312766; 1.!- ------ # (Please read the back issues of the note and then fill in this page) book * Shu 539845 A7

經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 五、發明說明(9) 度會與被支撐的電極部份的電極間距離中的長度大致相 同。 "依照此種評鏗,若藉由沿面距離較長的絕緣材料來支 撐電極,將較不易發生電極間的放電,同時也較不會產生 電極間的絕緣破壞。而…少有一邊的電極形狀;藉由 在第1電極面及第2電極面’以及在這些電極面之間嗖置 段差的方式,使絕緣材料表面不會被加玉缝形,而加工 費用也會比較便宜。 另外,在絕緣材料的電極間的最短沿面距離,會與被 支撐的電極部份的電極間距離呈大致相同的長度,使電極 間的絕緣的表面幾乎沒有凹凸部份,同時也會抑制絕緣材 料所釋出的氣體的增加。因&,不會降低裝置的光束通路 的真空度。 用以電鍍前述靜電光學系内的電極的金屬最好是白 金或以白金為主的合金。卩白金或白金為主的合金來電鍍 電極或電極的一部份時,電極間的放電,以及電極間的絕 ,破壞現象將會減彡。另夕卜,即使試料為半導體晶圓,半 導體晶圓的表面上附著有電鍍在電極上的白金時,也不會 產生裝置性能劣化的現象,因此較適用於半導體晶圓的檢 查上。 此外,本發明的第4實施型態,係一種使用上述評鑑 裝置的裝置製造方法,其特徵為: 係在裝置製造途中,利用前述評鑑裝置對尚處於前述 試料狀態下的半導體晶圓的圖像進行評鑑。 ---- (請先閱讀背面之注意事項再填寫本頁) •線- 準(CNS)A_4_ 規格⑵〇 312766 五、發明說明(η ) 方向予以逆轉。 該電子線裝置,係藉由一次光學 在試料上,並藉由ExB分離_人電子線照射 (請先閱讀背面之注意事項再填寫本頁) 刀離為將忒枓所釋出的二次電子綠 -次光學系分離出來,然後再將其導入二次光學 、並 特徵為:將由£ χ Β分離 *、 八 向量一Am 電子線的磁場的偏 °又疋為電场的偏向量的2倍,且偏向方向為逆向。 在此情形下’最好藉由前述一次光學系形成由複數光 所形成的一次電子線’並照射在試料面上, 二人電子線檢出器檢出藉由前述複數光束所形成的 線的照射而由前述試料釋出的二次電子線。 子 上述電子線裝置均可使用在缺陷檢查裝置,線幅 、置’對準精度敎裝置以及高時間分解能的 定裝置上。 野比測 另外,本發明的第5實施型態提供一種半導體裝置制 造方法,其特徵為可利用上述電子線裝置來檢查 的半導體裝置。 办啦攻中 經濟部智慧財產局員工消費合作社印製 本2明的第6實施型態,係一種電子線裝置,具備有: 、、】疋裝置,用以測定相關於前述對物透鏡中至少3個 乂上的激起電壓(extiuti〇n v〇ltage),沿著前述第2 描與前述第1方向平行的圖像-邊緣時,用以表示二次:: 線訊號波形的升起的第1資料;及沿著前述第1方向:护 與刖述第2方向平行的圖案_邊緣候,用以表示二次電: 訊號波形的升起的第2資料; 、、、 用乂求得%述對物透鏡的激起條件(exitati〇n⑺如出⑻)的裝靑· $氏狀度剌巾^?7^7^177297¥¥3--1 月仏 312766 11 (修正頁) B7 539845 五、發明說明(l2 ) 物透鏡的激㈣件係分n次式使前述第广 第2資料相近,並分別表示前述二次式的最^枓與前述 配合裝置,可使前述對物透鏡與所求 二 件的代數平均相配合。 的别述激起條 亦可對應前述試料配置複數上述電子 對應的前述對物透鏡’ “將前述複數—次…藉由所 束在與前述試料不同的地方。 ’、、同時集 料:最好再具備一種裝置,在前述圖案 下’猎由雨述激起裝置’藉由與前述代數平均數相等的: 壓㈣述對物透鏡激起後,先補正其非點像差, 行岫述圖案的評鑑。 進 卜本發明的第6實施型態’提供-種電子線裝置, ==包3對物透鏡的電子光學系1電子線集束,並藉 以别述電子線掃描圖|,以進行該圖帛的評笋, 前述對物透鏡具備有··施加有與接地較近的電壓的第 1電極,及施加有與接地較遠的電壓的第2電極, 藉由變化施加於前述D電極上的電麼,可變化前述 對物透鏡的焦距, 前述激起裝置具備有:為使前述對物透鏡的焦距產生 較大的變化,而改變施加在前述第2電極上的電磨的裝 置i以及為使前述焦距在短時間内產生變化,而改變施加 在前述第1電極上的電壓的裝置。 、本發明的第6實施型態也提供一種半導體裝置製造方 法,其特徵為利用上述電子線裝置,對製程途中的半導體 312766 意 者 Φ 12 539845 A7 ____B7 五、發明說明(I3 晶圓進行評鑑。 本發明的第7實施型態提供一 子線照射物質的方式,執行該物質的加電:線:置,係以電 及檢查等各…至少-種項目,其特徵為製;備:測以 用以決疋對應物質的電子磕 〇貝幻%千線位置的機械結· 在該機械體之上,藉由钍Μ口 件; 肖由、構體的振動而承受力量的電塵元 以電性方式與電壓元件相接續, , 业」,我弱由該電壓元 件所輸出的電氣能源的振動減弱用電路等。 以電子線照射物質,以進行該物質的加工,製造,觀 測以及檢查等各項目之至少i中 朴 h ^ . ± ,、中1項時,右對機械結構體 施加包括該固有振動的共振頻率的振動成分的外力時,該 機械結構體會根據該傳遞關數所訂定的共振倍率,放大振 動該振動成分。該振動會將力量加諸在上述壓電元件上。Printed by the Consumer Affairs Agency, Intellectual Property Office of the Ministry of Economic Affairs. 5. Description of the invention (9) The length will be approximately the same as the distance between the electrodes of the supported electrode part. " According to this evaluation, if the electrode is supported by an insulating material with a longer creepage distance, the discharge between the electrodes will be less likely to occur, and the insulation damage between the electrodes will be less likely to occur. And ... the shape of the electrode on one side is rare; by forming a step difference between the first electrode surface and the second electrode surface 'and the electrode surface, the surface of the insulating material will not be jade-shaped, and the processing cost It will be cheaper. In addition, the shortest creepage distance between the electrodes of the insulating material will be approximately the same length as the distance between the electrodes of the supported electrode portion, so that the surface of the insulation between the electrodes has almost no unevenness, and the insulating material will be suppressed. Increase in released gas. As a result, the vacuum degree of the beam path of the device is not reduced. The metal used to plate the electrodes in the electrostatic optical system is preferably platinum or an alloy mainly composed of platinum.卩 When platinum or a platinum-based alloy is used to plate an electrode or part of an electrode, the discharge between the electrodes and the insulation between the electrodes will reduce the damage. In addition, even if the sample is a semiconductor wafer, when the surface of the semiconductor wafer has platinum plated on the electrodes, the performance of the device does not deteriorate, so it is more suitable for the inspection of semiconductor wafers. In addition, a fourth embodiment of the present invention is a device manufacturing method using the above-mentioned evaluation device, which is characterized in that: during the device manufacturing process, the evaluation device is used for semiconductor wafers still in the sample state. The images are evaluated. ---- (Please read the precautions on the back before filling this page) • Line-quasi (CNS) A_4_ Specification ⑵〇 312766 5. Direction of invention (η) is reversed. This electronic wire device is a piece of optics on the sample and separated by ExB _ irradiation of human electrons (please read the precautions on the back before filling this page). The secondary electrons will be released by the knife. The green-secondary optical system is separated, and then introduced into the secondary optics, and is characterized by: the separation of the magnetic field of the eight-vector-Am electron wire by the separation of £ χ Β *, and twice the bias vector of the electric field , And the deflection direction is reverse. In this case, 'the primary electron line formed by the complex light is preferably formed by the aforementioned primary optical system' and irradiated on the sample surface, and the two-person electron beam detector detects the line formed by the aforementioned plural beams. The secondary electron beam emitted from the sample by irradiation. The above electronic wire devices can all be used in defect inspection devices, line width, alignment 'alignment accuracy devices, and fixed devices with high time resolution. Field test In addition, a fifth embodiment of the present invention provides a method for manufacturing a semiconductor device, which is characterized by a semiconductor device that can be inspected by using the electronic wire device. The 6th implementation form printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs of the People's Republic of China is an electronic wire device, which is equipped with: The excitation voltages on the three ridges (extiuti〇nvοltage) are used to represent the second time when the image-edge parallel to the first direction is traced in the second direction :: The rising first line of the line signal waveform 1 data; and along the aforementioned first direction: a pattern_edge candidate that is parallel to the second direction of the description, used to indicate the second data: the second data of the rise of the signal waveform; Describe the excitation conditions for the objective lens (exitati〇n), as shown in the figure. $ 氏 状 度 剌 巾 ^? 7 ^ 7 ^ 177297 ¥ 3--1 Month 312766 11 (revised page) B7 539845 5 2. Description of the invention (l2) The excitation element of the objective lens is similar to the second data in the nth order, and represents the maximum of the second order and the matching device, respectively. Find the algebraic average of the two pieces to fit. The above-mentioned excitement bar can also correspond to the above-mentioned sample with a plurality of the above-mentioned objective lenses corresponding to the above-mentioned electrons, "" the foregoing plural-times ... by being bundled in a place different from the above-mentioned sample. " There is also a device, under the aforementioned pattern, the "hunting by the rain and excite the device" is equal to the aforementioned algebraic mean: after the objective lens is excited, the astigmatism is corrected first, and the pattern is described. Introducing the sixth embodiment of the present invention, 'providing a kind of electronic wire device, == package 3 electron optics of the objective lens 1 electron wire clustering, and other electron beam scanning diagrams | In the evaluation of this figure, the objective lens is provided with a first electrode to which a voltage closer to the ground is applied, and a second electrode to which a voltage farther from the ground is applied, and is applied to the D electrode by a change. The electric power can change the focal length of the objective lens, and the excitation device is provided with a device for changing the electric grinder applied to the second electrode in order to change the focal length of the objective lens. And to keep the aforementioned focal length short A device that changes within time and changes the voltage applied to the first electrode. A sixth embodiment of the present invention also provides a method for manufacturing a semiconductor device, which is characterized in that the above-mentioned electronic wire device is used for semiconductors in the manufacturing process. 312766 Intention Φ 12 539845 A7 ____B7 V. Description of the invention (I3 wafer for evaluation. The seventh embodiment of the present invention provides a way to irradiate the substance with a sub-line, and perform power-up of the substance: line: set, with Each of electricity and inspection ... at least-a type of item, characterized by the system; preparation: measuring the mechanical junction to determine the position of the electron of the corresponding substance. M parts; Xiao You, an electric dust element that bears force from the vibration of the structure, is electrically connected to the voltage element, and the circuit is used to weaken the vibration attenuation of the electrical energy output by the voltage element. When irradiating a substance with an electron beam to perform processing, manufacturing, observation, and inspection of the substance, at least one of the following items: h ^. ±, and middle, apply the natural vibration to the mechanical structure including the natural vibration. When an external force vibration component of the resonance frequency of the mechanical structure of the transmission according to the number of experience laid off resonance magnification, the vibration is amplified vibration component. This vibration forces will be imposed on the piezoelectric element.

經濟部智慧財產局員工消費合作社印製 摩電元件,雖會將機械結構體的振動能源變換成電氣能源 後輸出,但由於振動減弱用電路會減弱該種電氣能源,為 抵消施加在壓電元件上的外力,壓電元件會產生力量。藉 此,可抵消由機械共振所產生的振動,並降低共振倍率。 上述機械結構體,係產生在電子線應用裝置中造成振 動問題的一部份或全部,為一種可決定電子線位置的任意 機械性構造物。例如,用以將電子線成像為物質的光學系 的光學零件,用以收納該種光學系的鏡筒,用以載置物貿 的支持台,或能夠將藉由將電子線照射在物質上而產生的 二次性電子線成像於檢出器上的光學系的光學零件,用以 本紙張尺度_巾_家g^(CNS)A4規格⑵〇 297公釐) 539845 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明(U ) 收納該種光學系的鏡筒,用以收納檢出器的鏡筒等。 _上述振動減弱用電路,至少必須具備有:具有電感的 兀件或作為該元件的等價電路的電感性裝置,肖電感性裝 置與具有靜電容量的壓電元件相接續而形成共振電路。為 使該共振電路的共振頻率在實際上能夠與機械結構體的共 振頻率達到—致,乃訂定對應壓電元件之靜電容量的電感 性裝置的電感。 此外,振動減弱用電路中最好具備有電阻元件。在此 種情形下’在共振頻率中,壓電元件的容量性阻抗與電感 性裝置的阻抗會產生相互抵消,使共振電路的阻抗在實質 上:成為電阻元件。因在共振時’由壓電元件輸出的 電氣能源會因電阻元件之故,而被當作熱能源全部予以消 耗。 本發明的第7實施型態,提供一種半導體製造方法, 具備有:可利用上述電子線裝置,執行半導體裝置的加工 及製造,或對加工中或已完成的半導體裝置進行觀測及檢 查之至少其中1項的程序。 根據本發明第8實施型態,以靜電方式吸著保持晶圓 的靜電夾盤’係在晶圓的施加電壓由〇伏特達到所定電壓 為止的時間中,被增大或減少,靜電夾盤是由基板,電極 板以及絕緣層等重疊而成的,與晶圓的施加電壓產生連動 的電壓被施加在靜電夾盤的電極板上時,會使晶圓與夾盤 之間產生吸引力。該電極板被分割為··由電極板的中央部 份及周邊的一部份所形成的第1電極;及由電極板剩餘的 (請先閱讀背面之注意事項再填寫本頁) • ^|^衣---- 訂--------- 夢·The consumer property cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs prints motor-electric components. Although the vibration energy of the mechanical structure is converted into electrical energy and output, the vibration attenuation circuit weakens this electrical energy. The external force on the piezoelectric element will generate force. This can cancel the vibration caused by mechanical resonance and reduce the resonance magnification. The above-mentioned mechanical structure is a part or all of the vibration problems generated in the electronic wire application device, and is an arbitrary mechanical structure that can determine the position of the electronic wire. For example, an optical part of an optical system used to image an electron beam as a substance, a lens barrel for storing the optical system, a support table for a material trade, or an electron beam can be irradiated on the substance. The generated secondary electron beams are used to image the optical parts of the optical system on the detector, and the paper size is _ towel_ 家 g ^ (CNS) A4 (⑵297297 mm) Printed by the consumer cooperative. V. Description of the invention (U) The lens barrel of the optical system is used for storing the lens barrel of the detector and the like. _ The circuit for attenuating vibration must include at least an inductive element or an inductive device which is an equivalent circuit of the element. The inductive device is connected to a piezoelectric element having an electrostatic capacity to form a resonant circuit. In order that the resonance frequency of the resonance circuit can actually reach the resonance frequency of the mechanical structure, the inductance of the inductive device corresponding to the electrostatic capacity of the piezoelectric element is determined. In addition, it is preferable that the vibration damping circuit be provided with a resistance element. In this case, 'at the resonance frequency, the capacitive impedance of the piezoelectric element and the impedance of the inductive device cancel each other out, so that the impedance of the resonance circuit is essentially: a resistance element. Because at the time of resonance, the electrical energy output by the piezoelectric element is consumed as heat energy due to the resistance element. A seventh embodiment of the present invention provides a semiconductor manufacturing method including at least one of the above-mentioned electronic wire device that can perform processing and manufacturing of a semiconductor device, or observe and inspect a semiconductor device that is being processed or completed. 1 procedure. According to the eighth embodiment of the present invention, the electrostatic chuck 'that electrostatically attracts and holds the wafer' is increased or decreased during the time until the applied voltage of the wafer reaches a predetermined voltage from 0 volts. The electrostatic chuck is When a substrate, an electrode plate, and an insulating layer are superimposed, and a voltage interlocking with the applied voltage of the wafer is applied to the electrode plate of the electrostatic chuck, an attractive force is generated between the wafer and the chuck. The electrode plate is divided into ... the first electrode formed by the central part and the peripheral part of the electrode plate; and the rest of the electrode plate (please read the precautions on the back before filling this page) • ^ | ^ Clothes ---- Order --------- Dream ·

私紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) uz /hfS A7 A7Private paper size applies to China National Standard (CNS) A4 (210 x 297 mm) uz / hfS A7 A7

五、發明說明(I5 ) 其他周邊部份所形成的第2電極。最初會將電屡施 1電極上’接著讓晶圓成為接地或低電位的狀態後 電壓施加在第2電極上。 根據本發明第8實施型態,關於晶圓與利用靜電方式 吸著支撐該晶圓的靜電夾盤間的組合方面,靜電夾盤曰L 基板’電極板以及絕緣層等重疊而成’晶圓會藉由所定的 抗力及接觸子被施加以電磨,接觸子係—種前端可接觸到 晶圓背面的針狀或刀尖能夠接觸到晶圓側面的刀緣狀物。 本發明第8實施型態提供—種裝置製造方法,利用靜 電夹盤或其組合來吸著支撐晶圓。 本發明第9實施型態,提供一種電子線裝置,係將試 料載置在XY工作台上’在真空狀態中將該試料移動到任 思位置上,使電子線照射到試料面上的裝置。其特徵為: 在該XY工作台上設置有:由靜壓軸承所構成的非接 觸性支撐機構以及;由差動排氣所構成的真空密封機構, 電子線照射該試料面的位置,與該χγ工作台的靜壓 軸承的支撐部之間,設置有使電導變小的分隔板, 可使電子線照射領域與靜壓軸承的支撐部之間產生 壓力差。 根據本發明第9實施型態,用以載置試料之χγ工作 台的支撐機構係適用以靜壓軸承所構成的非接觸型支撐機 構’為避免使用於靜壓軸承的高壓氣體部漏出至真空室 内’在靜壓軸承的周圍設置由作動排氣所構成的真空密封 機構’藉此工作台裝置可在真空狀態中發揮高精密度的位 訂 線 經濟部智慧財產局員工消費合作杜印製 539845 A7 B7 五、發明說明(b) 置決定機能,而且,藉由與電子線照射位置之間/ 、 1電導變小的分隔板,即使由工作台的滑動部移 %境時"及附在滑動部表面的氣體會被釋釋出纟,該釋: 氣體亦不容易逸散到電子線照射位置上, Μ此電子線昭射 位置的壓力就不易產生上昇的現象。意即,藉由上述構:, 可安定試料面上的電子線照射位置的真空度,也可以較: 的精密度來驅動工作台’因此可在避免試料表面污染:: 況下,進行高精密度的電子線試料處理。 月 可將差動排氣構造内建在前述分隔板中。此時,因靜 屋軸承的支樓部與電子線照射領域之間會設置分隔板,而 該分隔板的内部又配置有真空排氣通路,使其具倩差動排 氣功能,因此由靜壓軸承的支撐部所釋釋出來的氣體幾乎 不可能在通過分隔板後又得以通過電子線照射領域側。如 $ ’便可將電子線照射位置的真空度維持在更為穩定的狀 也可以讓前述分隔板具備冑冷陷波功㉟。此時,—般 在l〇-7Pa以上的壓力區域内,由真空中的殘留氣體以及材 料表面所排放的氣體的主成分為水分子。因此,若能夠有 效地將水分子排出’便可容易且安定地維持在較高的真空 度的狀態。在此,若能夠將冷卻成_1〇〇1__2〇〇。(:的冷陷波 设置在上述分隔板部,便可利用冷陷波來凍結聚集靜壓軸 承侧所產生的釋放氣體,而使被釋放的氣體難以通過電子 fe ,¼射領域側,因此可容易且安定地維持電子線照射領域 的真二度。該種冷陷波不僅對水分子有效,對於阻礙空氣 本":紙張尺㈣心_家辟 頁 訂 消 312766 539845 A7 B7 五、發明說明(η) 清淨的原因’諸如油類等有機系氣體分子的去除也相當有 效。 % 訂 % > 氮氣 被供 應 到前 述 XY工 作 台 的 靜壓 軸承的 氣 體 最好是乾 或 純 度的 惰 性 氣體 〇 另 外 ,前 述XY 工 作 台, 至少 經 濟 在面 向 靜 壓 軸承 的 零 件表 面 最 好施 予一種 可 降低釋 放氣 部 智 體的 表 面 處 理。 如 上 所述 J 在 靜 壓軸承部中 J 暴 露在 南壓 慧 財 產 氣體環境 中 的工 作 台 的滑動 表 面 ,會 吸著含 高 壓 氣體 的氣 局 員 工 體分 子 當 滑動 部 外 露在 真 空 環境時 ’所吸 著 的 氣體 分子 消 費 會由 表 面 脫離而 成 為 釋放 氣體 而使 真空度 惡 化 。因 此, 合 作 社 為抑 止 真 空 度的 惡 化 ,氣 體 分子 吸著 量的減 少 及 吸著 氣體 印 製 分子 的 快 速排放 乃 更 顯必 要 〇 本紙張尺度_巾關家辟(CNS)A4規格(210 X 297公釐) "312766 另外’也可將前述分隔板設置在電子線照射位置附近 以及靜壓軸承附近等2個地方。此時,由於在電子線照射 位置附近以及靜壓軸承附近等2個地方設置有能夠讓電導 變小的分隔板,真空室將介由小型電導而分割為電子線照 射室,靜壓軸承室及其中間室等3室。並將各室的壓力, 依照較低順序,依次形成電子線照射室,中間室,靜壓軸 承室以構成真空排氣系。藉此,靜壓軸承室中即使發生由 釋放氣體所造成的壓力上昇現象,由於該室已事先將壓力 設定在較高的水準上,因此可將壓力變動率抑制在較低的 水準。因此,藉由分隔板的作用,可將對於中間室的壓力 變動控制在較低水準,再藉由另一層分隔板將對於電子線 照射室的壓力變動控制在更低水準,i可將壓力變動降低 到不會造成實質問題的水準 線5. Description of the invention (I5) The second electrode formed in other peripheral parts. Initially, a voltage is applied to the second electrode after applying electricity to one electrode ', and then the wafer is brought to a ground or low potential state. According to the eighth embodiment of the present invention, regarding the combination of a wafer and an electrostatic chuck that electrostatically absorbs and supports the wafer, the electrostatic chuck is referred to as an L-substrate, and the electrode plate and the insulating layer are stacked to form a wafer. Electro-grinding will be applied by a predetermined resistance and contactor. The contactor system—a needle-like shape or a blade tip with which the front end can contact the back of the wafer can contact the edge of the wafer. An eighth embodiment of the present invention provides a device manufacturing method that uses an electrostatic chuck or a combination thereof to suck and support a wafer. According to a ninth embodiment of the present invention, an electronic wire device is provided, which is a device for placing a sample on an XY table in a vacuum state to move the sample to an arbitrary position so that the electron beam is irradiated onto the sample surface. It is characterized in that the XY table is provided with a non-contact support mechanism composed of a static pressure bearing and a vacuum sealing mechanism composed of a differential exhaust gas. The position where the electron beam irradiates the sample surface and the A partition plate for reducing the conductance is provided between the support portions of the static pressure bearing of the χγ table, and a pressure difference can be generated between the electron beam irradiation area and the support portion of the static pressure bearing. According to the ninth embodiment of the present invention, the support mechanism of the χγ table for placing the sample is a non-contact support mechanism composed of a hydrostatic bearing. In order to prevent the high-pressure gas used in the hydrostatic bearing from leaking to a vacuum, Indoors, 'a vacuum seal mechanism consisting of an active exhaust gas is installed around a static pressure bearing'. This table device can exert high precision in a vacuum state. A7 B7 V. Explanation of the invention (b) The setting determines the function, and the distance between the electron beam irradiation position / and the electrical conductivity becomes smaller, even if the sliding part of the table is shifted to the ambient time " and appendix The gas on the surface of the sliding part will be released. This release: The gas will not easily escape to the position where the electron beam is irradiated, and the pressure at the position where the electron beam is projected will not easily rise. In other words, with the above structure, the vacuum degree of the electron beam irradiation position on the sample surface can be stabilized, and the worktable can be driven by the precision of: 'Therefore, the sample surface can be prevented from being contaminated by: Degree of electronic wire sample processing. The differential exhaust structure can be built into the aforementioned partition plate. At this time, a partition plate is installed between the branch of the static house bearing and the electron beam irradiation area, and a vacuum exhaust passage is arranged inside the partition plate to make it have a differential exhaust function. It is almost impossible for the gas released from the support portion of the static pressure bearing to pass through the partition plate and then pass through the electron beam to irradiate the area side. For example, the vacuum degree of the irradiation position of the electron beam can be maintained at a more stable state, and the aforementioned partition plate can be provided with a cold trap function. At this time, generally, in a pressure region of 10-7 Pa or more, the main component of the residual gas in the vacuum and the gas discharged from the surface of the material is water molecules. Therefore, if the water molecules can be efficiently discharged ', it can be easily and stably maintained in a high vacuum state. Here, if it can be cooled to _1〇001__2〇〇. (: The cold trap is set in the above-mentioned partition plate part, and the cold trap can be used to freeze and collect the released gas generated on the side of the static pressure bearing, and it is difficult for the released gas to pass through the side of the electron field. Therefore, It can easily and stably maintain the true second degree in the field of electron beam irradiation. This kind of cold trap is not only effective for water molecules, but also for obstructing the air. &Quot;: Paper Ruler Heart_ 家 辟 页 订 消 312312 539845 A7 B7 V. Invention Explanation (η) The reason for cleanness' The removal of organic gas molecules such as oils is also quite effective.% Order% > The gas supplied to the static pressure bearing of the XY table is preferably a dry or pure inert gas. 〇 In addition, the aforementioned XY table, at least economically, is best to apply a surface treatment on the surface of the part facing the hydrostatic bearing to reduce the release of the air body. As mentioned above, J is exposed to the south pressure in the hydrostatic bearing part. The sliding surface of the workbench in the property gas environment will attract the gas molecules of the gas bureau staff containing high-pressure gas. When the moving part is exposed to a vacuum environment, the consumption of gas molecules absorbed will be released from the surface to release the gas, which will deteriorate the degree of vacuum. Therefore, in order to prevent the deterioration of the degree of vacuum, the cooperative will reduce the amount of gas molecules adsorbed and gas absorbed. The rapid emission of printed molecules is even more necessary. This paper size_CNS A4 size (210 X 297 mm) " 312766 In addition, 'the aforementioned partition plate can also be set near the position where the electrons are irradiated. And two places near the static pressure bearing. At this time, since the separator is provided to reduce the conductance in two places, such as near the irradiation position of the electron beam and near the static pressure bearing, the vacuum chamber is divided by a small conductance. There are 3 rooms including the electron beam irradiation chamber, the static pressure bearing chamber and its intermediate chamber. The pressure of each chamber is formed in the lower order to form the electron beam irradiation chamber, the intermediate chamber, and the static pressure bearing chamber to form a vacuum exhaust system. In this way, even if the pressure rise caused by the released gas occurs in the static pressure bearing chamber, since the chamber has been previously The pressure is set at a higher level, so the pressure fluctuation rate can be suppressed to a lower level. Therefore, the effect of the partition plate can be used to control the pressure fluctuation of the intermediate chamber to a lower level, and then by another A partition plate controls the pressure fluctuation of the electron beam irradiation chamber to a lower level, i can reduce the pressure fluctuation to a level that will not cause substantial problems

OM-J OM-J 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(I9 此外,本發明之楚n企 月之弟9實施形態, 電子線裝置,以製造半心供㈣用上述 法,由於可利田目導體製造方法。藉由該方 、 用具向精密度的么的栉g 綠π鉍卩砧 ^ 山反扪σ的位置決定性能,且電子 線妝射&域之真空度 #似,认+、 又的裝置’來製造半導體,故可形 成微細的半導體電路。 本發明之第1 0實施形態, 在提供一種電子绫奘 ^ 踝裝置,係屬於對載置於XY台上的 ^料照射電子線的裝置,其特徵為··$ χγ台係收納 體内’並藉由靜壓軸承以非接觸方式支樓於殼體中, 收納該台的殼體進行真空排氣, 該電子線裝置的該試料面上照射電子線的部分的周 圍,設有對試料面上該電子線之照射區域進行排氣的差動 排氣機構。 精此,漏出於真空室内的靜壓軸承用高壓氣體,可先 藉由連接在真空室的真空排氣用配管進行排氣。同時藉由 在照射電子線的部分的周圍設置對電子線照射區域進行排 氣的差動排氣機構,可由真空室内的壓力大幅減少電子線 照射區域的壓力,而達到安定真空度的目的,而藉由該真 空度可毫無問題地進行電子線對試料的處理。亦即,可利 用與般大氣中所使用的靜壓軸承式台具相同構成的台 (不具差動排氣機構之靜壓軸承支撐台)對台上的試料進行 安定的電子線處理。 被供給至前述ΧΥ台的靜壓軸承的氣體,以乾氮氣或 高純度的惰性氣體較為理想。該乾氮氣或高純度的惰性氣 ^ ^---------線 (請先閱讀背面之;i意事項再填寫本頁) 本纸張尺度適用中關家規格_(1_ι〇χ 297公餐 19 J12/66' 539845 B7 五、發明說明(21 ) :::個可產生,會聚—次電子 並糟由檢出器檢出由前述試料 /枓上掃描照射, 二次電子線的光學系,具備有用子線照射部分所釋出的 電壓的滯延電壓施加裝置· 用以對别述試料施加滯延 的滯延電壓施加於前述 々存於則述試料並將最理想 系在構成上,係具備能,t特徵為:前述光學|i 透鏡係將一體的絕緣物加工,::對稱透鏡,而該軸對稱|| 此外,本發明之第u實施形態表面以金屬敷層而成。 提供一種電子線裝置, 有複數個可產生,會聚一 ^堂、一#電子線裝置,具備 的光學系,將由前述子線,並於試料上掃描照射 于線加速,並利用E x B分 人ι 以檢出器檢出,其特徵為且:二刚述一次光學系分離後, 延電壓# f g f € /、有.用以對前述試料施加滯 、电&的邱*延電壓施加裝 態的充電調查機能;根據ί自試料的充電狀 態相關資訊,決定最、,!!述充電調查機能的充電狀 1料㈣μ、^的滯延電壓’並將其施加於前述 顯機能或變更為最理想的電子線電流的機能。 本發明之第11實施形態, 也提供-種電子線裝置,具備有將電子線照射於試料 2先學系;及充電調查機能’其特徵為:前述充電調查機 此’在構成上係在檢出由一次電子線照射於前述試料而產 生的二次電子線,並形成圖像時,對前述試料的特定部分 的圖像偏差或圖像模糊進行評價,其結果為圖像偏移或圖 i像模糊大時,則評價為充電較大。 尺朗财家標準(CNS)A4規格⑵G x 297公爱_ 2】(修正頁) 312766 539845 A7 五、發明說明(22 ) 前述充電調查機能,尚可具備有一種顯示 士、、, 么罝,可於 試料上施加可變更值的滯延電壓,並可在至少 、 //υ ζ個滞 延電壓的狀態下,在試料圖像密度變化較大的境界附近带 成圖像,而由運算器對上述圖像進行圖像偏移或圖像模插 的評價。 此外,本發明之第11實施形態, 提供一種裝置製造方法,其特徵為:係使用上述電子 線裝置’檢出製程途中的晶圓缺陷。 — 本發明之第12實施形態, 提供一種缺陷檢查裝置,係用以檢查試料的缺陷,其 特徵為具備有:圖像取得裝置,可分別取得在試料上一面 進行部分重疊,一面相互變位的複數個被檢查區域的圖 像; 回 記憶裝置,用以儲存基準圖像; 缺陷判斷裝置,藉由比較藉由圖像取得裝置所取得的 複數被檢查區域的圖像;及被儲存在記憶裝置中的基準圖 像’以判斷試料缺陷。在此,做為檢查對象的試料可選擇 任何可檢出缺陷的試料,但本發明,以半導體晶圓為對象 時’可獲得優良的效果。 在本實施形態中,前述圖像取得裝置,可分別取得在 試料上一面進行部分重疊,一面相互變位的複數個被檢查 區域的圖像;而前述缺陷判斷裝置,則藉由比較所取得的 複數被檢查區域的圖像;以及預先儲存的基準圖像,進行 作動以判斷試料缺陷。 準(CNS)A4—(21Q χ 297 公髮 (請先閱讀背面之注意事項再填寫本頁)OM-J OM-J Printed by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 V. Invention Description (I9 In addition, the present invention is an embodiment of the ninth brother of the company, an electronic wire device, to manufacture a half-hearted for the use This method is due to the manufacturing method of the Kelita mesh conductor. The performance of the 向 g green πbismuth 卩 anvil ^ mountain reverse 扪 σ is determined by the precision of the square and the device, and the vacuum degree of the electron beam makeup & domain # Like, recognize +, and another device 'to manufacture semiconductors, so that fine semiconductor circuits can be formed. According to the tenth embodiment of the present invention, an electronic ankle device is provided, which belongs to a pair of devices mounted on an XY stage. The device for irradiating electron beams is characterized in that the χ γ table is stored in the body 'and is supported in a non-contact manner by a static pressure bearing in a housing, and the housing housing the table is evacuated by vacuum, A differential exhaust mechanism for exhausting the irradiation area of the electron beam on the sample surface is provided around the portion where the electron beam is irradiated on the sample surface of the electron beam device. With high pressure gas, you can first connect The exhaust pipe is used to evacuate the vacuum chamber. At the same time, by providing a differential exhaust mechanism that exhausts the electron beam irradiation area around the portion irradiating the electron beam, the electron beam irradiation can be greatly reduced by the pressure in the vacuum chamber. The pressure in the region achieves the purpose of stable vacuum, and the vacuum can be used to process the sample without any problems. That is, the same static pressure bearing table used in ordinary atmosphere can be used. The constructed table (static pressure bearing support table without differential exhaust mechanism) performs stable electronic wire processing on the sample on the table. The gas supplied to the static pressure bearing of the aforementioned XY table is dry nitrogen or high purity inert. The gas is ideal. The dry nitrogen or high-purity inert gas ^ ^ --------- line (please read the back of the first; i matter before filling this page) This paper size applies to Zhongguanjia specifications_ (1_ι〇χ 297 public meals 19 J12 / 66 '539845 B7 V. Description of the invention (21) ::: Can be generated, converged-secondary electrons are detected by the detector by the aforementioned sample / scan scan irradiation, Optical system of secondary electrons, useful Hysteresis voltage applying device for the voltage released from the irradiation part of the strands. The hysteresis voltage is used to apply the hysteresis voltage to other samples. The hysteresis voltage is applied to the above-mentioned samples and the most ideal is the structure. The feature of t is: the aforementioned optical lens is processed as an integral insulator: a symmetrical lens, and the axis is symmetrical || In addition, the surface of the uth embodiment of the present invention is formed with a metal coating. Provide an electronic wire The device has a number of devices that can be generated, converging a ^ tang, a # electronic wire device, equipped with an optical system, will scan from the aforementioned sub-line, and irradiate the line to accelerate, and use E x B to separate people to detect The device is detected, and is characterized by: after the optical system is separated once, the extension voltage # fgf € /, yes. The charging investigation function for applying hysteresis, electricity &; Based on the information about the charging status of the self-test material, determine the most ,! !! The charging state of the charging investigation function is described as follows: a delay voltage of μ, ^ is applied to the above-mentioned display function, or the function of changing to an optimal electronic wire current is applied. The eleventh embodiment of the present invention also provides an electronic wire device including an electron beam irradiated onto the sample 2 pre-school department; and a charging survey function 'characterized in that the aforementioned charging survey machine is structurally inspected. When a secondary electron beam generated by irradiating a primary electron beam on the sample is formed, and an image is formed, the image deviation or image blur of a specific part of the sample is evaluated, and the result is an image shift or a graph i When the image blur is large, it is evaluated that the charging is large. Ruler of wealth standard (CNS) A4 specification ⑵G x 297 public love _ 2] (correction page) 312766 539845 A7 V. Description of the invention (22) The aforementioned charging survey function can still have a display, A hysteresis voltage with a changeable value can be applied to the sample, and an image can be brought into the vicinity of the state where the density of the sample has a large change in the state of at least // υ ζ hysteresis voltages. The above-mentioned images are evaluated by image shift or image interpolation. In addition, an eleventh embodiment of the present invention provides a device manufacturing method, characterized in that a wafer defect in the middle of a manufacturing process is detected using the above-mentioned electronic device. — The twelfth embodiment of the present invention provides a defect inspection device for inspecting the defects of a sample, which is provided with an image acquisition device that can respectively obtain the parts that are partially overlapped on the sample and are mutually displaced on the side. Images of the plurality of inspected areas; back to a memory device for storing a reference image; a defect determination device for comparing images of the plurality of inspected areas obtained by the image acquisition device; and stored in the memory device In the reference image 'to determine sample defects. Here, as the sample to be inspected, any sample capable of detecting a defect can be selected, but the present invention can obtain excellent results when a semiconductor wafer is used as an object. In this embodiment, the image acquisition device can acquire images of a plurality of inspected areas that are partially overlapped and mutually displaced on the sample, and the defect determination device can obtain the images by comparison. A plurality of images of the area to be inspected; and a reference image stored in advance to operate to determine a sample defect. Standard (CNS) A4— (21Q χ 297) (Please read the precautions on the back before filling this page)

-ϋ 1· n ·ϋ n n ·ϋ Jf ^ n n 0MMt 1_1 l_i n an I =D 經濟部智慧財產局員工消費合作社印製 :> 观 45 ___B7 五、發明說明(23 由於本發明第12實施形態,可取得複數位置 相異的被檢查區域的圖像,故可在後程序中選擇性地利用 /、土準圖像位置偏差較少的被檢查圖像,而控制因位置偏 差而引起的缺|:曰檢出精度的降低。此外,試料及圖像取得 裝置Y一般,即使檢查圖像的一部份位在由被檢查圖像區 或下的位置,由於位置相互錯開的複數被檢查區域的圖 像所、屑羅的任一區域中,包括全檢查圖像的可能性極高, 故可:止因該種圖像的部分下陷而㈣的缺陷檢出錯誤。 前述比較裝置,例如,在所取得的複數個被檢查區域 的各圖像與基準圖像之間進行所謂的匹配演算,而發現複 數個被檢查區域之中,至少有一圖像與基準圖像之間並無 實質上的差異時,即可判斷該試料無缺陷。相反地,當所 有被檢查區域的圖像與基準圖像之間有實質的差異時,則 藉由判斷出該試料有缺陷以進行高精度的缺陷檢查。-ϋ 1 · n · ϋ nn · ϋ Jf ^ 0 0MMt 1_1 l_i n an I = D Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs: > Watch 45 ___B7 V. Description of the invention (23 because of the twelfth embodiment of the present invention , Can obtain the image of the inspected area with different plural positions, so you can selectively use the inspected image with less position deviation in the standard image in the later program, and control the defect caused by the position deviation. |: The detection accuracy is lowered. In addition, the sample and image acquisition device Y are generally, even if a part of the inspection image is located at or below the inspected image area, the inspected area is plural due to the positions shifted from each other. The probability of including any full inspection image in any area of the image file and the cutting edge is very high, so it can: stop the error detection error caused by the partial depression of the image. The aforementioned comparison device, for example, A so-called matching calculation is performed between the obtained images of the plurality of inspected areas and the reference image, and it is found that among the plurality of inspected areas, at least one of the images and the reference image have no substantial relationship. When the difference, you can judge Defect-free sample. Conversely, when there is the substantial difference between the reference image and the image of the examination region, it is determined that the sample by defect to the defect inspection with high accuracy.

在本發明的第12實施形態中,另外設置電子照射裝 置’分別對複數的被檢查區域照射一次電子線,並由該試 料釋出二次電子線,藉由利用圖像取得裝置,檢出由複數 的被檢查區域所釋出的二次電子線,可依次取得該複數被 檢查區域的圖像。 此外’上述電子照射裝置,尚具備用以釋出一次電子 的粒子線源;及用以將一次電子偏向的偏向裝置,藉由利 用偏向裝置將由粒子線源釋出的一次電子線偏向,將該一 次電子線依次照射到複數之被檢查區域較為理想。在該種 情況下,由於藉由偏向裝置可輕易變更輸入圖像的位置, 312766 請 先 閱 讀 背 之In the twelfth embodiment of the present invention, an electron irradiation device is separately provided to irradiate a plurality of primary electron beams to each of the inspected areas, and the secondary electron beams are released from the sample. The secondary electron beams released from the plurality of inspected areas can sequentially acquire images of the plurality of inspected areas. In addition, the above-mentioned electron irradiation device further includes a particle beam source for emitting primary electrons; and a biasing device for biasing the primary electrons, and using the biasing device to bias the primary electron beams released from the particle beam source, It is preferable that one electron beam is sequentially irradiated to a plurality of inspected areas. In this case, since the position of the input image can be easily changed by the deflection device, please read it first.

本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐 539845 A7 五、發明說明(24 ) 因此可以咼速取得複數位置相異的被檢查圖像。 本發明的第12實施形態,也提供一種半導體裝置製 造方法,包含有使用前述各形態之缺陷檢查裝置,以檢查 加工中或元成品的晶圓缺陷的程序。 [圖面之簡單說明] 第1圖,係與本發明相關之帶電粒子線裝置的第i實 施形態的檢查裝置構成之概略圖。 第2圖之(a)為電子偏向系的平面圖,(b)為其剖面圖。 第3圖,係本發明之半導體裝置製造方法的一個實施 形態的流程圖。 第4圖之⑷係形成第3圖之晶圓加工程序核心的微影 步驟的流程圖,(b)為第3圖的晶圓加工程序中的晶圓檢查 步驟的流程圖。 第5圖’係與本發明相關的帶電粒子線袭置杏 施形態的檢查裝置的主要構成要素的正面圖,為沿 ^ 圖的線A-A所觀察到的圖。 … 第ό圖之(a)係於第5圖之檢查裝置的主要 、, 面圖,為沿著第5圖的線B_B所觀察到的圖,第/要素平 係於第6圖之(a)的構成的變形例圖示。 () 第7圖,係第5圖之小型環境裝置之 線C-C所觀察到的圖。 σ圖,為沿著 第8圖,係第5圖的裝載殼體的圖示, 的線D-D所觀察到的圖。 為沿著第6圖 第9圖,係晶圓架的擴大圖,㈧為側面圖,剛沿This paper size applies the Chinese National Standard (CNS) A4 specification (210 x 297 mm 539845 A7) 5. Description of the invention (24) Therefore, it is possible to quickly obtain a plurality of inspected images with different positions. The twelfth embodiment of the present invention, A method for manufacturing a semiconductor device is also provided, which includes a procedure for inspecting a wafer defect in a process or a finished product by using the defect inspection apparatus of each of the foregoing forms. [Simplified Description of Drawings] FIG. 1 is a diagram related to the present invention. The schematic diagram of the structure of the inspection device of the i-th embodiment of the charged particle beam device. (A) is a plan view of the electron deflection system, and (b) is a cross-sectional view. FIG. 3 is a view of manufacturing a semiconductor device according to the present invention. A flowchart of one embodiment of the method. The flowchart in FIG. 4 is a flowchart of a lithography step forming the core of the wafer processing program in FIG. 3, and (b) is a wafer inspection in the wafer processing program in FIG. The flowchart of the steps. Fig. 5 is a front view of the main constituent elements of an inspection device in the form of a charged particle beam attacking apricot morphology related to the present invention, and is a view viewed along the line AA of the figure. Figure (A) The main view of the inspection device shown in FIG. 5 is a plan view taken along line B_B in FIG. 5, and the / element is a modification of the configuration of (a) in FIG. 6 (7) Figure 7 is a view of the line CC of the small environmental device shown in Figure 5. Figure σ is a view of the loading housing along Figure 8, which is shown in Figure 5. The view seen from line DD. It is an enlarged view of the wafer rack along FIG. 6 and FIG. 9. ㈧ is a side view.

本纸張尺度適用中國國家標準(CNS)A4規格(2J〇x^r^iT " 24 ^ 312766- 事 頁 訂 A7 A7This paper size applies to China National Standard (CNS) A4 specifications (2J〇x ^ r ^ iT " 24 ^ 312766- event page order A7 A7

五、發明說明(Μ) 著[Α]的線Ε-Ε所觀察到的剖面圖 第1〇圖的[A]及[B],係主^ 圖。 μ _ 支擇方法之變形例 第11圖,係第5圖的檢查 構成的模式圖。 、電子光學裝置概略 第12圖,為電位施加機構圖。 第13圖’為用以說明 ^ 14 ρ,. 子線校準機構的圖表。 第14圖,為晶圓對準栌制吳& _ ^制斋的概略說明圖。 第15圖,為與本發明土 & 相關的f電粒子線裝置的第3 實施形悲構成的概略剖面圖。 第16圖’係以模式,矣— 表不與本發明相關的帶電粒子 線裝置的第4實施形態的評價裝置的構成圖。 第17圖,係金屬別的絕緣破壞發生確率的圖表。 第18圖,為電極的斜視圖與剖面圖。 第19圖’為第18圖所示之電極的部分剖面圖。 第20圖,為第18圖所示之上面圖與剖面圖。 第21圖’為第20圖所示之電極重要部位放大剖面 圖。 第22圖,為以概略方式,表示與本發明相關之帶電 粒子線裝置的第5實施形態的圖示。 第23圖,為第22圖所示之電子線裝置構成的詳細 圖。 第24圖,為以概略方式,表示與本發明相關之帶電 粒子線裝置的第6實施形態的圖示。 -------------裝--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印1 本纸張尺度適用中國國家標準(CNS)A4規格(210^297^^ )" 25- 312766 539845 五、發明說明(26) 第25圖之⑷為施加於對物透鏡的負電 的升幅之間的關係的圖表,A 礼信波 表。 ()為說明電氣信號的升幅的圖 第26圖’為與本發明相關的帶電粒子線裝置 實施形態的電子線檢查裝置的構成圖。 第27圖,係第26圖所示之電 姐μ 罨子線檢查裝置的機械姓 構體方塊的概略圖,⑷表示電子線檢查裝置與座 : 係,(b)表示鏡筒的固有振動,(1 ^ 、關 裝設致動器的情形。 動而 第28圖’係第26圖所示之電子線檢查裝置所使用之 致動器,減振用電路,以及所形成的直列共振電路 電路的構成的概略圖。 貝 第29圖’係第26圖所示之電子線檢查裝置的鏡 傳遞關數的圖表。 第30圖,係第26圖所示之電子線檢查裝置中的鏡筒 傳遞關數,直列共振電路的電性頻率特性及綜合傳遞關數 的圖表。 第31圖,為與本發明相關的晶圓檢查方法說明圖, 表示圖像缺陷檢出,(b)表示線寬測定,(〇表示電位對比測 第32圖,為與本發明相關的電子線裝置的第8實施 形態中的靜電夹盤的概略平面圖,為去除晶圓後看見電拐 的平面圖。 第33圖,為沿著第32圖的直線M_M的概略垂直剖面 ~JT27F6 ^紙張κ度適用中關規格⑵〇 χ抓公餐 845V. Description of the invention (M) Sectional view taken on line Ε-Ε with [A] [A] and [B] in FIG. 10 are main figures. μ _ Variation of Selection Method Figure 11 is a schematic diagram of the inspection structure of Figure 5. Outline of Electro-optical Device Fig. 12 is a diagram of a potential application mechanism. Fig. 13 'is a diagram for explaining the ^ 14 ρ ,. sub-line calibration mechanism. FIG. 14 is a schematic explanatory diagram of a wafer alignment system & Fig. 15 is a schematic cross-sectional view showing the configuration of a third embodiment of the f-electron beam device according to the present invention. Fig. 16 'is a schematic diagram showing the structure of an evaluation device according to a fourth embodiment of the charged particle beam device according to the present invention. Fig. 17 is a graph showing the probability of occurrence of dielectric breakdown of other metals. Fig. 18 is a perspective view and a sectional view of the electrode. Fig. 19 'is a partial sectional view of the electrode shown in Fig. 18. Fig. 20 is a top view and a cross-sectional view shown in Fig. 18. Fig. 21 'is an enlarged sectional view of an important part of the electrode shown in Fig. 20. Fig. 22 is a diagram schematically showing a fifth embodiment of a charged particle beam device according to the present invention. Fig. 23 is a detailed view of the configuration of the electronic wire device shown in Fig. 22. Fig. 24 is a diagram schematically showing a sixth embodiment of a charged particle beam device according to the present invention. ------------- install -------- order --------- line (please read the notes on the back before filling this page) Printed by the Bureau ’s Consumer Cooperatives 1 This paper size is applicable to the Chinese National Standard (CNS) A4 specification (210 ^ 297 ^^) " 25- 312766 539845 V. Description of the invention (26) Figure 25 is applied to the objective lens A graph of the relationship between the rise in negative electricity, A-letter wave table. () Is a diagram illustrating a rise of an electric signal. Fig. 26 'is a configuration diagram of an electron beam inspection apparatus according to an embodiment of a charged particle beam apparatus according to the present invention. FIG. 27 is a schematic diagram of a mechanical surname structure block of the electric sister μ line inspection device shown in FIG. 26, where ⑷ represents an electronic line inspection device and a stand: (b) represents a natural vibration of a lens barrel, (1 ^, when the actuator is installed. Fig. 28 'is an actuator used in the electronic wire inspection device shown in Fig. 26, a vibration reduction circuit, and an in-line resonance circuit circuit Fig. 29 is a graph showing the number of mirror transmissions of the electronic wire inspection device shown in Fig. 26. Fig. 30 is a diagram of the lens barrel transmission in the electronic wire inspection device shown in Fig. 26. A graph of the number of turns, the electrical frequency characteristics of the in-line resonance circuit, and the number of comprehensive transfer turns. Figure 31 is an explanatory diagram of a wafer inspection method related to the present invention, showing image defect detection, and (b) showing line width measurement. (0 indicates potential comparison measurement FIG. 32, which is a schematic plan view of the electrostatic chuck in the eighth embodiment of the electronic wire device according to the present invention, and is a plan view of the electric crutch after the wafer is removed. FIG. 33 is Schematic vertical section along line M_M in Figure 32 ~ JT27F6 ^ κ of the paper off the applicable specifications ⑵〇 χ well meal grip 845

經濟部智慧財產局員工消費合作社印製 Λ7Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs Λ7

五、發明說明(27 ) 圖,為顯示載置晶圓而未施加雷 电壓狀恶的剖面圖。 弟34圖之(a)及(b),為對電 曰 包極及日日圓施加的電塵的時 間表。 第35 ®,係使用帛32圖所示之#電爽盤的電子線裝 置的構成例的方塊圖。 一第36圖,係先前的電子線裝置的真空室及χγ台的圖 示,[Α]為正面圖,[β]為側面圖。 第37圖,為第36圖的差動排氣機構說明圖。 第38圖,為與本發明相關之帶電粒子線裝置之第9 實施形態中的真空室以及χγ台的圖示,[Α]為正面圖,[β] 為側面圖。 第39圖,為對應本發明之第9實施形態之第1變形 例中的真空室及ΧΥ台的圖示。 第40圖,為對應本發明之第9實施形態之第2變形 例中的真空室及ΧΥ台的圖示。 第41圖,為對應本發明之第9實施形態之第3變形 例中的真空室及χγ台的圖示。 第42圖,為對應本發明之第9實施形態之第4變形 例中的真空室及ΧΥ台的圖示。 第43圖,係設置在第38圖至第42圖所示之鏡筒内 的光學系及檢出系的一例的概略圖。 第44圖,係與本發明相關的帶電粒子線裝置的第i 〇 實施形態中的真空室及χγ台的圖示。 第45圖,為設置在第44圖所示裝置中的差動排氣機 裝---- (請先閱讀背面之注意事項再填寫本頁) 訂: •線- 本纸張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 27 312766 539845 員 本纸張尺度適用中國國家標準(CNS)A4規格(2]〇χ 297公$ )— A7 五、發明說明(28 構的一例的圖示。 第46圖,為設置在第44圖所示裝置中的氣體循環配 管系的圖示。 第47圖,為與本發明相關之帶電粒子線裝置之第u 實施形態中的光學系模式圖。 第48圖,為第47圖所示之電子線裝置的鏡筒的配列 狀態說明書。 第49圖(A)及(B),為充電的評價場所及評價方法說明 t ° ^ 第50圖,為與本發明相關之帶電粒子線裝置的第 實施形態的缺陷檢查裝置的概略構成圖。 弟51圖,為第50圖的缺陷檢查裝置中所取得的複數 被檢查圖像及基準圖像的例示圖。 第52圖,係第50圖的缺陷檢查裝置中的晶圓檢查的 主程序的流程圖。 第53圖,係第52圖中的複數被檢查圖像資料取得程 序(步驟1904)的副程序的詳細流程的流程圖。 第54圖,係第52圖中的比較工程(步驟19〇8)的副程 序的詳細流程的流程圖。 第55圖,為第50圖的缺陷檢查裝置的檢測器的具體 構成例圖示。 第56圖,為在半導體晶圓表面上呈部分性重疊且彼 此位置偏移的複數被檢查區域的概念圖。 [實施發明之最佳形態] r /。1八 “ η。— ' " 3Ί2766 ---ί-----------------^---- (請先閱讀背面之注意事項再填寫本頁) 539845 五、發明說明(29) 以下以使用電子線的裝置為例,說明與本發明相關 的f笔粒子線裝置的各種實施形態。無論何種實施形態, 均適合使用在片狀光束檢查裝置。 置全體目關的實施形綠(第1實施形熊、 與本發明相關的帶電粒子線裝置的第1實施形態,係 與使用電子線的攝像投影方式相關,首先針對攝像投影方 式作說明。 攝像投影方式,係以電子線一次照射試料觀察區域, 亦即’在不進行掃描的情況下照射—定面積,利用透鏡系 總括來自被照射區域的二次電子線,而在檢測器(微路板與 螢光板的組合)上成像為二次電子線的圖像。將該圖像藉由 一-人π CCD(固體攝像元件)或TDI_CCD(線型圖像感測器) 變換為電氣信號,並輸出於CRT後儲存於記憶裝置。由該 圖像資訊檢測出試料晶圓(程序令的半導體(Si)晶圓)的缺X 陷。在CCD的情形,卫作台的移動方向為短軸方向或長轴 方向’移動方式為步進重複方式。在Tm_CCD的情形,工 作台係朝著累計方向連續移動。由於可在tdi_ccd中連續 取得圖像,故在進行連續缺陷檢查時使用tdi_ccd。分解 能係由成像光學系(:次光學幻的倍率與精度等決定,例 如可獲得0.05叫的分解能。此時,分解能為q _,電子 線照射條件為在200μιη χ 50μπι的區域中為】時,一 片2〇Cm的晶圓的檢查時間為一小時左右與_方式相 比快8倍。此處所使用的肌咖的形態為測圖像 ⑽us叫χ512段,線率為3、秒(線頻率·他)。此例 本紙張尺度適用 家標準(cns)a4規^1^7297公釐 539845 A?5. Description of the Invention (27) The figure is a cross-sectional view showing a wafer mounted without applying a lightning voltage. Figure 34 (a) and (b) are the time table of the electric dust applied to the electric pole and the Japanese yen. Fig. 35 ® is a block diagram of a configuration example of an electronic wire device using # 电 爽 盘 shown in Fig. 32. Fig. 36 is a diagram showing a vacuum chamber and a χγ stage of a conventional electron beam device, where [Α] is a front view and [β] is a side view. Fig. 37 is an explanatory diagram of a differential exhaust mechanism of Fig. 36; FIG. 38 is a diagram of a vacuum chamber and a χγ stage in a ninth embodiment of a charged particle beam device according to the present invention, where [A] is a front view and [β] is a side view. Fig. 39 is a diagram corresponding to a vacuum chamber and a XY table in a first modification of the ninth embodiment of the present invention. Fig. 40 is a diagram showing a vacuum chamber and a XY table according to a second modification of the ninth embodiment of the present invention. Fig. 41 is a diagram corresponding to a vacuum chamber and a χγ stage in a third modified example of the ninth embodiment of the present invention. Fig. 42 is a diagram showing a vacuum chamber and an X-axis stage corresponding to a fourth modified example of the ninth embodiment of the present invention. Fig. 43 is a schematic diagram of an example of an optical system and a detection system provided in the lens barrel shown in Figs. 38 to 42; FIG. 44 is a diagram showing a vacuum chamber and a χγ stage in the i 0th embodiment of the charged particle beam device according to the present invention. Figure 45 is a differential exhaust machine installed in the device shown in Figure 44-(Please read the precautions on the back before filling out this page) Order: • Thread-This paper size is applicable to China Standard (CNS) A4 specification (210 x 297 mm) 27 312766 539845 The size of this paper is applicable to the Chinese National Standard (CNS) A4 specification (2) 〇χ 297 public dollars)-A7 V. Description of the invention (an example of 28 structures) Fig. 46 is a diagram of a gas circulation piping system provided in the device shown in Fig. 44. Fig. 47 is an optical system in a u-th embodiment of a charged particle beam device according to the present invention. Schematic diagram. Fig. 48 is a description of the arrangement of the lens barrels of the electronic wire device shown in Fig. 47. Figs. 49 (A) and (B) are descriptions of the evaluation place and evaluation method for charging t ° ^ 50 FIG. 51 is a schematic configuration diagram of a defect inspection apparatus according to a first embodiment of a charged particle beam apparatus according to the present invention. FIG. 51 is a plurality of inspected images and a reference image obtained by the defect inspection apparatus of FIG. 50. Fig. 52 is a wafer in the defect inspection apparatus of Fig. 50 Flow chart of the main program for checking. Figure 53 is a flowchart showing the detailed flow of the subroutine of the plurality of checked image data obtaining program (step 1904) in Figure 52. Figure 54 is the flowchart in Figure 52 A detailed flowchart of the subroutine of the comparative process (step 1908). Fig. 55 is a diagram showing a specific example of the structure of a detector of the defect inspection device of Fig. 50. Fig. 56 is a surface of a semiconductor wafer. A conceptual diagram of a plurality of inspected areas partially overlapping and shifted from each other is shown on the top. [The best form of implementing the invention] r /. 18 "". "'3" 2766 --- ί ------ ----------- ^ ---- (Please read the precautions on the back before filling out this page) 539845 V. Description of the invention (29) The following uses a device that uses an electronic wire as an example to explain this. Various embodiments of the f-pen particle ray device according to the invention. No matter which embodiment is suitable for use in a sheet-shaped beam inspection device. The implementation of the green lens (the first embodiment of the shape bear, the charging related to the present invention) The first embodiment of the particle beam device is related to an imaging projection method using an electron beam. First, the camera projection method is explained. The camera projection method is to irradiate the sample observation area with an electron beam at a time, that is, 'irradiate without scanning-a fixed area, and use the lens system to summarize the secondary electron rays from the illuminated area. , And the detector (combination of microcircuit board and fluorescent plate) is imaged as a secondary electron beam image. This image is detected by a one-human π CCD (solid-state imaging device) or TDI_CCD (linear image sensing) It is converted into an electrical signal and outputted to a CRT and stored in a memory device. The image information is used to detect a sample X (programmed semiconductor (Si) wafer) defect X trap. In the case of a CCD, the movement direction of the satellite platform is the short-axis direction or the long-axis direction 'and the movement mode is a step-and-repeat mode. In the case of Tm_CCD, the worktable moves continuously in the direction of accumulation. Since images can be continuously acquired in tdi_ccd, tdi_ccd is used for continuous defect inspection. The decomposition energy is determined by the imaging optical system (: magnification and accuracy of the sub-optical magic). For example, a resolution energy of 0.05 can be obtained. At this time, the decomposition energy is q _, and the irradiation condition of the electron beam is 200 μm χ 50 μm. The inspection time of a 20cm wafer is about one hour and 8 times faster than the _ method. The shape of the muscle coffee used here is the measurement image ⑽us called χ512 segment, the line rate is 3, seconds (line frequency He). In this case, the paper size applies the home standard (cns) a4 rule ^ 1 ^ 7297 mm 539845 A?

經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 539845 A7 _____B7_— _______ 五、發明說明(M) 妁光束與後段的第3透鏡2013及第4透鏡2014—起遮斷, 以防止檢測器201 5的充電或污染。此外,擴大倍率,係藉 由改變該第3透鏡2013及第4透鏡20 14的透鏡條件(焦點 距離)而被設定。 二次電子線藉由二次光學系擴大投影,並於檢測器 2015的檢測面上成像。檢測器2015,係由將電子放大的微 路板(MCP);將電子變換為光的螢光板;用以傳達真空系 與外部的中繼與光學向的透鏡及其他光學元件;攝像元件 (CCD等)所構成。二次電子現在MCP檢測面上成像,放 大’並藉由螢光板變換為光信號,而由攝像元件變換為光 電而形成電氣信號。 控制裝置2016,由檢測器2015中讀取試料的圖像信 號,並傳達給CPU2017。CPU2017藉由樣板匹配而由圖像 信號進行圖案缺陷檢查。工作台2006,藉由工作台驅動裝 置2020,可朝χγ方向進行移動。CPU2017,讀出工作台 2006的位置,對工作台驅動裝置202〇輸出驅動控制信號, 以驅動工作台2006,以依序進行圖像檢出,檢查。 如上所述,因第1實施形態中的檢查裝置,係由數值 口徑2009與陰極透鏡2008構成遠心型電子光學系,因此 可將一次電子線,平均地照射在試料上。此外,對於二次 電子線,由於來自試料2 007的所有主光線係垂直(與透鏡 光轴平行)入射到陰極透鏡2008,而通過數值口徑2〇〇9, 因此周邊光將不會受到阻隔,而試料周邊部的圖像亮度也 不會降低。此夕卜成像位置雖然會因具有電子的能源的散 本纸張尺度適用中關家標準(CNS)A4規格⑵Qx 297 ^丁 34 312766 -------------------訂--------- 華 (請先閱讀背面之注意事項再填寫本頁) 539845 mPrinted by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 539845 A7 _____ B7_— _______ V. Description of the invention (M) 妁 The beam is cut off from the third lens 2013 and the fourth lens 2014 in the rear section to prevent the detector 201 5 from being charged or Pollution. The magnification is set by changing the lens conditions (focus distance) of the third lens 2013 and the fourth lens 2014. The secondary electron beam expands the projection by the secondary optical system and forms an image on the detection surface of the detector 2015. Detector 2015 is a microcircuit board (MCP) that amplifies electrons; a fluorescent panel that converts electrons into light; lenses and other optical elements that relay relays and optical directions of the vacuum system and the outside; and imaging elements (CCDs) Etc.). The secondary electron is now imaged on the detection surface of the MCP, and is amplified and converted into an optical signal by a fluorescent plate, and converted into an optical signal by an imaging element to form an electrical signal. The control device 2016 reads the image signal of the sample from the detector 2015 and transmits it to the CPU 2017. The CPU 2017 performs pattern defect inspection from the image signal by template matching. The table 2006 can be moved in the χγ direction by the table driving device 2020. The CPU 2017 reads out the position of the table 2006 and outputs a drive control signal to the table driving device 2020 to drive the table 2006 to sequentially perform image detection and inspection. As described above, since the inspection device in the first embodiment has a telecentric electron optics system composed of the numerical aperture 2009 and the cathode lens 2008, the primary electron beam can be evenly irradiated on the sample. In addition, for the secondary electron beam, since all the main light rays from sample 2 007 are incident perpendicularly (parallel to the optical axis of the lens) into the cathode lens 2008, and pass through the numerical aperture 209, the peripheral light will not be blocked. In addition, the brightness of the image at the periphery of the sample is not reduced. In the meantime, although the imaging position will be applied to the Zhongguanjia Standard (CNS) A4 specification due to the bulk paper size with electronic energy ⑵Qx 297 ^ 丁 34 312766 ---------------- --- Order --------- Hua (Please read the notes on the back before filling in this page) 539845 m

經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 A7 五、發明說明(35) 亂不均而改變,亦即產生倍率色差(特別是因為二次電子線 的能源散亂不均情形較為i重,故倍率色差較大),但藉由 在陰極透鏡2008的焦點位置配置數值口徑2〇〇9,可抑制 該倍率色差。 因擴大L率的變更,係在通過數值口徑後進行 的,因此即使變更第3透鏡2〇13,第4透鏡2〇14的透鏡 條件的又疋仡率,仍然可在檢出側的視野整體上獲得均等 圖像。 此外,在本實施形態中,雖可獲得無不均現象的均等 圖像,但般只要提高擴大倍率,便會產生圖像亮度降低 的問題。$改善該問題,在改變二次光學系的透鏡條件並 變更擴大倍率_,最好先行設定―纟㈣线透鏡條件, 使得隨上述變更而決定的試料面上的有效視野與被照射在 試料面上的電子線形成同一大小。換言之,在提高倍率時, 視野雖將隨之變窄,但在此同時,藉由提高電子線的照射 能源的密度,檢出電子的信號密度即使經由二次光學系擴 大投影,也能維持在一定水準,而圖像的亮度也不會降低。 此外,在第1實施形態的檢查裝置中,為彎曲一次電 子線的執道並讓二次電子線直進,而使用維納濾波器 2010,但並不限於此種濾波器,亦可使用讓一次電子直進 且彎曲二次電子線轨道的維納濾波器。此外,在本實施形 態中,係由矩形陰極與四極子透鏡形成矩形光束,但並不 限於該種形式,例如亦可由圓形光束形成矩形光束或橢圓 形光束,亦可讓圓形光束通過空隙以形成矩形光束。此外,Printed A7 by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs. 5. Description of the invention (35) Unevenness and change, that is, chromatic aberration of magnification (especially because the uneven distribution of energy of the secondary electron wire is more serious, so the magnification Chromatic aberration is large), but by arranging the numerical aperture 209 at the focal position of the cathode lens 2008, the magnification chromatic aberration can be suppressed. The change of the enlarged L ratio is performed after the numerical aperture is passed. Therefore, even if the lens conditions of the third lens 2013 and the fourth lens 2014 are changed, the entire field of view on the detection side is still available. Obtain an equal image on. In addition, in this embodiment, a uniform image without unevenness can be obtained. However, as long as the magnification ratio is increased, the problem of reduced image brightness will occur. $ To improve this problem, when changing the lens conditions of the secondary optical system and changing the magnification ratio, it is best to set ―line lens conditions first, so that the effective field of view on the sample surface determined by the above changes and the sample surface will be irradiated. The electrons on the wire form the same size. In other words, when the magnification is increased, the field of view will be narrowed, but at the same time, by increasing the density of the irradiation energy of the electron beams, the signal density of the detected electrons can be maintained at even if the projection is expanded by the secondary optical system. A certain level, and the brightness of the image will not decrease. In addition, in the inspection apparatus of the first embodiment, the Wiener filter 2010 is used to bend the primary electron wire and let the secondary electron wire go straight, but it is not limited to such a filter. Wiener filter with electrons traveling straight and curving the orbit of the secondary electron line. In addition, in this embodiment, a rectangular beam is formed by a rectangular cathode and a quadrupole lens, but it is not limited to this form. For example, a rectangular beam or an oval beam may be formed by a circular beam, and a circular beam may be passed through a gap. To form a rectangular beam. In addition,

Λ7 五、發明說明(36 : 亦可掃描複數光束,讓 體。 、、、件以平均照射到照射區域整 射量可設定在錢光束各自^的任意(但照 本實::態的電子搶進行說明時’可在 部《射體)的材料為―線源。電子釋出 Γ:!:—功率函數較:的材:果 訂 錐二;Γ::是圓錐狀或將圓錐形前端切落後形成的圓 ;:用:錐台前端直徑大約為一。在其他方式 可使用電場釋出型的電子線源或熱電場釋 線源。如本實施形態一般,以較大電: (例—至以使用 源攻為適當。(SEM t ·+、 (方式一般多使用熱電場電子線源)。 畢 而熱電子線源’係一種藉由加熱電子釋出材以釋出電 子的方式’而所謂的熱電場釋出電子線源,係指藉由對電 子釋出材施加高電場而讓電子釋出,更藉由 部予以加熱,以安定電子釋出的方式。 線釋出 參照第1圖並根據說明所瞭解一般,攝像投影方式的 主要構成要素如下所述。首先’說明一次電子光學系,讓 電子搶所發出的電子線形成光束狀’並將矩形或圓形(橢圓 形)的電子線照射到晶圓面的部分稱為「一次電子光學 系」。藉由控制一次電子光學系的透鏡條件,可控制^子光 束的大小及電流密度。此外,藉由位於一次/二次電子光學 312766 539845 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 A7 B7 五、發明說明(37 ) 系連結部的維納濾波器,可使一次電子線垂直入射到晶 圓。 由該種電子槍的LaB6的陰極釋出的熱電子,利用維 納爾電極,三重陽極透鏡在電子槍闌上成像為交迭像。利 用照明視野闌,對透鏡的入射角進行適當調整的電子線, 可藉由控制一次系靜電透鏡,以旋轉非對稱的形式在數值 口徑的孔徑上成像,之後再照射到晶圓面上。一次系靜電 透鏡的後段係由3段4極子(QL);及}段的孔徑像差校正 用電極所構成。4極子透鏡雖有校準精度嚴格的制約,但 與旋轉對稱透鏡相比,具有強力收束作用之特徵,並可藉 由對孔徑像差校正用電極施加適當的電壓,來校正相當於 旋轉對稱透鏡的球面像差的孔徑像差。藉此,可將均等的 面光束照射到所定區域。其次,為二次電子光學系,係藉 由相當於對物透鏡的靜電透鏡(CL,TL),將處理經由一次 電子線的照射而自晶圓產生的二次電子線而獲得的二次元 二次電子圖像,成像於視野闌位置,並利用後段的透鏡(pL) 擴大投影,將此種成像投影光學系稱為「二次電子光學 系」。此時’晶圓被施加以負的偏壓(減速電場電壓)。減速 電場對於照射光束具有減速效果,除了可減少晶圓(試料) 的損壞,還可將藉由CL與晶圓間的電位差而由試料面上 產生的二次電子線加速,具有降低色差的效果。由CL收 束的電子,藉由TL在FA上成像,並利用pl將該像擴大 投影後成像於二次電子線檢測器(MCP)上。在CL-TL之間 配置NA,藉由正確調整NA,可構成可降低軸外像差的光 本紙張尺度適用申國國家標準(CNS)A4規格(210 公复) 37 裝--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) A7 經 濟 部 智 慧 財 產 局 員 費 合 社 印 ti 五、發明說明( 2〇30a,2030b最好暑盥黔 圓上。 。34呈對稱,但亦可位於同心第2圖的(b)為通過第 昂2圖的(a)中的點2034,而與電子魂』2〇3〇b垂直的面的縱剖面圖,使用該圖來說明電 子線的動向。受到照射的電子線2G35a,⑽b,藉由電極 2〇〕〇a,2030b所產生的帝俨 订 生的屯%,及電磁線圈203 1 a,203 1b 所產生的磁場偏向後,朝垂 佤朝圭直方向入射至試料面上。在此, 照射電子線2G35a,2〇35b對維納渡波器测的入射位置 角度#於決疋電子能源時_併決定。此外,為使二次 电子t 203 6a ’ 20〇6b直進,電場及磁場的條件,變為 vB=E,乃藉由個別的控制部2〇3ia,2〇3ib 2〇33a,2〇33b 控制包極2030玨,203 01)所產生的電場,與電磁線圈2()313, 2〇31b所產生的磁場,藉此,二次電子線可直進維納濾波 器2010,並入射至上述攝像投影光學部。在此,v為電子 速度(m/S) ’ B為磁場(T),e為電載量(c),£為電場(v/m)。 最後,說明檢測器。在二次光學系成像,而來自晶圓 的二次電子線圖像,在經由微路板(MCP)放大的同時,與 營光幕相接觸而變換為光像。MCP,係集合數百萬條直經 為6至25/zm,長為0.24至1.0mm的極細導電性玻璃毛 細管’並將之整形為薄板狀而成,藉由施加一定的電塵, 每支毛細管將以各自獨立的二次電子放大器發揮作用,而 由整體形成二次電子放大器。藉由檢測器而變換為光的圖 像,隔介真空透過窗,藉由放置在大氣中的FOP系,於 TDI-CCD上以I對〗方式進行投影。 ---------------- (請先閱讀背面之注意事項再填寫本頁) 訂. .線· -n H - -II . 本紙張K度適用中國國家標準(CNS)A4規格(210x 297公釐) 312766 經濟部智慧財產局員工消費合作社印製 539845 A7Λ7 V. Description of the invention (36: It is also possible to scan a plurality of beams to make a body. The average amount of irradiation to the irradiation area can be set to any of the money beams (but according to the actual :: electronic state of the state) In the description, the material that can be used in the "projector" is ―line source. The electron release Γ:!:-The material with a power function is: the fruit set cone II; Γ :: is conical or cut the cone front end A circle formed by backwards ;: Use: The diameter of the front end of the frustum is about one. In other ways, an electric field source or a thermoelectric field source can be used. As in this embodiment, a larger electricity: (Example— It is appropriate to use source tapping. (SEM t · +, (Generally, thermal electric field electron beam sources are generally used.) Thermoelectric beam source 'is a method of releasing electrons by heating the electron release material'. The so-called thermal electric field release electron beam source refers to a method in which electrons are released by applying a high electric field to an electron release material, and the part is heated to stabilize the release of electrons. Refer to Figure 1 for wire release According to the understanding of the description, the main components of the camera projection method are as follows First of all, "the primary electron optics system will be explained, and the electron beams emitted by the electrons will be formed into a beam shape". The part of the rectangular or circular (elliptical) electron beams irradiated on the wafer surface is called "primary electron optics system." ”. By controlling the lens conditions of the primary electron optics system, the size and current density of the sub-beam can be controlled. In addition, it is printed by A7 B7, a consumer cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs, located in primary / secondary electron optics 312766 539845. 2. Description of the invention (37) The Wiener filter of the connecting part can make a primary electron beam perpendicularly enter the wafer. The thermal electrons released from the cathode of the LaB6 of this kind of electron gun use a Wiener electrode and a triple anode lens at The imaging of the electron gun diaphragm is an overlapping image. Using the illumination field diaphragm, the electron wire that appropriately adjusts the incident angle of the lens can be controlled by the primary electrostatic lens to form a rotationally asymmetric image on the numerical aperture, and then And then irradiate the wafer surface. The rear stage of the primary electrostatic lens is composed of 3 stages of 4 poles (QL); and} stage aperture aberration correction electrodes Structure. Although the 4-pole lens has strict calibration accuracy restrictions, it has the characteristics of a strong beam converging effect compared to a rotationally symmetric lens, and can be corrected by applying an appropriate voltage to the aperture aberration correction electrode. Aperture aberration of spherical aberration of a symmetric lens. This makes it possible to irradiate a uniform surface light beam to a predetermined area. Secondly, it is a secondary electron optics system, which uses an electrostatic lens (CL, TL) equivalent to an objective lens. , Image the secondary element secondary electron image obtained by processing the secondary electron line generated from the wafer through the irradiation of the primary electron line, and image it at the position of the field diaphragm, and use the lens (pL) at the rear to enlarge the projection, The imaging projection optics is called the "secondary electron optics." At this time, the wafer is applied with a negative bias (deceleration electric field voltage). The decelerating electric field has a decelerating effect on the irradiation beam.In addition to reducing the damage to the wafer (sample), it can also accelerate the secondary electron rays generated from the sample surface by the potential difference between CL and wafer, which has the effect of reducing chromatic aberration. . The electrons collected by CL are imaged on the FA by TL, and the image is enlarged and projected on the secondary electron beam detector (MCP) using pl. The NA is arranged between CL-TL. By correcting the NA correctly, it can form a light that can reduce off-axis aberration. The paper size is applicable to the National Standard for China (CNS) A4 (210 public). 37 Pack ----- --- Order --------- line (please read the notes on the back before filling this page) A7 Member of Intellectual Property Bureau of the Ministry of Economic Affairs, Fees Co., Ltd. ti V. Description of the invention (2030a, 2030b is the best On the summer circle, .34 is symmetrical, but it can also be located in the concentric Figure 2 (b) passing through the point 2034 in (a) of Figure 2 and perpendicular to the electronic soul "203b The vertical cross-sectional view of the surface is used to explain the movement of the electron beams. The irradiated electron beams 2G35a, ⑽b, the percent of Emperor's beast produced by the electrodes 2〇] 〇a, 2030b, and the electromagnetic coil 203 The magnetic fields generated by 1 a, 203 1b are deflected and incident on the surface of the sample toward the vertical direction. Here, the incident position angles of the electron beams 2G35a and 2035b measured on the Wiener ferrule # 于 定 疋The energy of the electron is not determined. In addition, in order to make the secondary electrons t 203 6a '20〇6b straight forward, the conditions of the electric and magnetic fields become vB = E, but The individual control sections 203ia, 203b, 203a, and 203b control the electric field generated by the package pole 2030 玨, 203 01), and the magnetic field generated by the electromagnetic coils 2 () 313, 2〇31b. Therefore, the secondary electron beam can enter the Wiener filter 2010 and be incident on the imaging projection optical unit. Here, v is the electron velocity (m / S) ′ B is the magnetic field (T), e is the electric capacity (c), and £ is the electric field (v / m). Finally, the detector will be described. In the secondary optical system imaging, the secondary electron line image from the wafer is converted into a light image by contacting the light curtain while being enlarged through a micro-circuit plate (MCP). MCP is a collection of millions of ultra-thin conductive glass capillaries with a straight length of 6 to 25 / zm and a length of 0.24 to 1.0 mm, and is shaped into a thin plate shape. By applying a certain amount of electric dust, each The capillary will function as a separate secondary electron amplifier, and the secondary electron amplifier will be formed as a whole. The image converted into light by the detector is projected on the TDI-CCD in a I-pair manner through a FOP system placed in the atmosphere through a vacuum transmission window. ---------------- (Please read the precautions on the back before filling out this page) Ordering ... -n H--II CNS) A4 size (210x 297 mm) 312766 Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 539845 A7

五、發明說明(40 ) 由以上說明可瞭解,第1實施形態之檢查裝置,可提 向使用電子線的檢查裝置的良品製造率。 第3圖為使用本發明之第1實施形態的半導體裝置的 製造方法的一例,係包含以下步驟。 (1) 製造晶圓的晶圓製造步驟(或準備晶圓的晶圓準備 步驟) (2) 用以製造使用於曝光的光罩的光罩製造步驟(或準 備光罩的光罩準備步驟) (3) 進行晶圓所需加工處理的晶圓加工步驟 (4) 將形成於晶圓上的晶粒--切出,使之可產生作動 的晶圓組裝步驟 (5) 檢查完成之晶圓的晶圓檢查步驟 上述各主要步驟’係由幾項子步驟所形成。在這些主 步驟中,對半導體裝置的性能具有決定性影響者為(3)的晶 圓加工步驟。在該步驟中,係在晶圓上依序堆疊所設計之 電路圖案,以形成複數可做為記憶體或MPU進行作動的 晶圓。該晶圓加工步驟包含以下步驟。 (A) 形成做為絕緣膜的誘電體薄臈或配線部,或形成電 極部的金屬薄膜等的薄膜形成步驟(使用CVD或濺射等) (B) 氧化該薄膜層晶圓基板的氧化步驟 (C) 為選擇性地加工薄膜層或晶圓基板等而使用光罩 (rechikuru)以形成阻劑圖案的微影步驟 (D) 依照阻劑圖案對薄膜層或基板進行加工的蝕刻步 驟(例如使用乾蝕刻技術) 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 3X2/66 -----------裝--------訂---------^^^1 (請先閱讀背面之1意事項再填寫本頁) 539845 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 A7 五、發明說明(41) (E) 離子’雜質注入擴散步驟 (F) 阻劑剝離步驟 (G) 檢查經加工晶圓之檢查步驟 此外,晶圓加工舟驟於 〈驟係依照所需層數反覆執製 造可按照設計進行動作的半導體褒置。 订氣 第4圖的(a)為形成第^ 圖的日日圓加工步驟的核心的微 影步驟(C)的流程圖。該微影步驟包含以下步驟。 ⑷在刖段步驟中,於形成電路圖案的晶圓上覆蓋阻劑 的阻劑塗布步驟 (b)阻劑曝光步驟 ⑷將曝光之阻劑予以顯像以取得阻劑圖案的顯像步 驟 (d)用以安定顯像之阻劑圖案的退火步驟對上述的 檢查工程使用本發明的缺陷檢查裝置時,即使是具有微細 圖案的半導體裝置,也能在良品製造率良好狀態下檢查, 不僅可全數檢查,同時可提高製品的良率,並防止缺陷製 品出貨。關於此點,藉第4圖的(b)進行說明。 一般,使用電子線的缺陷檢查裝置昂貴,其良品製造 率亦較其他製程裝置低,因此該種缺陷檢查裝置,目前多 被使用在最需要檢查的重要工程(如蝕刻,成膜活CMp(化 學機械研磨)平坦化處理等)之後。因此,被檢查的晶圓通 過大氣搬送系及真空搬送系,並在超精密χ-Υ台上對準位 置後’藉由靜電夾盤機構等固定後,再依照第4圖的(b) 所示順序進行缺陷檢查。在第4圖的(1))中,首先,係藉由 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 裝 訂---------線 (請先M讀背面之注意事項再填寫本頁) 539845 A7 五 發明說明( 42 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 光學顯微鏡,配合需要進行各晶粒位置的確認,各場所高 度的檢出並予以儲存。光學顯微鏡還可取得發現其他缺陷 處的光學顯微鏡像’同時也使用在與電子線像的比較等。 其次將對應晶圓種類(為哪一工程後,晶圓規格為2〇cm或 〇cm等)的參數控制資訊輸入至裝置中,在進行以下檢查 場所的‘疋’電子光學系的設定,檢查條件設定後,一邊 :得圖像一邊以即時方式進行缺陷檢查。ϋ由比較胞,或 曰曰粒,而由具備演算功能的高速資訊處理系統進行檢查, 並根據需要,進行對CRT等的結果輸出或對記憶體的儲 存。 缺陷中包含有微粒缺陷,形狀異常(圖案缺陷),及電 性(配線或接觸孔等的斷線擊倒通不良等)缺陷等,可以即 時方式自動地區別該些缺陷,或將缺陷大小,致命缺陷予 以分類。 電性缺陷的檢出可藉由電位對比異常的檢出來實 現。例如,倒通不良的場所,藉由電子線照射(500ev程度) 通常為正帶電因對比降低,故可與正常場所進行區分。此 時的電子線照射裝置係指,在一般檢查用的電子線照射裝 置之外,為區別因電位差而產生的對比而另外設置的低電 位(能源)的電子線發生裝置(熱電子發生,uv/光電子)。對 檢查對象區域照射檢查用電子線前,顯產生該低電位(能源) 的電子線後才進行照射。在使用藉由照射檢查用電子線, 而使試料產生正帶電的攝像投影方式時,根據形態,益須 另外設置低電位的電子線發生裝置。此外,藉由對晶圓等 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐 312766 ^--------- (請先閱讀背面之注意事項再填寫本頁) 539845 B? 經濟部智慧財產局員工消費合作社印製 五、發明說明(43 ) 試料施加對基準電位為正或 隨著元件的順、電(""·通的容易度會 檢出缺陷。如此缺陷檢 ㈣比差,亦可 配合精度測定卜。+ k — 亦可使用在線幅敎襄置及 法上,亦可利用席夕:在檢查試料的電性缺陷的檢查方 .φ 原本以電性絕緣的部分的電壓,盥哕邱八 在通電狀態下的„不同的特性。亦gp, 補搖電載,讓原本電性絕緣的部分的電:由二先 的電壓之:Γ部分’但因某種原因而變為通電狀態的部分 二壓之間’I生電虔差’其後’藉由自與本發明相關的 ▼電粒子線裝置照射帶電粒子光束.,取得具有電壓差的資 料,並分析所取得之資料以檢測出通電狀態。 、 實施形態r第? f施形錐、 本發月之第2貫施形態,係關於適用於使用電子線以 檢查形成於檢查對象表面的圖像缺陷等的電子線裝置,如 檢查半導體製造工程中的晶圓缺陷時的情形一般,係關於 一種適用於檢查裝置的電子線裝置,而該檢查裝置,係將 電子線照射在檢查對象,捕捉隨其表面性狀而改變的二次 電子線以形成圖像,再根據該圖像資料,以高良品製造率 檢查形成於檢查對象表面的圖像;此外,本發明之第2實 施形態也關於使用該種電子線裝置並以高良率製造裝置的 裝置製造方法。 在使用電子線以檢查晶圓等缺陷的裝置上,係以使用 市面已有銷售的掃描電子線顯微鏡(SEM)的裝置最廣為人 知。該裝置係以小間隔的光柵寬度進行細孔徑電子線的光 請 先 閱 讀 背 δ 拿、 項 再 填V. Description of the invention (40) From the above description, it can be understood that the inspection apparatus of the first embodiment can improve the yield of good products of the inspection apparatus using electronic wires. Fig. 3 is an example of a method for manufacturing a semiconductor device using the first embodiment of the present invention, and includes the following steps. (1) A wafer manufacturing step for manufacturing a wafer (or a wafer preparing step for preparing a wafer) (2) A mask manufacturing step (or a mask preparing step for preparing a photomask) for manufacturing a photomask used for exposure (3) Wafer processing steps for processing required for wafers (4) Cut out the crystals formed on the wafers so that they can be actuated Wafer assembly steps (5) Check the completed wafers The wafer inspection steps described above are formed by several sub-steps. Among these main steps, the wafer processing step (3) has a decisive influence on the performance of the semiconductor device. In this step, the designed circuit patterns are sequentially stacked on the wafer to form a plurality of wafers that can be operated as a memory or an MPU. This wafer processing step includes the following steps. (A) A thin film forming step (using CVD, sputtering, etc.) for forming a thin film or wiring portion of an electroinductor as an insulating film or an electrode portion (B) An oxidation step for oxidizing the thin film layer wafer substrate (C) a lithography step using a resist to form a resist pattern for selectively processing a thin film layer or a wafer substrate, etc. (D) an etching step for processing the thin film layer or substrate according to the resist pattern (for example, Using dry etching technology) This paper size is applicable to China National Standard (CNS) A4 (210 x 297 mm) 3X2 / 66 ----------- installation -------- order-- ------- ^^^ 1 (Please read the first notice on the back before filling out this page) 539845 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 V. Description of the invention (41) (E) Ion 'impurity Injecting diffusion step (F) Resist stripping step (G) Inspection step for inspecting processed wafers In addition, the wafer processing boat is repeatedly performed in accordance with the required number of layers to fabricate a semiconductor stack that can operate as designed. Ordering (a) of Fig. 4 is a flowchart of a lithography step (C) forming the core of the Japanese yen processing step of Fig. ^. The lithography step includes the following steps. ⑷ In the step ,, a resist coating step (b) a resist exposure step covering a resist on a wafer on which a circuit pattern is formed ⑷ developing the exposed resist to develop a resist pattern development step (d ) Annealing step for stabilizing the resist pattern for development. When the defect inspection device of the present invention is used for the inspection process described above, even a semiconductor device with a fine pattern can be inspected with a good production rate. Inspection can improve the yield of products and prevent defective products from being shipped. This point will be described with reference to (b) of FIG. 4. Generally, the defect inspection device using electronic wires is expensive, and the production rate of good products is lower than that of other process devices. Therefore, this defect inspection device is currently used in the most important projects that require inspection (such as etching, film formation, live CMP (chemical Mechanical polishing), planarization, etc.). Therefore, the wafer to be inspected passes the atmospheric transfer system and the vacuum transfer system, and is aligned with the position on the ultra-precision χ-Υ stage, and then fixed by an electrostatic chuck mechanism, etc., and then according to (b) of FIG. 4 The defect inspection is performed in the order shown. In (1)) in Figure 4, first, the paper size is applied to the Chinese National Standard (CNS) A4 specification (210 X 297 mm). Binding --------- line (please read M first) Note on the back, please fill out this page again) 539845 A7 Five inventions description (42 Optical microscope printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs, according to the need to confirm the position of each grain, the height of each place is detected and stored. Optical The microscope can also be used to obtain optical microscope images where other defects are found. It is also used for comparison with electronic line images. Secondly, the corresponding wafer type (after which process, the wafer size is 20cm or 0cm, etc.) The parameter control information is input into the device. After the setting of the '疋' electronic optics system at the following inspection places, the inspection conditions are set, and the defect inspection is performed in real time while the image is obtained. High-speed information processing system with calculation function to check, and according to need, output the results of CRT and so on or store in memory. Defects include particles Defects, abnormal shapes (pattern defects), and electrical defects (such as broken wires and contact holes, etc.) can be automatically distinguished from these defects in real time, or the size of the defects, fatal defects can be classified. Detection of electrical defects can be achieved by detecting abnormalities in potential contrast. For example, in places with poor continuity, irradiation with electron rays (500ev level) is usually positively charged due to the decrease in contrast, so it can be distinguished from normal places. The electron beam irradiation device at this time refers to a low-potential (energy source) electron beam generating device (thermionic generation, UV) which is additionally provided to distinguish the contrast caused by the potential difference, in addition to the electron beam irradiation device for general inspection. / Photoelectron). Before the inspection target area is irradiated with the inspection electron beam, the low-potential (energy) electron beam is displayed before the irradiation. When the inspection electron beam is irradiated, the sample is projected to be positively charged. In the method, depending on the form, it is necessary to separately install a low-potential electron beam generating device. In addition, it is applicable to the paper size such as wafers. National Standard (CNS) A4 Specification (210 X 297 mm 312766 ^ --------- (Please read the precautions on the back before filling out this page) 539845 B? Printed by the Employees ’Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs System 5. Description of the Invention (43) Defects will be detected when the sample is applied to the reference potential positively or as the components pass through. (&Quot; " ·) The defect will be detected in such a way that the defect detection ratio is poor and can also be used in conjunction with the accuracy measurement Bu. + K — You can also use the wire frame method, and you can also use Xi Xi: In the inspection of the electrical defects of the sample. Φ The voltage of the part that was originally electrically insulated, „Different characteristics under the energized state. Also gp, compensating the electric load, so that the electricity of the part that was originally electrically insulated: from the first two of the voltage: Γ part ', but for some reason the part becomes energized. The voltage difference between “I and the electricity” and “thereafter” are used to irradiate the charged particle beam from the ▼ electron particle device related to the present invention, to obtain data with voltage difference, and analyze the obtained data to detect the energized state. . What is the implementation form r? f The application cone, the second implementation of this month, relates to an electronic wire device that is suitable for inspecting image defects formed on the surface of an inspection target using electronic wires, such as when inspecting wafer defects in semiconductor manufacturing processes. The situation is generally related to an electronic wire device suitable for an inspection device, and the inspection device is to irradiate an electron beam to an inspection object, capture a secondary electron beam that changes with its surface properties to form an image, and The image data is used to inspect the image formed on the surface of the inspection target at a high yield. In addition, the second embodiment of the present invention also relates to a device manufacturing method using such an electronic wire device and manufacturing the device at a high yield. Of the devices that use electronic wires to inspect defects such as wafers, the most widely used are scanning electron microscopes (SEMs) that are commercially available. This device uses a small interval of grating width to perform the light of the electron beam with a small aperture. Please read the δ first, and then fill in the terms.

%裝 本衣 頁I 訂 線 本紙張尺度適用中國國家標準(CNS)a4規格(210 x 297公釐) 43 312766 539845 312766 A7 五、發明說明(44 柵掃描’並利用二次電子線檢出器,檢出隨著掃描而由檢 查對象釋釋出來的二次電子線並形成sem圖像,再比較 SEM圖像不同的晶粒的相同位置以抽出缺陷。 但疋’在過去,尚未有用以做為使用電子線之缺陷檢 查裝置的全體系統的裝置。 因此,在使用SEM的缺陷檢查裝置上,因光束規格 小,而使得像素規袼及光柵寬度均小,因此在缺陷檢查尚 須花=多的時間。此外,為達到高良品製造率,而增加 光束笔/”L夸會有因表面具有絕緣體的晶圓產生帶電而無 法獲得良好SEM像的問題。 此外’關於考量照射電子線以進行檢查的電子光學裝 置;與在清淨狀態下將檢查對象供、給到電子光學裝置的照 射位置,JE進行對準的其子系、统之間的關連性的檢查裝 置的整體構造上,至今尚不明確。又隨著做為檢查對象的 晶圓的大徑化,也要求子系統必須能夠對應該變化。 本發明帛2實施形態係基於上述問題點而提案,在使 用應用電子線的電子光學系的同時,提供·· 電子線裝置,可用以取得該電子光學系與構成裝置之 其他構成機器之間的協調並提昇良品製造率; 電子線裝置,可用以改善在儲存檢查對象的ϋ 關係於電子光學系,用以決定檢查對象位置的工作台裝置 之間搬送檢查對象的裝載器及其相關連的裝置,以有效且 精確地對檢查對象進行檢查; 電子線裝置’可用以解決在SEM上有問題的帶電問% Binding page I Threading This paper size is in accordance with China National Standard (CNS) a4 (210 x 297 mm) 43 312766 539845 312766 A7 V. Description of the invention (44 raster scans) and the use of a secondary electronic wire detector , Detect the secondary electron rays released by the inspection object along with the scan and form a sem image, and then compare the same positions of different crystal grains in the SEM image to extract the defects. However, in the past, it has not been useful to do so. It is a device of the entire system using a defect inspection device using an electronic wire. Therefore, a defect inspection device using an SEM has a small beam size and a small pixel size and a small grating width. In addition, in order to achieve a high-quality manufacturing rate, increasing the beam pen / "L" will cause a problem that a good SEM image cannot be obtained due to the charging of a wafer with an insulator on the surface. In addition, 'about the irradiation of electron beams for inspection Electro-optical device; JE inspects the correlation between its sub-systems and systems for supplying the inspection object to the irradiation position of the electro-optical device in a clean state. The overall structure of the inspection device has not yet been clarified. With the increase in the diameter of the wafer to be inspected, the subsystem must also be able to respond to changes. Embodiment 2 of the present invention is proposed based on the above problems. While using the electronic optics system that uses electronic wires, provide electronic wire devices that can be used to obtain coordination between the electronic optics system and other constituent machines that constitute the device and improve the rate of good product manufacturing; electronic wire devices can be used to Improving the storage of inspection objects is related to the electronic optics system, and the loader and related devices for transporting inspection objects between the table devices used to determine the inspection object position, so as to effectively and accurately inspect the inspection objects; Line device 'can be used to solve the problem of live charging on the SEM

巧狀度_中_ ‘祕(CNS)A4規格(2W 1--------訂------- (請先閱讀背面之注意事項再填寫本頁) -I n f . 539845 五、發明說明(45‘) 題’以精確檢查檢查對象。 裝置製造方法’可藉由使用上述電子線裝置,以進行 晶圓等檢查對象的檢查以提高良率。 以下,參照圖面,說明與本發明相關之帶電粒子線裝 置之第2實施形態’用以檢查做為檢查對象於表面上形成 圖案的基板’'亦即晶圓的半導體檢查裝置全體構造,=動 作及3用該半導體檢查裝置的裝置製造方法。 經濟部智慧財產局員工消費合作杜印製 .在第5圖及第6圖的⑷,,半導體檢查裝置卜具備 2 :用以保持收容複數晶圓的卡匣的卡匣支持器ι〇;小型 :境裝置20 ’·分割為工作室的主殼體3〇 ;被配置於小型環 兄衣置z 0及土敢體之間,將分割為二個加載室的裝載殼體 4〇;與將晶圓由卡匣支持器1〇裝填於配置在主殼體3〇中 的工作台裝置50上的裝載器;及裝設於真空殼體的電子光 :衣置70’該些裝置係以第5圖及第6圖之⑷所示位置關 係配置。此外’半導體檢查裝置i,還具備有:配置在真 空主殼體的30内的充電裝置81 ;在晶圓上施加電位的電 位施加機構83(如第12圖所示);電子線校準機構85(如第 13圖所示構成用以決定工作台裝置上的晶圓位置的校 準控制裝置87的光學顯微鏡871。 卡匣支持器10,可一次保持數個(本實施形態中為2 個)將複數(例如25片)晶圓朝上下方向平行排列收納的卡 匣c(例如,ASIST社製的諸如smif,F〇up 一般的封閉式 卡匣)。該卡匣支持器,可任意選擇設置適合藉由機器人將 卡匣自動搬送並裝填至卡匣支持器1〇的形態的構造物,或 (210 X 297 ) 本紙張尺度適用 A7Ingenuity_Medium_ 'Secret (CNS) A4 Specification (2W 1 -------- Order ------- (Please read the precautions on the back before filling this page) -I nf. 539845 V. Description of the invention (45 ') The question' Precise inspection of inspection objects. Device manufacturing method 'can improve the yield by inspecting inspection objects such as wafers using the above-mentioned electronic wire device. Hereinafter, the description will be given with reference to the drawings The second embodiment of the charged particle beam device related to the present invention is used to inspect a substrate on which a pattern is formed on the surface as an inspection object, that is, the overall structure of a semiconductor inspection device for a wafer, = operation and 3 using the semiconductor inspection Device manufacturing method of device. Consumption cooperation printed by employees of the Intellectual Property Bureau of the Ministry of Economic Affairs. As shown in Figures 5 and 6, the semiconductor inspection device includes a cassette for holding a cassette containing a plurality of wafers. Support device ι〇; small: environment device 20 '· divided into the main casing of the working room 30; is arranged between the small ring brother clothes z 0 and the courageous body, will be divided into two loading chamber loading shell Body 40; and loading the wafer by the cassette holder 10 into the main housing The loader on the table device 50 in 30; and the electronic light installed in the vacuum housing: the clothes 70 'These devices are arranged in the positional relationship shown in Fig. 5 and Fig. 6 此外. The semiconductor inspection device i further includes: a charging device 81 disposed in 30 of the vacuum main casing; a potential applying mechanism 83 (as shown in FIG. 12) for applying a potential to the wafer; and an electronic wire calibration mechanism 85 (such as The optical microscope 871 of the calibration control device 87 for determining the wafer position on the table device is shown in Fig. 13. The cassette holder 10 can hold a plurality of them (two in this embodiment) at a time. For example, 25 pieces of cassettes c (for example, closed cassettes made by ASIST, such as smif, F0up) in which wafers are arranged in parallel in the up-and-down direction. The robot automatically transfers and loads the cassette to the structure of the cassette holder 10, or (210 X 297) This paper size is suitable for A7

A7 五、發明說明(49 设to 22的外側。此時,亦可排出至設於殼體u附近的排 氣管(無圖示)内。 配置在小型環境空間2 1内的校準器2 5,係以光學式 或機械式檢出形成於晶圓上的定向平面(係指形成於圓形 晶圓外圍的平坦部分,以下通稱為定位平面)或形成於晶圓 外圍的-個或一個以上的V型缺口以及凹#,並以大約土 1度的精度事先決定晶圓轴、線〇_〇的周圍的旋轉方向位 置。校準器構成決定申請項所記载之發明之檢查對象的座 標:機構的一部份,負責決定檢查對象的大致位置。該校 準器本身只要是—般所知的構造即可,故省略其構造,動 作說明。 訂 此外,雖無圖示,校準器的下方,還設有排出裝置用 之回收導管’可將由校準器所排出的含有塵埃的S氣排出 至外部。 線 *在第5圖及第6圖的⑷中’用以劃分工作室3 i的主 殼體30’具備有殼體主體32’而該殼體主體32,係由配 在σ架36上振動閘門裝置,亦即裝載於防振裝置37上 的殼體支持裝置33所支撐。殼體支持裝置33具備有組裝 為矩形的框架結構體331。殼體主體32被配置固定在框架 結構體上,具備有載置在框架結構體上的底壁321,·頂壁 322;底壁321及連接於頂壁322圍繞四周的周壁由 外部隔離工作室31。底壁321,在本實施形態下,為避免 因載置於上方的工作台裝置等的機器的加重而發生偏移, 而以厚度較厚的鋼板構成’但亦可作成其他構造 297公釐) 539845 五、發明說明(51) 受以及來自工作台的信號的授受,係分別藉由制#p _ ^ 與台控制器來進行。 工% 制御控制器,主要負責電子光學系的控制(電子搶,透 鏡板準斋,維納濾波器等高精度電源的控制等)。具體而 言,係進行··在倍率改變時也能使一定的電流經常照射在 心射區域’或對應各倍率,自動設定施加於各透鏡系或校 準…的電壓等,對應各種運算模式的各透鏡系或校準器的 自動電壓設定等控制。 台控制器主要進行與工作台之移動相關的控制,使精 密的=方向與y方向的心次序的移動(±〇5心程度的 誤差)得以達成。而台控制器係在誤差精度為± 0.3秒程度 内進行工作台的旋轉方向的控制(0控制)。 經濟部智慧財產局員工消費合作社印製 在第5圖,第6圖的⑷及第8圖中,裝載殼體4〇,具 備有肖以剡刀第1裝載殼體41及第2裝載殼體U的殼 體主體43。殼體主體43,具傷有底壁431 :頂壁⑶:圍 凡四周的周壁,用以區隔第i加載室Μ與第2加載室 的分隔板434 ’並可由外部隔離兩個加載室。分隔板434 中形成有在兩個加載室之間進行晶圓收受的開口,亦即出 5此外’與周壁433 &小型環境裝置及主殼體相 連的部分’形成有出入口 436及437。該裝載殼體4〇的殼 體主體43,被載置於殼體支持裝置33的框架結構體331 上,並以該結構體支撐。囡士 t 牙因此,地面的振動同樣不會被傳 達到該裝載殼體40。 裝載殼體40的出入口 436與想行環境裝置的殼體22 本紙張尺度刺中關家標準(CNS)A4規格 312766 539845 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(52) 的出入口 226整合,其中,又設置有閘門裝置27,可選擇 性地阻止小型環境空間21與第1加載室41的連通。間門 裝置27,具備有:圍繞出入口 226及436的周圍,與側壁 433緊密接觸固定的密閉材271 ;與密閉材271相作動,藉 由出入口阻止空氣流通的門272 ;可開關該門的驅動裝置 273。此外’褒載殼體40的出入口 437與殼體主體32的出 入口 325相互整合,其中設置有閘門裝置45,可選擇性地 密閉阻止第2加載室42與工作室3 1之間的連通。該間門 裝置45,具備有:圍繞出入口 437及325的周圍,與側壁 433及323緊密接觸固定的密閉材451;與密閉材451相作 動,藉由出入口阻止空氣流通的門452 ;可開關該門的驅 動裝置453。 此外’形成於分隔板4 3 4上的開口中,設置有閘門裝 置’可藉由門461關閉該開口並選擇性地密閉阻止第1與 第2加載室之間的連通。這些閘門裝置27,45,46,在關 閉狀態時可氣密式地封閉個工作室。由於這些閘門裝置只 要是一般所知的構造即可,故省略其構造及動作的詳細說 明。此外,小型環境裝置20的殼體22的支撐方法與裝載 殼體的支撐方法不同,為防止經小型環境裝置而由地面產 生的振動傳達至裝載殼體4〇,主殼體3〇,最好在殼體22 與裝載殼體40之間配置防振用墊材,而以氣密方式包圍出 入口周圍。 第Ϊ加載室41中,配置有晶圓架,可將複數(本實施 形態中為2片)的晶圓以上下間隔的水平狀態支撐。 ' ----- -------· --------訂--------- (請先閱讀背面之注意事項再填寫本頁)A7 V. Description of the invention (49 set to the outside of to 22. At this time, it can also be discharged into an exhaust pipe (not shown) located near the housing u. Calibrator 2 5 arranged in a small environmental space 2 1 , Is to detect optically or mechanically the orientation plane formed on the wafer (refers to the flat part formed on the periphery of a circular wafer, hereinafter referred to as the positioning plane) or one or more of the wafers formed on the periphery of the wafer V-notch and concave #, and determine the position of the rotation direction around the wafer axis and the line 〇_〇 in advance with an accuracy of approximately 1 degree. The calibrator constitutes the coordinates that determine the inspection object of the invention described in the application: A part of the mechanism is responsible for determining the approximate position of the inspection object. The calibrator itself only needs to have a generally known structure, so its structure and operation description are omitted. In addition, although there is no illustration, below the calibrator, There is also a recovery duct for the discharge device 'to discharge the S gas containing dust discharged from the calibrator to the outside. The line * in the middle of Figure 5 and Figure 6' is used to divide the main casing of the working room 3 i The body 30 'is provided with a case body 32' and The casing body 32 is supported by a vibration gate device mounted on the sigma frame 36, that is, a casing support device 33 mounted on the vibration isolation device 37. The casing support device 33 includes a rectangular frame structure 331. The housing main body 32 is arranged and fixed on the frame structure, and includes a bottom wall 321 and a top wall 322 placed on the frame structure, and the bottom wall 321 and a peripheral wall connected to the periphery of the top wall 322 are isolated from the outside. Work room 31. Bottom wall 321, in this embodiment, is made of a thicker steel plate in order to avoid displacement due to the weight of a device such as a table device placed on the upper side. 297 mm) 539845 V. Description of the invention (51) The receiving and receiving of signals from the table are performed by the system #p _ ^ and the table controller respectively. The controller is mainly responsible for the control of the electronic optics system (electronic grabbing, control of lens plate Zunzhai, control of high-precision power supplies such as Wiener filters, etc.). Specifically, when the magnification is changed, a certain current can be constantly irradiated on the heart-radiation area or corresponding magnification, and the voltage applied to each lens system or calibration is automatically set, and each lens corresponding to various calculation modes System or calibrator's automatic voltage setting control. The table controller mainly performs the control related to the movement of the table, so that the precise sequence movement of the heart direction (direction error of ± 05 degrees) in the y direction and the y direction can be achieved. On the other hand, the table controller controls the rotation direction of the table (0 control) within an error accuracy of ± 0.3 seconds. Printed on Figures 5 and 6 and Figures 8 and 8 of the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs. The loading case 40 is provided with the first loading case 41 and the second loading case. U's case body 43. The housing main body 43 has a bottom wall 431 with injuries: a top wall ⑶: a peripheral wall surrounding the surroundings, a partition plate 434 'for separating the i-th loading chamber M from the second loading chamber, and the two loading chambers can be externally isolated . An opening for receiving wafers between the two loading chambers is formed in the partition plate 434, that is, an entrance 436 and 437 are formed in a portion connected to the peripheral wall 433 & small environmental device and the main casing. The case body 43 on which the case 40 is loaded is placed on and supported by the frame structure 331 of the case supporting device 33. As a result, the vibration of the ground will not be transmitted to the loading case 40 either. The entrance / exit 436 of the housing 40 and the housing 22 of the environmental device are intended to be printed on paper. The standard is CNS A4. 312766 539845. Printed by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. The entrance and exit 226 are integrated, and a gate device 27 is provided to selectively prevent communication between the small environmental space 21 and the first loading chamber 41. The door device 27 includes a sealing material 271 fixed around the entrances 226 and 436 in close contact with the side wall 433; a door 272 which acts on the sealing material 271 to prevent air flow through the entrance and exit; and can drive the door to open and close Device 273. In addition, the entrance / exit 437 of the ballast case 40 and the entrance / exit 325 of the case body 32 are integrated with each other, and a gate device 45 is provided therein to selectively hermetically prevent communication between the second loading chamber 42 and the working room 31. The door device 45 includes a sealing material 451 surrounding the entrances and exits 437 and 325 and fixed in close contact with the side walls 433 and 323; a door 452 that operates with the sealing material 451 to prevent air flow through the entrance and exit; The door drive 453. In addition, a gate device is formed in the opening formed in the partition plate 4 3 4 to close the opening by the door 461 and selectively seal the communication between the first and second loading chambers. These shutter devices 27, 45, 46 can hermetically close the work chamber when closed. Since these shutter devices may have a generally known structure, detailed descriptions of the structures and operations are omitted. In addition, the supporting method of the housing 22 of the small environmental device 20 is different from the supporting method of the loading housing. In order to prevent the vibration generated from the ground through the small environmental device from being transmitted to the loading housing 40 and the main housing 30, it is preferable A cushion material for vibration isolation is arranged between the case 22 and the loading case 40, and the periphery of the entrance and the exit are enclosed in an airtight manner. The first loading chamber 41 is provided with a wafer rack, and can support a plurality of (two in this embodiment) wafers in a horizontal state with a vertical interval. '----- ------- · -------- Order --------- (Please read the notes on the back before filling this page)

312766312766

五、發明說明(53) 經 濟 部 智 慧 財 產 局 員 工 消 費 合 社 印 製 曰曰圓木47,如第9圖所示,具備有在矩形的基板471 的四角以相互間隔的直立方式固定的支柱472,各支柱472 /成有2段的支持部473及474,該支持部上使晶 圓W的周圍得以被裝載保持於該支持部上。並使後述的第 1及第2搬运裝置的機械臂的前端得以由鄰接的支柱間靠 ,晶圓,而藉由機械臂來把持晶H。加載室41及42,可 籍由無圖不❺|空果的一般周知的構造的I空排氣系 (無圖示在高真空狀態下(真空度為1()_5至iG_6pa)控制狀 况此時係、將第i加載室4 j保持在低真空狀態下以做為 ,真空室,而將第2加載室42保持在高真空狀態下以做為 回真二至,可有效地防止晶圓污染。藉由採用該種構造, 可迅速地將收容在加載室㈣待進行缺陷檢查的晶圓搬送 到工作至中。藉由採用該種加載室,與後述的多波束型電 子線裝置原理相同,可提昇缺陷檢查的良品製造率,並將 要求保管狀態必須在高真空狀態下的電子線源周邊的真空 度盡可肖b地維持在高真空狀態下。 此外,真空排氣系,係由真空泵,真空閥,真空規, 真空配官等構成,並依照所定順序進行電子光學系,檢出 器部,試料室,裝載加鎖室的真空排氣。控制真空閥以在 各部中達成必要的真空度。因此,進行經常性的真空度偵 測,在發生異常時,藉由連鎖功能進行隔離閥等的緊急控 制以確保真空度。在真空泵上,係在主排氣中使用渦輪分 子泵,而在粗抽吸用方面則使用螺旋式乾泵。檢查場所(電 子線照射部)的麼力為1 〇·3至1 〇_5pa,理想係以其下一位的 I t----------線 (請先閱讀背面之注意事項再填寫本頁) 312766 539845 A7 b/ 五、發明說明(54 ) 10·4至10_6Pa較為實用。 ~第1及第2加載室41及42,分別連接有真空排氣配 管與惰性氣體(例如乾燥純氮氣)用的通氣配管(均無圖 :)。藉此,各加載室内的大氣壓狀態可藉由惰性氣體通氣 管(注入惰性氣體,以防止惰性氣體以外的氧氣等附著於表 面)達成。該種惰性氣體通氣管裝置只要是一般所知的構造 即可’故省略其構造及動作的詳細說明。 此外,在使用電子線的本發明的檢查裝置中,當做為 後述電子光學系的電子線源使用的代表性六硼化鑭(La6) 加熱到可一次釋出熱電子線的程度的高溫狀態時,為避免 縮減其壽命,應注意盡可能不要使其接觸到氧氣等,此可 藉由在晶圓被搬入配置有電子光學系的工作室的前階段 中,進行上述狀況的控制,而確實實行。 工作台裝置50,具備有:配置在主殼體3〇的底壁321 上的固定台51;在固定台上朝γ方向(在第5圖中為與紙 面垂直的方向)移動的丫台52;在Y台上朝X方向(在第3 圖中為左右方向)移動的乂台53;可在又台上旋轉的旋轉 口 54、’及配置在旋轉台54上的支持器55。將晶圓以可解 除方式保持在該支持器55的晶圓載置面551上。支持器, 只要是可以機械式或利用靜電夾盤方式,以可解除方式支 撐晶圓的一般周知的構造即可。 消 口工作台裝置50,係使用伺服馬達,譯碼器及各種感測 器(無圖不),並藉由讓上述複數的工作台進行作動,使在 、 由支持架保持的晶圓,可對應電子光學裝置所照 W尺度適用 312766 539845 A7V. Description of the invention (53) The log 47 printed by the Employees ’Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs is provided with pillars 472 fixed in an upright manner at four corners of a rectangular base plate 471 as shown in FIG. 9. Each pillar 472 has two support sections 473 and 474. The support section allows the periphery of the wafer W to be loaded and held on the support section. The front ends of the robot arms of the first and second conveying devices described below can be held between adjacent pillars and wafers, and the crystal H can be held by the robot arms. The loading chambers 41 and 42 can be controlled by the I-air exhaust system (not shown in the high vacuum state (vacuum degree is 1 () _ 5 to iG_6pa) with a generally well-known structure without any picture | empty fruit | At this time, the i-th loading chamber 4 j is maintained in a low vacuum state as a vacuum chamber, and the second loading chamber 42 is maintained in a high-vacuum state as a return state, which can effectively prevent crystals. Circular pollution. By adopting this structure, the wafers contained in the loading chamber to be inspected for defects can be quickly transferred to work. By using this loading chamber, the principle of the multi-beam electronic wire device described later is used. In the same way, the manufacturing rate of good products for defect inspection can be improved, and the vacuum around the electron source that must be kept in a high vacuum state can be maintained in a high vacuum state as much as possible. In addition, the vacuum exhaust system, the system It consists of a vacuum pump, a vacuum valve, a vacuum gauge, a vacuum distributor, etc., and performs the vacuum exhaust of the electro-optical system, the detector section, the sample chamber, and the lock chamber in accordance with a predetermined sequence. The vacuum valve is controlled to achieve the necessary in each department Degree of vacuum. So, enter Regular vacuum detection, in the event of an abnormality, the emergency control of the isolation valve by interlocking function to ensure the vacuum. On the vacuum pump, a turbo molecular pump is used in the main exhaust, and for rough suction On the other hand, a screw-type dry pump is used. The inspection site (electron beam irradiating part) has a force of 10.3 to 1 〇_5pa, and is ideally based on the next I t ---------- (Please read the precautions on the back before filling this page) 312766 539845 A7 b / V. Description of the invention (54) 10 · 4 to 10_6Pa is more practical. ~ The first and second loading chambers 41 and 42 are connected to a vacuum respectively Exhaust piping and venting piping for inert gas (such as dry pure nitrogen) (both are not shown in the figure :). By this, the atmospheric pressure state in each loading chamber can be controlled by inert gas vent pipe (injecting inert gas to prevent (Oxygen adheres to the surface). This kind of inert gas snorkel device may have a generally known structure, so detailed description of its structure and operation is omitted. In addition, the inspection device of the present invention using an electronic wire is regarded as Electronic Optics When the lanthanum hexaboride (La6), which is a typical electron beam source, is heated to a high temperature that can release hot electron beams at one time, in order to avoid shortening its life, care should be taken not to expose it to oxygen as much as possible. The above-mentioned control is carried out in a stage before the wafer is transferred into the working room in which the electro-optical system is arranged, and it is surely implemented. The table device 50 is provided on the bottom wall 321 of the main casing 30. Fixed stage 51 on the fixed stage; Ya stage 52 moving on the fixed stage in the γ direction (the direction perpendicular to the paper surface in Fig. 5); on the Y stage moved in the X direction (left and right direction in Fig. 3) Rotary stage 53; a rotary port 54, and a holder 55 arranged on the rotary table 54 that can be rotated on the stage. The wafer is releasably held on the wafer mounting surface 551 of the holder 55. The holder may be a generally known structure capable of mechanically or electrostatically chucking and releasably supporting a wafer. The degaussing table device 50 uses a servo motor, a decoder, and various sensors (not shown). By operating the plurality of tables, the wafers held by the support frame can Corresponds to the W scale of the electro-optical device. 312766 539845 A7

539845 A7 五、發明說明(56) 電壓施加的導通處。 此外,在本實施形態、中,雖以第6圖的(a)中朝左右方 =的::台做為X工作台’而將朝上下移動的工作台 做為Y工作台’但亦可以同圖中朝左右方向移動的工作二 做為工Y作台,而將朝上下移動的工作台做為 A。 裝載器60,具備有:配置在小型環境裝置的殼體 2内的機器人式的第W送裝置61;及配置在第2加載室 42内的機斋人式的第2搬送裝置63。 第1搬送裝置61,具有多節式機械臂612,可對驅動 =川在軸線〇1_01周圍進行旋轉。在多節式機械臂方 訂 有以;::=構造’但在本實施形態中,該機械臂係具 有以可相互轉動的方式設置的3 的機械臂⑴的-部份,亦即驅動部61=二置: 係被裝置在可藉由裝設在驅動部611内的一==動 旋轉的…。機械臂612,除可藉以。軸613 =上:為中心旋轉外’可藉由各部間的相對旋轉, 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 圓二运的第3部分的前端’設置有用以把持晶 -、般構造的機械式夾盤或靜電夾盤等把持裝置 下方^611,可藉由一般構造的昇降機構615進行上 下方向的移動。 持器===,其機械臂612係朝保持…保 而將收容在卡「 任一方的方向M1或M2伸展’ 在切C中的—片晶圓放置在機械臂上或藉由裝 — (210 X 297 公釐) 312766 539845 A7 五、發明說明(^) 置在機械臂前端的夾盤(無圖示)把持 Ψ ^ ^ ^ xm / \ 之後,該機械 臂縮口(如第6圖⑷所示狀態)朝預先校準器Μ 旋轉至可伸展之位置並停止在該位置 择他成 上之後,機械臂再 又申展二而將保持在機械臂上的晶圓载置到預先校準哭Μ 前軸反’在接收_後,機械料心預先㈣ 4轉,並朝第2加載室41延伸而停正在其可伸展之位置 (:器向:)’最後再將晶圓交付第2加载室4 ”的晶圓接 此外,以機械式把持晶圓時,係把持晶圓的周緣部(距 周緣約5随的範圍)。此乃因為晶圓除周緣部外,並全面 已形成有裝置(電路配線)’若把持到該部分,將造成裝置 的破壞及缺陷的產生。第2搬送裝置63在構造上大致與第 1搬送裝置相同,兩者唯有在搬送晶圓時 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 二作台裝置的載置面之間進行之—點上不同,故m田 說明。在上述裝載器60中,其第】及第2搬送裝置“及 63,係在保持大約水平的狀態下進行由保持在卡匣支持哭 上的卡E到配置在工作室31内的工作台裝置5〇或其相^ 方向的晶圓搬送,而搬送裝置的機械臂,只在以下情形進 行上下移動:將晶圓取出或插入卡E ;將晶圓載置於或搬 出自晶圓架;以及將晶圓載置於獲取出自工作台裝置。因 此,即使是大型的晶圓,如直徑為3〇cm的晶圓亦能順利 移動。 其次,依照順序說明由保持在卡匣支持器上的卡匣到 配置在工作室31内的工作台裝置5〇的晶圓搬送過程。 312766 用中國國家 539845 A7 經 濟 部 費 印 制 五、發明說明(%) % "'---- 可使10,如前述一般’係以人工安裝卡e時, 、口〜裝方式的結構體’而係自動安裝卡匣時, 則使用適合其安裝方式 、 …皮安裝到切支捭:·在“施形態中’當卡 支持器10的幵降台11上時,昇降台u 會藉由昇降機構12降丁 土上 町幵降口 Η 人。 降下,而使卡昆C與出入口 225相整 σ ° 卡匡與出入口整合後,設置在切 開啟’而卡Ec與小型環境的出入口如之間被配置^ 狀的覆蓋物,而由外邱诚“广 ”皮配置以同 部。由於該此構及小型環境空間内 之詳細說明。此外!刑^牡,略其構造及動作 入口 225的閘門裝置::2〇側,設有可開關出 入口 225。置時,該閘門裝置將進行作動以打開出 另-方面’第1搬送裝置61的機械臂 向Ml或M2的任一向的壯在面向方 止,备出入口 225 悲(在本說明中為M1方向)下停 二;機械臂即伸展至前端以接收被 收谷在卡£内的晶圓的其中一片。此 2收被 匣中取出的晶圓之間,"自卡 此 + 間的上下方向的位置調整,在本實祐花 悲下,係藉由第】搬送裝置61 " 的上下移動央推的驅動部611及機械臂61: 的上下移動來進仃’但亦可藉 下移動,或藉由兩者進ρ …=由機械臂612執行的晶圓接收後,、機械臂將縮 口 Μ門裝置產生作動以關閉出入口 (有閘門裝置 時),之後’機械臂⑴沿輛線0-H周圍轉動並朝方向 1 -~— - ___539845 A7 V. Description of the invention (56) Conduction of voltage application. In addition, in this embodiment, although the left and right sides in the (a) of FIG. 6 are used as :: the table is used as the X table, and the table moved upward and downward is used as the Y table. As shown in the figure, the work table 2 moving in the left-right direction is used as the work table for Y, and the work table moving in the up-down direction is used as A. The loader 60 includes a robot-type second W-transporting device 61 disposed in the housing 2 of the small environmental device, and a robot-type second transporting device 63 disposed in the second loading chamber 42. The first conveying device 61 includes a multi-section robotic arm 612, and can rotate the driving axis around the axis 〇1_01. The multi-section type robot arm is provided with :: == ', but in this embodiment, the robot arm has a-part of 3 robot arms 设置 arranged in a rotatable manner, that is, a driving part. 61 = Two-positioned: It is installed in the one that can be rotated by the one installed in the driving part 611 ... The robot arm 612 can be divided by. Axis 613 = Up: Rotate outside the center. “The front end of the third part of the second round of the second round of the Yun Eryun printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs can be used to hold the crystal-like, general-structured machinery. Below the holding device such as a chuck or an electrostatic chuck ^ 611, it can be moved in the vertical direction by a lifting mechanism 615 of a general structure. Holder ===, its robotic arm 612 is to hold ... to keep the card "stretched in either direction M1 or M2 '. The wafer in cut C is placed on the robotic arm or loaded by-( 210 X 297 mm) 312766 539845 A7 V. Description of the invention (^) The chuck (not shown) placed at the front of the robot arm holds Ψ ^ ^ ^ xm / \, the robot arm shrinks (as shown in Figure 6⑷) The state shown) is rotated toward the pre-calibrator M to a stretchable position and stopped at that position. After that, the robot arm is extended again and the wafer held on the robot arm is placed on the pre-calibrator M. The front axis is reversed. 'After receiving, the mechanical material core makes 4 turns in advance, and extends toward the second loading chamber 41 and stops at its stretchable position (: device direction :)' Finally, the wafer is delivered to the second loading chamber. When the wafer is mechanically held, the peripheral part of the wafer is held (a range of about 5 from the peripheral edge). This is because a device (circuit wiring) has been formed on all sides of the wafer except for the peripheral part. If the device is held to this part, the device will be damaged and defects will be generated. The second conveying device 63 is approximately the same in structure as the first conveying device, and the two are performed only between the mounting surfaces printed by the consumer property cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs and the printing machine for the second stage. Different, so m field explained. In the loader 60 described above, the first and second conveying devices "and 63" are carried out in a state where they are kept approximately horizontal, from the card E held on the cassette support to the workbench device arranged in the working room 31. The wafer is moved in the direction of 50 ° or its opposite direction, and the robot arm of the transfer device only moves up and down when: the wafer is taken out or inserted into the card E; the wafer is placed in or removed from the wafer rack; and The wafer is placed in the device obtained from the table. Therefore, even large wafers, such as wafers with a diameter of 30 cm, can be smoothly moved. Second, the instructions from the cassette held on the cassette holder to the The wafer transfer process of the workbench device 50 arranged in the working room 31. 312766 Printed by the Chinese Ministry of Economic Affairs 539845 A7. 5. Description of the invention (%)% " '---- can be 10, as mentioned above Generally, "the structure is installed when the card e is manually installed, and the structure is automatically installed," and when the cassette is automatically installed, the installation method suitable for the installation is used, and the skin is installed to the cutting support. When the card holder 10 is lowered onto the platform 11 Taiwan will u drop by drop on the lift mechanism 12 territories cho Ding Jian across the entrance Η people. Lower and make Ka Kun C align with the entrance and exit 225 ° After integration of Ka Kuang with the entrance and exit, it is set to cut open, and the card Ec and the entrance and exit of the small environment are arranged with a ^ -shaped cover, and by Qiu Cheng "Guang" leather configuration with the same department. Due to the detailed description of this structure and small environmental space. Besides! Xing ^ Mu, its structure and operation are slightly omitted. The gate device of the entrance 225: side 2 is provided with a switchable entrance 225. When set, the gate device will act to open the other-aspect's first conveying device 61. The mechanical arm of the first conveying device 61 faces either one of M1 or M2, and prepares the entrance and exit 225. (In the direction of M1 in this description) ) Stop two; the robotic arm is extended to the front to receive one of the wafers that has been trapped in the card. Between the two wafers taken out of the cassette, the position adjustment in the up and down direction of the "+" card, and in the case of this real flower, is based on the up and down movement of the conveying device 61 " The drive part 611 and the robot arm 61: move up and down to move in, but it can also be borrowed to move, or by both ρ… = After the wafer is received by the robot arm 612, the robot arm will shrink M The door device actuates to close the entrance and exit (when there is a gate device), after which the 'arm arm ⑴ turns around the vehicle line 0-H and moves in the direction 1-~--___

本紙張尺度_ _冢標準(CNS)A4規格⑵Q 312766 ------------IP裝----τ —訂--------- (請先閱讀背面之注意事項再填寫本頁}This paper size _ _ Tsukam Standard (CNS) A4 specification ⑵Q 312766 ------------ IP equipment ---- τ --Order --------- (Please read the Note to fill out this page again}

MW 539845 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(59) 如此’機械臂向前方伸展而將載置在前端或由 淮-、的晶圓放置到預先校準器25上,再藉由該預先校 準益將晶圓的旋轉方向(與晶圓平面垂直的中心軸線的周 圍方向)疋位在一 An 1^1 . 、, 疋耗圍内。元成定位後,搬送裝置61於 機械臂前端由預先校準器25接收晶圓時,將機械臂縮回, 並讓機械臂形成朝方向M4伸展的姿勢。藉此閘門裝置27 的門272移動並開啟出人口 226及436,使機械臂伸出以 將晶圓載置到第1加載室41内的晶圓架47的上段側或下 段側。而如前述一般,在開啟閘門裝置27並將晶圓送到晶 圓架47前,形成於分隔板43的開口 435,將藉由閘門裝 置46的門461在器密狀態下關閉。 在藉由上述第1搬送裝置進行的晶圓搬送過程中,清 序空氣會以層流狀自設置在小型環境裝置的殼體上的氣體 供給裝置231中流出(做為下游),以避免塵埃在搬送途中 附著於晶圓表面。搬送裝置周邊的空器的一部份(在本實施 开> 態下’係供給裝置所供給之空氣之2 〇 %,主要為無染空 氣)被吸入排出裝置24的吸入導管241而被排出至殼體 外。而剩餘的空氣則藉由設置在殼體底部的回收導管232 回收而再度回到氣體供給裝置231中。 晶圓藉由加載室40的第1加載室41内的晶圓架47 内的第1搬送裝置61載置時,閘門裝置27會關閉,以將 加載室41内予以密閉。如此,第1加載室41内將被惰性 氣體所充滿,並隨著空氣被排出,該惰性氣體也被排出而 使該加載室41内變為真空狀態。該第1加載室的真空狀態 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 59— 312766 裝.-------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 539845 A7 ----------BT___ 五、發明說明(60) '^ 疋七一工度即可。加載室41内的真空度達到一定程度 後’閘門裝置46產生作動而將由門461所密閉的出入口 434打開,而第2搬送裝置63的機械臂632朝外伸出並以 =端的把,裝置由晶圓接收器47接收一片晶圓(裝載於前 端之上或藉由裝設在前端的夾盤把持)。在接收晶圓後機械 ’縮回’而閘門裝置46再度產生作動而以門46丨關閉出入 口 435 〇 , 此外,在打開閘門裝置46前,機械臂632會事先呈 現朝晶圓夾盤47的方向N1伸展的姿勢。此外,如前述一 般在閘門裝置46開啟前,藉由閘門裝置45的門452將出 入口 43 7,325關閉,並在氣密狀態下阻止第2加載室42 内與工作室31内的通氣,而在第2加載室42内進行真空 排氣。 …工 閘門裝置46將出入口關閉後,第2加載室内再度進 行真二排氣’使其達到較第1加載室内的真空狀態更高的 高真空度。其間,第2搬送裝置61的機械臂,在可朝工作 至31内的工作台裝置50的方向伸展的位置上進行旋轉。 另一方面,Y工作台52,向第6圖(a)的上方移動至與χ 工作台53的中心線Χ0-Χ0通過第2搬送裝置63的回動軸 線02-02的X軸線XI-XI大約一致的位置,並在該狀離 下待機。 第2加載室與工作室的真空狀態呈大略相同狀·離、時, 閘門裝置45的門452開始作動並打開出入口 437,, 使機械臂伸出並讓保持晶圓的機械臂前端接近工作室3 ! (請先閱讀背面之注意事項再填寫本頁) ------訂---------. 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210x 297公釐) 60 312766 539845 A7 五、發明說明(61) 内的工作台裝置。最後在工作台裝 F 口裒置50的載置面551載置 晶圓。在完成晶圓載置後機械臂給 顿,鈿回,而閘門裝置45則關 閉出入口 437 , 325 。 以上,雖針對將卡£ C中的晶圓搬送至工作台裝置上 的動作進行說明,而欲將被載w 戰置到工作台裝置上並完成處 理的晶圓再度由工作台裝置送 + k 14卞匣C中時,則以與前述 相反的動作進行。此外,為了將複數晶圓載置到晶圓架a 上,藉由第2搬送裝置在晶圓架與工作台裝置之間進行晶 圓搬送時可利用第1搬送裝置在+ 罝隹卞匣與晶圓架之間搬送晶 圓,如此便可有效進行檢查處理。 訂 線 具體而言,當第2搬送裝置的晶圓架47上,同時有 以處理完畢的晶圓與未經處理的晶㈣,首先,將為處理 的晶圓移動至工作台裝置50以開始處理。在該處理中,藉 由機械臂將已完成處理的晶圓A由工作台裝置5〇移動至 晶圓架上,而為處理的晶圓c則同樣藉由機械臂由晶圓架 中拔出,而利用校準器定位後,移動至加載室41的晶圓架 47。藉此,可在處理晶圓B的期間内,在晶圓架47中, 將處理元畢的晶圓A置換為未經處理的晶圓c。 此外,在利用用以進行檢查或評價的該種裝置的方法 上,可同時並列數台工作台裝置5〇藉由將晶圓由一個晶圓 架47移動至各個裝置,便可同時對複數的晶圓進行相同處 理。 第Ϊ0圖為主殼體的支撐方法的變形例。在第1〇圖[A] 所示變形例_,係以厚實的矩形鋼板331a構成殼體支持裝 本紙張國家標準(CNS)A4規—L χ 297公髮 539845 A7 ---*-------— B7__—___ 五、發明說明(63 ) :系72,係以電子線照射檢查對象的晶圓w表面的光學 系,具備有·用以釋出電子線的電子線源72丨;由用以集 束由電子線所釋出的一次電子線的靜電透鏡所形成的透鏡 系722 ;維納濾波器(亦即E X B分離器或E x B濾過 态)723,及對物透鏡系724,這些裝置,如第丨丨圖所示, 係將電子線源721裝置於最上部而依序配置。構成本實施 形L的對物透鏡系724的透鏡為減速電場型對物透鏡。在 本實施形態中,由電子線源721所釋出的一次電子線的光 軸,與照射檢查對象的晶圓W的照射光轴(與晶圓表面呈 垂直)呈傾斜狀。對物透鏡系724與檢查對象之晶圓w之 間配置有電極725。該電極725與一次電子線的照射光軸 呈對稱形狀,並藉由電源726進行電壓控制。 一次光學系74,具備有:由靜電透鏡所形成的透鏡系 74 1 ’而該靜電透鏡係用以讓藉由維納濾波器723由一次光 學系分離的二次電子線通過。該透鏡系741係被做為擴大 二次電子線像的擴大透鏡使用。 檢出系76 ’具備有:配置在透鏡系74丨的成像面的檢 出器761及圖像處理部763。 以下針對上述構成的電子光學裝置70的動作進行說 明。 由電子線源72 1釋出的一次電子線,係藉由透鏡系722 I 集束。所收束的一次電子線被入射至E X B型偏向器,並 被偏向成可垂直照射到晶圓W表面,在藉由對物透鏡系 724在晶圓w的表面上成像。 本纸張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 63(修正頁) --------------裝— (請先閱讀背面之注意事項再填寫本頁) 訂·- 線- 經濟部智慧財產局員工消費合作社印製 312766 539845 A7 B7 五、發明說明(65) 用電子線知描照射檢杳對參其 ^日門主 檢查對象之基板,亦即晶圓,以檢查形 t 表面的裝置圖像等㈣式裝置,即使將因電;線 妝射而^生的二次電子線等資訊做為晶圓表面的資訊,仍 然會因晶圓材料,照射電線能 H料條件而使晶圓表面 :卜’晶圓表面也可能發生帶電較強之處盘帶 電較弱之處。當晶圓表面帶電量產生不均時,也會使二 電子線資訊產生不均現象,而無法獲得正確的資訊。人 因此,在本實施形態中,為防止該不均現象產生,乃 設置具有電子線照射部811的欲線充電裝置8卜對檢查曰 圓的指定部位照射檢查電子線前,為削除帶電不均,二曰 該預先充電裝置的電子線照射部811照射電子線以削除帶 。,晶圓表面的充電會預.先形成檢測對稱的晶圓面 的圖像,錯由評價檢出該圖像,再根據該檢測以啟動預先 充電裝置8】。此外,該預先充電裝置亦可將 淡照射。 ^ 第】2圖中,電位施加機構83,係根據晶圓所釋出之 二次電子線資訊(二次電子線發生率)係依賴晶圓電位的事 實,而藉由對載置晶圓的工作台的設置台施加±數v的電 位以控制二次電子線的產生。此外,該電位施加機構,也 具有讓照射電子線將當初所有之能源減速,以變為對晶圓 照射100至500ev程度的電子線能源。 電位施加機構83,如第〗2圖所示,具備有:與工作 台裝置50的載置面541作電性連接的電壓施加裝置gw ; 充電調查及電壓決定系統(以下稱調查及決定系統)。調 312766 頁 訂 線 539845 經 濟 部 智 慧 財 產 局 員 工 消 費 合 社 印 製 A7 五、發明說明(%) 查及決定系統832,具備有:與電子光學裝置7()的檢出系 76的圖像形成部763作墊性連接的監視器833 ;與監視器 833連接的運异器834;及與運算器連接的CPU835。 CPU835 ’可對前述電壓施加裝置831供給信號。 上述電位施加機構被設計成,可尋找檢查對象之晶圓 不易帶電的電位,並施加該電位。 弟13圖中,電子線校準機構85,係被設置在前述旋 上之阳圓載置面541的側部的複數位置,具備有電子 束電流測定用之複數法拉第杯851及852。法拉第杯851 用於較細的電子束(約02_’而法拉第杯852則用於較 粗的電子束(約43μπ1)。用於較細的電子束的係藉由步進 移送旋轉台的方式’測定電子束剖面,而用於較粗的電子 束的法拉第杯852則計測電子束的總電流量。法拉第杯851 及852,係以上表面與載置於載置面541上的晶圓w的上 f面等南的方式配置。如此便可經常監視由電子線源所釋 出的-次電子線。此乃電子線源可經常釋出一定的電子 線,且在使用當_,其釋出量會產生變化之故。 校準控制裝置87,係使用工作a 與裝署7〇#日。 作。裝置50將對電子光 ' % &位㈣置’可用以控制運用光學顯 雷:二ί廣視野觀察所進行之晶圓概略調整(為-種較 .建用電子光學裝置70的電 倍率調整’焦點調整,檢查區域設定,圖宰 為了自動檢查晶圓圖案,而必須在藉由使用電子線的: ΐ紙張尺度適用中^標準(CNS)A4規格⑵◦ χ 297疋 --------訂--------- (請先閱讀背面之注意事項再填寫本頁) 五、發明說明(67) 7觀察晶«㈣進行晶陳準時,利㈣子線簡易地檢 出校準記號之故。 殼體内71設有光學顯微鏡871(亦可在殼體内以可移 式"又置),"又體内也设置有用以啟動光學顯微鏡的光源 “、、圖示)。若以概略圖顯示該構成,則將如第。圖所示一 趣。為了以低倍率觀察晶圓上的被觀察點,可藉由將工作 :装置5〇的X台…方向移動,而讓晶圓之被觀察點 移動至光學顯微鏡的視野範圍。藉由光學顯微鏡871以廣 硯野視察晶圓,並藉由你斗ρϊ m 872將該日日圓上的應觀察位置 顯示於監視器873上’以概略決定觀察位置。此時,亦可 將光學顯微鏡的倍率由低倍率調整為高倍率。 /、人讓工作台裝置50移動相當於電子光學裝置7〇 ,光軸與光學顯微鏡871之光軸之間的間隔“的距離, 亚將事先決定的晶圓上的被觀察點移動至電子光學裝置的 視野位置。此時,因為電子光學裝置的軸線〇3-〇3與光學 顯微鏡m的光軸04_04之間的距離(在本實施形態中,係 將兩者只朝沿著X軸線的方向分置,但亦可朝Y韩方向及 一轴方向刀置)5χ以事先得知,因此只要依照該值占X遥 仃移動便可讓被觀察點移動至視察位置。完成被觀察點$ 動至:子光學裝置的視察位置的步驟後,可藉由電子光g 系门倍率進仃被觀察點之SEM攝像並儲存圖像,或藉纟 CCD761顯示於監視器765。 此:乂電子光學系的高倍率將晶圓的觀察點顯示$ 孤視裔後ϋ由一般方法’檢測出與工作台裝置的旋專 本紙張尺度適財國如公餐 539845 員 製 A7 B7 五、發明說明(68 ) :54的旋轉中心相關的晶圓的旋轉方向的位置偏移,亦即 ”電子光學裳置相關的教圖案μ軸及γ軸方向的位置 偏移。然後根據該檢出值及另外取 刀r取侍的设置在晶圓上的 —記號的育料或與晶圓圖案形狀相關的資料,控制工作△ 裝置50的動作並進行晶圓之校準。 口 以上,使用第5至第14圖所說明之檢查裝置,若 用在參照第3及第4圖的⑷,(b)所說明之裝置製造方 的 ⑼的晶圓檢查工程上即使是具有微細圖案的半導體 裝置’同樣可進行良品製造率良好的檢查,因此可 _ 全數檢查’提昇製品良率,&防止缺陷製品的出貨: 此點,因沿用與第3及第4圖之⑷,相關之說明,故在 此省略其說明。 皇鏡同相關之實施形態(第3實施开^態、 在此,使用第15圖以說明與本發明相關之電子線 置的第3實施形態的電子線裝置。該電子線裝置,適用於 具有以高良品製造率,高信賴性進行最小線寬為〇1微米 以下的圖案的晶圓,遮罩等試料的評價,檢查 在裝置製造上。 — 利用 使用多光束的電子線裝置已為一般所皆知。例如以下 電子線裝置即為一般所周知之裝i ··由電子線源釋出收: 為一定徑寬的一個以上的電子線,並成像於被檢查試料表 面,藉由移動被檢查試料而以電子線掃描該被檢查試料的 表面,並以複數的檢出元件檢出由被檢查試料所釋出的的 本紙張尺度適用中國國家標準(CNS)A4規格(2]〇 X 297公釐 312766MW 539845 Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 V. Description of the invention (59) So the 'arm extends forward and the wafer placed on the front end or from the Huai-Ai is placed on the pre-calibrator 25, and then With this pre-calibration, the rotation direction of the wafer (peripheral direction of the central axis perpendicular to the wafer plane) is positioned within an An 1 ^ 1, 疋 consumption range. After Yuan Cheng is positioned, the conveying device 61 retracts the robot arm when the wafer is received by the pre-calibrator 25 at the front end of the robot arm, and makes the robot arm extend in the direction of M4. By this, the gate 272 of the shutter device 27 is moved and the populations 226 and 436 are opened, and the robot arm is extended to place the wafer on the upper side or the lower side of the wafer holder 47 in the first loading chamber 41. As described above, before the shutter device 27 is opened and the wafer is sent to the wafer frame 47, the opening 435 formed in the partition plate 43 closes the door 461 by the shutter device 46 in a confidential state. During the wafer transfer process by the first transfer device, the clean-up air flows out of the gas supply device 231 (as a downstream) provided in the casing of the small environmental device in a laminar flow to avoid dust. It adheres to the surface of the wafer during transportation. A part of the empty device around the conveying device (in this embodiment, "> 20% of the air supplied by the supply device, mainly unstained air) is sucked into the suction duct 241 of the discharge device 24 and discharged. To the outside of the case. The remaining air is recovered by the recovery duct 232 provided at the bottom of the casing and returned to the gas supply device 231 again. When the wafer is placed by the first transfer device 61 in the wafer rack 47 in the first loading chamber 41 of the loading chamber 40, the shutter device 27 is closed to seal the inside of the loading chamber 41. In this way, the first loading chamber 41 is filled with an inert gas, and as the air is discharged, the inert gas is also discharged, so that the inside of the loading chamber 41 becomes a vacuum state. The vacuum state of the first loading chamber is based on the paper size of China National Standard (CNS) A4 (210 X 297 mm) 59-312766. ------- Order --------- Line (Please read the notes on the back before filling out this page) 539845 A7 ---------- BT___ V. Description of the invention (60) '^ 疋 71 work. When the degree of vacuum in the loading chamber 41 reaches a certain level, the gate device 46 is activated to open the entrance 434 closed by the door 461, and the mechanical arm 632 of the second conveying device 63 is extended outward and the handle is set to The wafer receiver 47 receives a wafer (mounted on the front end or held by a chuck mounted on the front end). After receiving the wafer, the machine “retracts” and the gate device 46 is activated again to close the entrance 435 with the door 46 丨 In addition, before opening the gate device 46, the robot arm 632 will appear in the direction of the wafer chuck 47 in advance N1 stretched posture. In addition, as described above, before the gate device 46 is opened, the entrances 43 7 and 325 are closed by the door 452 of the gate device 45, and the ventilation in the second loading chamber 42 and the working room 31 is blocked in an airtight state, and The second loading chamber 42 is evacuated. ... After the gate device 46 closes the entrance and exit, the second loading chamber performs true second exhaust again 'to achieve a higher degree of vacuum than that in the first loading chamber. In the meantime, the robot arm of the second conveying device 61 is rotated at a position that can be extended toward the table device 50 within the working range 31. On the other hand, the Y table 52 moves upward in FIG. 6 (a) to the X axis XI-XI of the return axis 02-02 of the second conveying device 63 with the center line X0-X0 of the χ table 53 Approximately the same position, and stand by in this state. When the vacuum state of the second loading chamber and the working chamber is approximately the same, when the door 452 of the gate device 45 starts to operate and opens the entrance 437, the robot arm is extended and the front end of the robot arm holding the wafer approaches the working chamber. 3! (Please read the precautions on the back before filling out this page) ------ Order ---------. The paper printed by the Consumers' Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs applies the Chinese national standard ( CNS) A4 size (210x 297 mm) 60 312766 539845 A7 V. Table device in the description of the invention (61). Finally, the wafer is placed on the mounting surface 551 of the F-port 50 on the table. After the wafer loading is completed, the robot arm is reset, and the gate device 45 closes the entrances 437, 325. In the above, although the operation of transferring the wafer in the card £ C to the workbench device has been described, the wafer that is intended to be placed on the workbench device and completed the processing is sent again by the workbench device + k When the box 14 is in the box C, the reverse operation is performed. In addition, in order to place a plurality of wafers on the wafer rack a, the second transfer device can be used to transfer wafers between the wafer rack and the table device using the first transfer device in the + cassette and the wafer. The wafers are transferred between the circular racks, so that the inspection process can be effectively performed. Specifically, when the wafer carrier 47 of the second transfer device includes both processed wafers and unprocessed wafers, first, the wafers to be processed are moved to the table device 50 to start. deal with. In this process, the processed wafer A is moved from the table device 50 to the wafer rack by the robot arm, and the wafer c to be processed is also pulled out of the wafer rack by the robot arm. After positioning with the calibrator, it moves to the wafer rack 47 of the loading chamber 41. Thereby, during the processing of the wafer B, the processed wafer A can be replaced with the unprocessed wafer c in the wafer rack 47. In addition, in the method using such a device for inspection or evaluation, a plurality of table devices 50 can be juxtaposed at the same time. By moving a wafer from one wafer rack 47 to each device, a plurality of device The wafer is processed the same. Fig. Ϊ0 is a modification of the method for supporting the main casing. In the modified example _ shown in Fig. 10 [A], a thick rectangular steel plate 331a is used to form the casing to support the national standard (CNS) A4 rule of the paper —L χ 297 public hair 539845 A7 --- * --- ----— B7______ 5. Description of the Invention (63): Department 72, an optical system that irradiates the surface of the wafer w to be inspected with electron beams, and has an electron beam source 72 for releasing electron beams. ; A lens system 722 formed by an electrostatic lens for collecting primary electrons emitted by the electrons; a Wiener filter (ie, an EXB splitter or an E x B filtered state) 723; and an objective lens system 724 These devices, as shown in FIG. 丨, are arranged with the electron beam source 721 at the top. The lens constituting the objective lens system 724 of the embodiment L is a deceleration electric field type objective lens. In this embodiment, the optical axis of the primary electron beam emitted from the electron beam source 721 is inclined with respect to the irradiation optical axis (perpendicular to the wafer surface) irradiating the wafer W to be inspected. An electrode 725 is disposed between the objective lens system 724 and the wafer w to be inspected. The electrode 725 has a symmetrical shape with the optical axis of the primary electron beam, and voltage control is performed by a power source 726. The primary optical system 74 includes a lens system 74 1 ′ formed of an electrostatic lens, and the electrostatic lens system passes a secondary electron line separated from the primary optical system by a Wiener filter 723. This lens system 741 is used as an enlargement lens for enlarging a secondary electron line image. The detection system 76 'includes a detector 761 and an image processing unit 763 arranged on the imaging surface of the lens system 74. The operation of the above-mentioned electro-optical device 70 will be described below. The primary electron beams emitted from the electron beam source 72 1 are bundled by a lens system 722 I. The collected primary electron beams are incident on the E B-type deflector, and are deflected so as to be irradiated vertically on the surface of the wafer W, and are imaged on the surface of the wafer w by the objective lens system 724. This paper size is applicable to China National Standard (CNS) A4 (210 x 297 mm) 63 (correction page) -------------- installation-(Please read the precautions on the back before (Fill in this page) Order-Printed-Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 312766 539845 A7 B7 V. Description of the invention (65) The electronic substrates are used to inspect the substrates of the inspected objects of the inspectors. That is, the wafer, such as a device such as a device image for inspecting the surface of the t-shaped surface, even if information such as secondary electron lines generated by electricity; line makeup is used as the information on the wafer surface, it will still be due to the wafer material. The irradiation of the wire can make the wafer surface in accordance with the material conditions: Bu 'wafer surface may also have a strong charge where the disk is weakly charged. When the amount of charge on the wafer surface is uneven, it will also cause unevenness in the information of the two electron lines, and it is impossible to obtain correct information. Therefore, in this embodiment, in order to prevent this unevenness, a desire line charging device 8 having an electron beam irradiation unit 811 is provided to irradiate the inspection electron beams at a designated portion of the inspection circle to remove the unevenness of electrification. On the other hand, the electron beam irradiating part 811 of the pre-charging device irradiates the electron beam to cut off the tape. The charging of the wafer surface will be pre-formed. An image of the wafer surface that detects symmetry is first formed, the image is detected by mistake, and then the pre-charging device is activated based on the detection 8]. In addition, the pre-charging device can also illuminate light. ^ Fig. 2 shows that the potential applying mechanism 83 is based on the fact that the secondary electron beam information (secondary electron beam occurrence rate) released by the wafer depends on the wafer potential. The setting table of the working table applies a potential of ± several v to control the generation of the secondary electron beam. In addition, the potential application mechanism also has a function of slowing down all of the original energy of the irradiated electron beam to become an electron beam energy source that irradiates the wafer with about 100 to 500 ev. As shown in FIG. 2, the potential application mechanism 83 includes a voltage application device gw electrically connected to the mounting surface 541 of the table device 50; a charging survey and voltage determination system (hereinafter referred to as a survey and determination system) . Tuned 312766 page guideline 539845 printed by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 V. Description of Invention (%) Inspection and decision system 832, which includes: Image formation with the detection system 76 of the electro-optical device 7 () The unit 763 is a monitor 833 which is connected in a pad; a differentiator 834 connected to the monitor 833; and a CPU 835 connected to the computing unit. The CPU 835 'can supply a signal to the aforementioned voltage application device 831. The potential applying mechanism is designed to find a potential that is not easily charged on the wafer to be inspected and apply the potential. In Fig. 13, the electron beam calibration mechanism 85 is provided at a plurality of positions on the side of the male loading surface 541 of the screw, and includes a plurality of Faraday cups 851 and 852 for measuring the electron beam current. Faraday cup 851 is used for thinner electron beams (about 02_ 'and Faraday cup 852 is used for thicker electron beams (about 43μπ1). The system for thinner electron beams is moved by stepping the rotary table' The electron beam cross section is measured, while the Faraday cup 852, which is used for the thicker electron beam, measures the total current of the electron beam. The Faraday cups 851 and 852 are on the upper surface and the wafer w placed on the mounting surface 541. The f surface is configured in a south-echelon manner. In this way, the secondary electron beams released by the electron beam source can be constantly monitored. This is that the electron beam source can often release a certain amount of electron beam, and when it is in use, its release amount There will be changes. The calibration control device 87 is used for work a and installed 70 # days. Operation. Device 50 will be used for the electronic light '% & position setting' to control the use of optical mines: two The outline adjustment of the wafer performed by the visual field observation (for a kind of comparison. The electrical magnification adjustment of the built-in electronic optical device 70 'focus adjustment, inspection area setting, and figure. In order to automatically check the wafer pattern, you must use an electronic wire : Ϊ́The paper size is applicable to the CN ^ A4 specification ◦ χ 297 疋 -------- Order --------- (Please read the notes on the back before filling in this page) V. Description of the invention (67) On time, the Ricci's cord easily detected the calibration mark. The optical microscope 871 was installed in the casing 71 (also removable in the casing " replaceable "), and also useful in the body. Start the light source "," of the optical microscope). If the structure is shown in a schematic diagram, it will be as shown in the figure. It is interesting. In order to observe the observed point on the wafer at a low magnification, you can work by: The X stage of the device 50 moves in the direction of the X, so that the observed point of the wafer moves to the field of view of the optical microscope. The optical microscope 871 inspects the wafer in Hiroshima, and the day is adjusted by your bucket ρϊ m 872 The observation position on the Japanese yen is displayed on the monitor 873. The observation position is determined roughly. At this time, the magnification of the optical microscope can also be adjusted from a low magnification to a high magnification. Device 70, the distance "between the optical axis and the optical axis of the optical microscope 871, The observation point on the wafer determined in advance moves to the position of the field of view of the electro-optical device. At this time, the distance between the axis of the electro-optical device 〇3-〇3 and the optical axis m of the optical microscope 04_04 (in this embodiment In the middle, the two are separated only in the direction along the X axis, but they can also be placed in the direction of Y and K and 5 axes) 5χ to know in advance. The observed point moves to the inspection position. After completing the steps of moving the observed point to: the inspection position of the sub-optical device, you can enter the SEM camera of the observed point with the electron light g-system magnification and store the image, or borrow the image纟 CCD761 is displayed on monitor 765. This: 乂 The high magnification of the electronic optics system will show the observation point of the wafer. The lonely ancestors will be detected by the general method. The paper with the table device is rotated. The paper size is suitable for financial countries such as public meals. 539845 A7 B7. 2. Description of the invention (68): The position deviation of the rotation direction of the wafer related to the rotation center of 54, that is, the position deviation of the μ-axis and γ-axis directions of the teaching pattern related to the electronic optical dress. Then according to the detection Values and other tools are used to set the wafer—marked breeding material or data related to the shape of the wafer pattern, to control the operation of the device △ device 50 and perform wafer calibration. For the above, use the fifth The inspection device described in FIG. 14 to FIG. 14 is used for the wafer inspection process of the wafer manufacturer of the device manufacturer described in (3) and FIG. The inspection of good product manufacturing rate can be carried out, so all inspections can be performed to 'enhance product yield and prevent shipment of defective products: At this point, since the descriptions in Figures 3 and 4 are used, the This description is omitted here. A related embodiment (a third embodiment is opened. Here, FIG. 15 is used to explain an electronic wire device according to a third embodiment of the electronic wire arrangement related to the present invention. This electronic wire device is suitable for a high-quality product. Manufacturing rate and high reliability We evaluate wafers, masks, and other samples with a pattern with a minimum line width of 0.01 micrometers or less, and inspect them for device manufacturing. — The use of multi-beam electronic wire devices is generally known. For example, the following electronic wire devices are commonly known devices: · Released from an electronic wire source: One or more electronic wires of a certain diameter and imaged on the surface of the sample to be inspected. The electronic wire scans the surface of the test sample, and the paper size released by the test sample is detected by a plurality of detection elements. The paper size applicable to the Chinese National Standard (CNS) A4 specification (2) 0X 297 mm 312766

I I I 訂 i I 五、發明說明(69) 次電子線或反射電子線,再藉由同時或並列地處理該些檢 出元件的輸出以縮短微細圖案的評價時間。 此外,另有一種微細圖案評價裝置也是一般所周知的 裝置·亦即,為了削除由複數電子線源所釋出的電子線的 點狀散亂,並提昇微細圖案的評價精度,乃以複數的一次 電子線照射被檢查試料,並依照每一條一次電子線,檢2 所釋出之二次電子線及反射電子線,再根據每一條一次電 子線個別調節其電極電壓或勵磁電流。 包 該種多光束行電子裝置,其在電子線源的部分及透 鏡•偏向系上,所需之真空度並不相同。例如,在多發射 體型或熱電場釋出型之電子線源方面,電子線源的陰極附 近,若未達10、ΟΓΓ之良好真空度,及無法安全地進行動 作,相對於此,透鏡•偏向系,即使使用靜電透鏡或靜電 偏向裔只要真空度達到10-6t〇rr左右,便可充分動作。因 此,具有必須隨各電子線裝置的構成要素而維持一定真空 度的問題。 / 此外,因電子光學鏡筒内的電子線的光軸上存在許多 經濟部智慧財產局員工消費合作社印製 離子因此有正離子與電子線源的陰極相衝突而在陰極上 產生洞孔的問題。此外,在製造多光束且多筒體的電子線 裝置時,對於應如何固定各個筒體的問題至今尚未有明確 的解決方案。 第15圖所示之電子裝置,係為解決上述問題而提案, ,有·在透鏡•偏向系的真空度較低時,亦能將電子線源 P保持在同真空度,以防止電子線源的陰極的破損,並有 539845 A7 15/ 五、發明說明(, 利於防振的特徵。 在第15圖中,電子線裝置,具有多光束•多筒體的 構造,並藉由兩端被固定在鏡筒(無圖示)上的厚分隔板s 區分為電子線源部X與電子光學系γ。電子線源部χ具備 有·各自形成為圓筒形,並藉由波紋管9〇2相互結合的複 數電子線源室901,各電子線源室9〇1中,設置有具備tfe 陰極903及肖脫基屏蔽9〇4的熱電場釋出型電子線源 9〇5。各電子線源9〇5藉由高壓電纜供電,並由丁π陰極 9〇3釋出電子線。此外,則係熱•電場•釋出⑽咖i胸 emission)的簡稱。 各電子線源室901藉由螺絲907被固定在分隔板s 上。因此該分隔板S必須具有一定厚度以保持一定的剛 性’當分隔板S的剛性不充分時,最好能夠在相鄰的電子 線源室901之間配置補強用肋。此外,各電子線源室剛 與離子泵(無圖示)相連接以進行排氣。 為了讓由各電子線源905 # TFE陰極3的箱架(3〇1) 或0〇〇)方為所釋出的電子線可全部通過分隔板s,分隔板 s ’以各電子線源室9〇1的電子線源9〇5的光轴為中心 的圓周上形成有一定數量,例如4個孔9〇8。該些孔卯8 具有較大的縱橫比(對應孔的長度的孔徑比)可防:電線源 室的真空度的惡化,愈遠離TFE陰極9〇3,其孔徑則愈大。 此卜各孔9G8 ’亦可設置成愈往下則愈往遠離光轴的方 向傾斜。此外,一般其縱橫比為1 0以上。 另一方面,電子光學系Υ,具有為對應各電子線源室 本纸張尺度適用中國國家標準((:兩^格⑵。χ 2~— 312766 539845 A7 --s__— B7__ 五、發明說明(71) ' ---- (請先閱讀背面之注意事項再填寫本頁) 901而設置的透鏡·偏向系910,用以調整各電子線的形 狀’以利用由複數的電子線源室901所釋出的複數電子線 照射晶圓等試料。各個透鏡·偏向系910,具備有:為包 圍用以讓:自所對應之電子線源9〇5的電子線通過的4個 孔:08 =藉由螺絲911固定在分隔板s上的細長管909, 在每一管909内部中配置有所需之透鏡及偏向H,可將通 過分隔板s的例如4個孔9〇8的電子線整形而使之垂直入 射到試料W。如此,即構成多筒體的電子光學系γ。 經濟部智慧財產局員工消費合作社印製 因此各個透鏡·偏向系910,均在管909内,依序 設置聚透鏡912,多開口板913,縮小透鏡914,偏向器915 及對物透鏡916。聚透鏡912,用以收束通過形成於分隔板 S上的各孔908的電子束。多開口板913,則為了讓藉由 ♦透鏡912收束的電子線通過而具備有:由管9〇9所包圍 的分隔板S的部分的孔908及同數量的小孔。縮小透鏡 914,用以縮小通過多開口板913的電子線的光束尺寸及間 隔使之通過偏向器915,偏向器915,用以變更電子線的行 進方向使藉由縮小透鏡914縮小的電子線可在試料上進行 掃描。對物透鏡916,係用以讓通過偏向器915的電子線 對焦在試料W上。 各個管909中設有排氣孔917,藉此,可藉由將收容 分隔板S與電子線部X及電子光學系γ的鏡筒(無圖示)的 内部保持在真空中的管,而將各管909的内部也保持在真 空中。此外,藉由第15圖中的點線所示的引導線,可將所 需的電壓施加到聚透鏡91 2,多開口板9 1 3,縮小透鏡91 4, 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 71 312766 539845 A7 五、發明說明(乃) 偏向器915及對物透鏡916。依照需要,可藉由絕緣間隔 f 918將聚透鏡912,多開口板913,縮小透鏡914,偏向 裔915及對物透鏡916裝設在管909的内壁。 第15圖所示之電子線裝置,為了做為缺陷檢查,線 寬測定,校準精度測定,電位對比測定,缺陷檢查或頻閃 觀測器的評價裝置而進行製程途中的晶圓評價,亦可使用 在參照第3及第4圖的⑷’(b)而說明過的檢查工程⑹中 關於此點,因沿用盘箆3及筮4 Η d、 U /口用,、弟j及弟4圖的(a),(b)相關的說明 故在此省略其說明。 皇電極構造相關的實施形態(第4實施形態) 本發明之第4實施形態,係、關於電子線裝置,即使用 該裝置的裝置製造方法,具傷有:於使用將電子線照射在 試料上的靜電透鏡的電子光學系中防止絕緣破壞的電極構 ^至目岫為止,已針對:為檢查無法只以光學性檢查而 獲得相當感度及解像度的微細試料表面狀態,而使用利用 電子線的高感度,高解像度的電子線裝置的情形進行檢 討。 該種電子線裝置,係藉由電子線源釋出電子線,並藉 由靜電透鏡等靜電光學系,將該釋出電子線加速或收束 藉此以入射至檢查對象的試料。其次,藉由電子線的入射 檢出由試料所釋出的二次電子線,藉此以產生對應所檢出 之一-人電子線的信號,藉由該信號,可形成例如試料的資 料。藉由該形成資料,可檢查試料的表面狀態。 使用被使用於該種電子線裝置的靜電透鏡等靜電透 本紙張尺度適用_冢標準(cns)A4 312766 (請先閱讀背面之注意事項再填寫本頁) --------tr—*------Aw. 五、發明說明(73) 鏡的電子光學系中,用以產生加速或收束電子線的電場的 電極,係以多段形式配置在電子線的光轴方向。分別對該 些電極施加一定的電麗,並藉由因電極的電位差而產生的 電場’而將電子線加速’並使其收束到光軸上的指定點。 在先前的電子線裝置’有時會產生:由電子線源所釋 出的電子線的一部份’在不與使用靜電透鏡的電子光學系 中的電場交會的狀況下與電極相衝突的情形。此時,藉由 電子線與電極相衝突,二次電子線將自電極本身釋出。9由 該電極釋出的二次電子線的4,會因電極材料,或將電極 敷層:材料而產生變化。當由該電極所釋出的二次電子線 變多% ’該二次電子線會藉由電極的電場加速,並將裝置 内的殘留氣體離子化,再藉由該離子與電極衝突,更進一 步由電極中釋出二次電子線。因此,當二次電子線被大量 釋出時’電極間容易產生放電,而增加了在 緣破壞的機率。 例如, 破壞的機率 率較两。銘 4.9[eV] 〇 比較以鋁敷層電極,及以金敷層電極時的絕緣 ,係以鋁敷層所引起之電極間的絕緣破壞的機 的功率函數為4.2[eV],金的功率函數為 所明的功率函數,係指於真空中取出金屬中的一個電 子線時所需要的最小能源(單位:eV)。 ^卜’當電極細金㈣’而電子線裝置的試料為半 、曰曰圓時,會因為電子線與所敷層的金相衝突,而使金 被藏射而附著到半導體晶圓表面。當金被附著到半導體表 539845 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 A7 B7 五、發明說明(75 ) 將隨之變寬’因此當電子裝置内呈真空狀態時,會有來自 絕緣材料的放出氣體變多的情形。而招致真空度的惡化, 反而增加電極間的耐壓降低的情形。 本發明之第4實施形態,即為解決該問題而提案,以 下’針對與第4實施形態相關’將可防止靜電光學系的電 極間的絕緣破壞的電子線裝置適用於具有靜電光學系的攝 像投影型評價裝置時’其攝像投影型評價裝置的構成,動 作及使用該裝置的裝置製造方法進行說日月。 第16圖中,攝像投影型評價裝置1〇〇〇,其照射室料 的電子線,具有一定的放射面,而藉由電子線的照射由試 料放射的二次電子線’也具有一定的放射面。由電子線源 1001,會放射出具有二次元領域,例如矩形的放射面的電 子線,並藉由靜電透鏡系1002偏向至指定方向。經偏向的 電子線,由斜上方入射至EXB型偏向器1003,由EXB偏 向器1003的電場與磁場正交的位置偏向至試料之半導體 晶圓1006的方向。(第16圖的實線) 藉由ΕχΒ型偏向器1〇〇3偏向至半導體晶圓1〇〇6的 電子線,藉由施加至靜電對物透鏡系1〇〇5内的電極的電壓 所產生的電場減速,並藉由靜電對物透鏡系1〇〇5成像於半 導體晶圓1006。 其认藉由對半導體晶圓1 006的電子線照射而產生 的人电子線藉由靜電對物透鏡系1 〇 〇 5的電場朝檢測器 1008的方向加速(如第丨6圖之點線),而入射至ΕχΒ型偏 0 1 1003 〇 ΕχΒ型偏向器1003,將所加速的二次電子線 本紙張尺度適財關家標準規格⑵Q χ视 ------ 75(修正頁) 3〗2766 ^--------^---------線 (請先閱讀背面之注意事項再填寫本頁) 539845 A7I I I Order i I V. Description of the invention (69) secondary electron beams or reflected electron beams, and then process the output of these detection elements simultaneously or in parallel to shorten the evaluation time of fine patterns. In addition, another micro-pattern evaluation device is also a well-known device. That is, in order to eliminate the dot scatter of the electron lines released from a plurality of electron beam sources, and to improve the evaluation accuracy of the micro-patterns, a plural number is used. The primary electron beam irradiates the test sample, and according to each primary electron beam, the secondary electron beam and the reflected electron beam released by 2 are inspected, and then the electrode voltage or the excitation current of each primary electron beam is individually adjusted. This kind of multi-beam line electronic device requires different vacuum degrees in the part of the electron beam source and the lens and deflection system. For example, in the case of an electron beam source of a multi-emitter type or a thermal field release type, if the vicinity of the cathode of the electron beam source does not reach a good vacuum of 10, 0ΓΓ, and it is impossible to operate safely, the lens is biased. In addition, even if an electrostatic lens or electrostatic deflection is used, as long as the vacuum degree is about 10-6 torr, it can fully operate. Therefore, there is a problem that a certain degree of vacuum must be maintained in accordance with the constituent elements of each electronic wire device. / In addition, due to the existence of many ions printed on the optical axis of the electron beam in the electron optical tube by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs, positive ions collide with the cathode of the electron source, creating holes in the cathode. . In addition, when manufacturing a multi-beam and multi-cylinder electronic wire device, there is no clear solution to the problem of how to fix each cylinder. The electronic device shown in FIG. 15 is proposed to solve the above problems. When the vacuum degree of the lens and the deflection system is low, the electron source P can be maintained at the same vacuum degree to prevent the electron source. The cathode is broken, and there are 539845 A7 15 / 5. Description of the invention (, features that are good for anti-vibration. In Figure 15, the electronic wire device has a multi-beam and multi-cylinder structure, and is fixed at both ends. The thick partition plate s on the lens barrel (not shown) is divided into an electron beam source portion X and an electron optical system γ. The electron beam source portion χ is provided with a cylindrical shape and each is formed by a corrugated tube 9〇 2 A plurality of combined electron beam source chambers 901. Each electron beam source chamber 901 is provided with a thermal field release type electron beam source 905 having a tfe cathode 903 and a Schottky shield 904. Each electron The wire source 905 is powered by a high-voltage cable, and the electron wire is released from the cathode 903. In addition, it is the abbreviation of thermal, electric field, and release of electric breast. Each electron beam source chamber 901 is fixed to the partition plate s by a screw 907. Therefore, the partition plate S must have a certain thickness to maintain a certain rigidity. When the rigidity of the partition plate S is insufficient, it is preferable that a reinforcing rib can be arranged between the adjacent electron source chambers 901. In addition, each electron beam source chamber is just connected to an ion pump (not shown) for exhausting. In order to allow all the electron wires released by the 905 # TFE cathode 3 box frame (301) or 00) of each electron wire source to pass through the partition plate s, the partition plate s' uses each electron wire A certain number is formed on a circumference centered on the optical axis of the electron beam source 905 of the source chamber 905, for example, four holes 908. These holes 卯 8 have a large aspect ratio (aperture ratio corresponding to the length of the holes) to prevent: the deterioration of the vacuum of the wire source chamber, the farther away from the TFE cathode 903, the larger the aperture. The holes 9G8 ′ can also be set to be inclined further away from the optical axis as they go downward. The aspect ratio is generally 10 or more. On the other hand, the Department of Electro-Optics has applicable Chinese national standards ((: two ^ grids) to correspond to the paper size of each electron source room. Χ 2 ~ — 312766 539845 A7 --s __— B7__ 5. Description of the invention ( 71) '---- (Please read the precautions on the back before filling out this page) 901 and the lens and deflection system 910 provided to adjust the shape of each electron beam' to use the multiple electron beam source chamber 901 The released plurality of electron beams irradiate samples such as wafers. Each lens and deflection system 910 is provided with four holes for enclosing the electron beams passing through the corresponding electron beam source 905: 08 = borrow An elongated tube 909 fixed to the partition plate s by a screw 911. A required lens and a deflection H are arranged in each tube 909, and an electronic wire passing through the partition plate s, for example, 4 holes 908 can be placed. It is shaped so as to be incident perpendicularly to the sample W. In this way, it constitutes a multi-cylinder electron optics system. Γ Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. Therefore, each lens and deflection system 910 is arranged in the tube 909 in order Condensing lens 912, multi-opening plate 913, reduction lens 914, deflector 915, and objective lens 91 6. Condensing lens 912 is used to condense the electron beam passing through the holes 908 formed in the partition plate S. The multi-opening plate 913 is provided to allow the electron beams condensed by the lens 912 to pass through: The hole 908 and the same number of small holes in the part of the partition plate S surrounded by the tube 009. The reduction lens 914 is used to reduce the beam size and interval of the electron beam passing through the multi-opening plate 913 to pass through the deflector 915. The deflector 915 is used to change the traveling direction of the electron beam so that the electron beam reduced by the reduction lens 914 can be scanned on the sample. The objective lens 916 is used to focus the electron beam passing through the deflector 915 on the sample W. Each tube 909 is provided with an exhaust hole 917, whereby a tube that holds the partition plate S, the electron beam portion X, and the lens barrel (not shown) of the electron optical system γ in a vacuum can be maintained. The interior of each tube 909 is also kept in a vacuum. In addition, the required voltage can be applied to the condenser lens 91 2 and the multi-opening plate 9 1 3 by the guide line shown by the dotted line in FIG. 15. , Reduction lens 91 4 , This paper size applies to China National Standard (CNS) A4 (210 x 297 mm) (Centimeter) 71 312766 539845 A7 V. Description of the invention (that is) deflector 915 and objective lens 916. According to need, the condenser lens 912, the multi-opening plate 913, the reduction lens 914, and the 915 and The objective lens 916 is installed on the inner wall of the tube 909. The electronic wire device shown in Fig. 15 is used as an evaluation device for defect inspection, line width measurement, calibration accuracy measurement, potential contrast measurement, defect inspection or stroboscope. The wafer evaluation during the manufacturing process can also be used in the inspection process described in ⑷ '(b) with reference to Figs. 3 and 4. Because of this, disks 3 and 4 are used. D, U The explanations related to (a) and (b) in Fig. 4 and Fig. 4 are omitted here. Embodiment related to the electrode structure (Fourth Embodiment) The fourth embodiment of the present invention relates to an electronic wire device, that is, a method for manufacturing the device using the device. The flaws include: using the electron beam to irradiate the sample In the electronic optics system of the electrostatic lens of the present invention, the electrode structure for preventing insulation damage has been used until now. In order to check the surface state of fine samples that cannot obtain considerable sensitivity and resolution with only optical inspection, the use of high electron beams has been used. Sensitivity, high-resolution electronic wire devices are reviewed. This type of electronic wire device emits an electron wire from an electron wire source, and accelerates or condenses the released electron wire by means of an electrostatic optical system such as an electrostatic lens so as to be incident on a sample to be inspected. Secondly, a secondary electron beam released from a sample is detected by the incident of the electron beam, thereby generating a signal corresponding to one of the detected human-electron wires, and by using the signal, data such as a sample can be formed. With the formation data, the surface state of the sample can be checked. This paper uses electrostatic lenses such as electrostatic lenses used in this kind of electronic wire device. The paper size is applicable._ Tsukazumi (cns) A4 312766 (Please read the precautions on the back before filling this page) -------- tr— * ------ Aw. V. Description of the invention (73) In the electron optics system of the mirror, the electrodes used to generate the electric field that accelerates or condenses the electron beams are arranged in multiple segments in the direction of the optical axis of the electron beams. A certain amount of electricity is applied to these electrodes, respectively, and the electrons are accelerated by the electric field 'generated by the potential difference of the electrodes, and the electron beams are accelerated to a specified point on the optical axis. In the previous electronic wire device, 'sometimes, a part of the electron wire released from the electron wire source' sometimes collided with the electrode without intersecting the electric field in the electron optical system using an electrostatic lens. . At this time, the secondary electron line will be released from the electrode itself by the electron line conflicting with the electrode. 9 4 of the secondary electron rays released by this electrode will change depending on the electrode material or the electrode coating: material. When the secondary electron line released by the electrode becomes more%, the secondary electron line will be accelerated by the electric field of the electrode, and the residual gas in the device will be ionized, and then the ion will collide with the electrode and go further. A secondary electron beam is released from the electrode. Therefore, when a large amount of secondary electrons are released, discharges are easily generated between the electrodes, and the probability of edge destruction is increased. For example, the probability of destruction is greater than two. 4.9 [eV] 〇Compare the insulation function between the aluminum-clad electrode and the gold-clad electrode. The power function of the insulation breakdown between the electrodes caused by the aluminum-clad layer is 4.2 [eV]. The power function of gold It is the specified power function, which refers to the minimum energy (unit: eV) required to remove an electron wire in a metal in a vacuum. ^ ‘When the electrode is fine gold’ and the sample of the electronic wire device is half or round, gold will be hidden and attached to the surface of the semiconductor wafer because the electronic wire conflicts with the metal of the coating. When gold is attached to the semiconductor watch 539845 Printed by the Consumer Property Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. The invention description (75) will widen accordingly 'Therefore when the electronic device is in a vacuum state, there will be a release from the insulating material When the gas is increased. In addition, the vacuum degree is deteriorated, and the breakdown voltage between the electrodes is increased. The fourth embodiment of the present invention proposes a solution to this problem. In the following "for the fourth embodiment", an electronic wire device capable of preventing insulation breakdown between electrodes of an electrostatic optical system is applied to imaging having an electrostatic optical system. In the case of a projection-type evaluation device, the configuration and operation of the imaging projection-type evaluation device and the method of manufacturing the device using the device are described. In FIG. 16, the imaging projection type evaluation device 1000 has a certain radiation surface for the electron beams irradiating the chamber material, and the secondary electron beams radiated from the sample by the electron beam irradiation also have a certain emission. surface. The electron beam source 1001 emits electron beams having a secondary element area, such as a rectangular radiation surface, and is deflected to a specified direction by the electrostatic lens system 1002. The deflected electron beam enters the EXB deflector 1003 obliquely from above, and the position of the electric field and the magnetic field of the EXB deflector 1003 is deflected to the direction of the semiconductor wafer 1006 of the sample. (Solid line in FIG. 16) The electron line deflected by the χ × -type deflector 1003 to the semiconductor wafer 1006 is applied by the voltage applied to the electrode in the objective lens system 1005 by static electricity. The generated electric field is decelerated, and the objective lens system 1005 is imaged on the semiconductor wafer 1006 by static electricity. It is believed that the human electron line generated by irradiating the electron beam of the semiconductor wafer 1 006 is accelerated toward the detector 1008 by the electric field of the objective lens system 1005 by static electricity (such as the dotted line in FIG. 6) , And incident on the ΕχΒ-type deflection 0 1 1003 〇 ΕχΒ-type deflector 1003, the accelerated secondary electron beam paper size suitable for financial standards ⑵ Q χ view ------ 75 (correction page) 3〗 2766 ^ -------- ^ --------- line (please read the precautions on the back before filling this page) 539845 A7

Μ 訂---------線 (請先閱讀背面之注意事項再填寫本頁) 539845 A7 五、發明說明(79) 電極 102 0 及 1〇22 3 Μ4工 之間破插入以絕緣間隔板1〇26, 該絕緣間隔板1026’以略垂直方式支撐電極1〇2〇,】〇22。 絕緣間隔板刪在電極間的最短沿面距離,係與被支撐之 電極部份t的電極間距離略呈m即,電極間的絕緣 間隔板1026的表面’於電極間方向上並不呈皺形,而約略 呈現直線狀。 電極1022,係在電極間形成最短距離的第i電極面 l〇22b;電極間距離較該第】電極面助b為長的第2電極 面l〇22c ;第1電極面1〇22b與第2電極面1〇2以之間, 具有該2個電極間方向的段差1〇22d(第21圖)。絕緣間隔 板1026係以第2電極面1〇22c支撐電極1〇22。 阳 由於將電極1〇22作成該種形狀,因此可將電極間的 最短距離一直保持在所定之距離,同時無須在電極間方向 上將絕緣體間隔板1〇26的表面加工為皺形,而能夠讓絕緣 間隔板1026的最短沿面距離變為較電極間的最短距離為 長。 … 經濟部智慧財產局員工消費合作社印製 此外,因絕緣間隔板1026的表面,並未施加大電場, 因此不易產生沿面放電。 因此,可將電對物透鏡系1〇〇5做成焦點具離較短的 靜電對物透鏡,並可使之變為低像差且具有高分解能,又 因絕緣間隔板1 026的電極間的絕緣性能並未減低,故可防 止電極間的絕緣破壞。此外,因在金屬之電極j 〇22中設置 了段差1 022d,因此其加工費用,較之於加工絕緣間隔板 1 026將更為低廉。另外,因電極間方向的絕緣間隔板 79 本紙張尺度適用中國國$票準(CNS)A4規格⑵0 x 297公爱) 539845 經濟部智慧財產局員工消費合作社印製 本紙張尺錢财S醜辟 A7 五、發明說明(81 ) 定;校準精度測定;連接測定;为古 心,及咼時間分解能電位對比 測定等。 使用維納濾波器,分離一次 电于綠,及由該一次電早 線所照射之試料釋出的二次雷早妨μ $ ^子線的電子線裝置係一般所 知之裝置。例如,由電子線源釋 ^ _人電子線,使之形成 對應與試料垂直的光軸的所定角产, 月度稭由維納濾波器,讓 該一次電子線的行進方向沿著朵缸 ϋ考先軸偏向,並讓一次電子線 垂直入射到試料,藉由維納滹浊哭 應波裔,將由試料釋出的二次 電子線自一次電子線分離並沿著光軸行進,最後入射至檢 測器的電子線裝置,係-般所熟悉的。此外,可將一次電 子線垂直入射到試料,並蔣:田& l丨 亚將因此而由試料釋出的二次電子 線错由ΕχΒ分離器自一次雷早始八私 人冤子線分離,並輸入檢測器的 電子線裝置亦為一般所知之裝置。 該種先前的電子線裝置,當一次電子線的能源範圍較 大時,配合-次電子線所擁有的能源大小,而藉由ΕχΒ 分離器偏向的角度會有所不同,該原因將使一次電子線產 生色差’而產生無法收束由_次電子線所形成的光束的問 題。此外’色差的問題,同樣會發生在讓藉由一次電子線 照射而由试料釋出的--分费' Lh ΊΙ ^ 扪一— 人電子線沿著光軸進行直線行進並 入射至k測器的電子線裝置。當由試料釋出的二次電子線 的能源範圍較寬時,二次電子線通過二次光學系時,會產 生色差,而對二次電子線的正確檢出造成不良影響。 本發明之第5實施形態,係為解決該種先前的電子線 U㈣題@提^’目的在提供一種裝置,可在將一次 81(修正頁) 312766 -- - - - - - - - -----裝------訂--------- {請先閱讀背面之注意事項再填寫本頁) 539845 A7 B7 五、發明說明(82 ) 電子線收束以掃描試料的電子線裝置中,大幅降低維納濾 波器的色差的影響,或在用以影像投影並檢出二次電子線Μ order --------- line (please read the precautions on the back before filling out this page) 539845 A7 V. Description of the invention (79) The electrodes 102 0 and 1022 3 are inserted between the M4 electrodes for insulation The spacer plate 1026, the insulating spacer plate 1026 'supports the electrode 1020 in a slightly vertical manner. The shortest creepage distance between the insulation spacers between the electrodes is slightly m from the electrode distance of the supported electrode portion t, that is, the surface of the insulation spacer 1026 between the electrodes is not wrinkled in the direction between the electrodes. , And approximately straight. The electrode 1022 is an i-th electrode surface 1022b that forms the shortest distance between the electrodes; a second electrode surface 1022c having a longer distance between the electrodes than the first electrode surface b; the first electrode surface 1022b and the first electrode surface 1022b. Between the two electrode surfaces 102, there is a step difference 1022d in the direction between the two electrodes (Fig. 21). The insulating spacer 1026 supports the electrode 1022 with the second electrode surface 1022c. Since the anode 1022 has such a shape, the shortest distance between the electrodes can be kept at a predetermined distance, and the surface of the insulator spacer 1026 need not be processed into a wrinkle in the direction between the electrodes, so that The shortest creepage distance of the insulating spacer 1026 is made longer than the shortest distance between the electrodes. … Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs In addition, since a large electric field is not applied to the surface of the insulating spacer 1026, creeping discharge is not easy to occur. Therefore, the electric objective lens system 1005 can be made into an electrostatic objective lens with a short focal distance, and it can be made into a low aberration and high resolution energy. The insulation performance is not reduced, so it can prevent the insulation damage between the electrodes. In addition, since a step difference of 1 022d is provided in the metal electrode j 〇22, the processing cost will be lower than that of the insulating spacer 1 026. In addition, because the insulation spacers in the direction of the electrodes are 79, the paper size is applicable to China's national standard (CNS) A4 size ⑵0 x 297 public love) 539845 This paper is printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. A7 V. Description of the invention (81) determination; calibration accuracy measurement; connection measurement; ancient heart, and time-dependent energy potential comparison measurement. A Wiener filter is used to separate the primary electric current from the green and the secondary lightning released from the sample irradiated by the primary electrical early wire. The electronic wire device of the sub-line is generally known. For example, the electron source is released by the electron source so that it forms a predetermined angle corresponding to the optical axis perpendicular to the sample. The Wiener filter is used to make the travel direction of the primary electron line along the cylinder. The first axis is deflected, and the primary electron line is perpendicularly incident on the sample. With Wiener's voicing wave, the secondary electron line released from the sample is separated from the primary electron line and travels along the optical axis, and finally incident on the detection The electronic wire device of the device is generally familiar. In addition, the primary electron line can be incident perpendicularly to the sample, and Jiang: Tian & l ya will separate the secondary electron line released from the sample by this, and the ε × separator will separate the eight private lines from the first time. Electronic wire devices that input the detector are also generally known devices. This kind of previous electronic wire device, when the energy range of the primary electron wire is large, matches the energy possessed by the secondary electron wire, and the angle of deflection by the ΕχΒ separator will be different, which will cause the primary electron The line produces chromatic aberration ', which causes a problem that the light beam formed by the secondary electron line cannot be bundled. In addition, the problem of chromatic aberration also occurs when letting the sample be released by a single irradiation of electron beams--shared fee. Lh ΊΙ ^ 扪 一 —The human electron wire travels straight along the optical axis and is incident on the k-measurement. Electronic wire device. When the energy range of the secondary electron line released from the sample is wide, when the secondary electron line passes through the secondary optical system, chromatic aberration will occur, which will adversely affect the correct detection of the secondary electron line. The fifth embodiment of the present invention is to provide a device for solving the problem of the previous electronic line U 线 question @ 提 ^ ', which can be used once (correction page) 312766--------- --- Installation ------ Order --------- {Please read the precautions on the back before filling this page) 539845 A7 B7 V. Description of the invention (82) Electronic wire bundle to scan the sample In the electronic wire device, the influence of the chromatic aberration of the Wiener filter is greatly reduced, or the secondary electron wire is used for image projection and detection

的像的電子線裝置中,大幅降低起因於二次電子線的能源 範圍的色差。 N 此外,本發之第5實施形態,非常適合於使用降低該 種色差的電子線裝置的缺陷檢查裝置。此外,亦可將該種 缺陷檢查裝置等應用於裝置製造方法以進行製程途中的晶 圓檢查。 第22圖中,對應於與試料垂直的光軸,含有電子線 源的一次系與含有檢測器的二次系相互於相反側上形成所 定角度配置。第22圖中,由電子線源11〇1所釋出的一次 電子線1102藉由開口(無圖示)被整形為長方形,並藉由透 鏡1103,1104縮小而被入射至維納濾波器11〇5。此時, 將一次電子線11〇2與光軸X所形成之角度設為/亦 即,維納濾波器1105具備有;用以製造靜電偏向用的電場 的電極1106;及用以製造電極偏向用的磁場的磁石u〇7, 維納濾波器1105,藉由電極1106的靜電偏向作用將入射 至該處的一次電子線1102向左,亦即只偏向α角度使其與 光轴X接近,藉由磁石1107的電磁偏向作用向左只偏向2 α角度,合計向左共偏向角度3^,並使之沿著與試料u〇8 垂直的光抽X行進。之德,^ 且 柯wι俊-人電子線1102經由透鏡系 1109, 1110入射到試料!108以照射試料11〇8。 角度α例如為10度。 藉由-次電子線11〇2的照射而由試料测釋出的二 312766 頁 訂 消 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 539845 A7 -________B7_ 五、發明說明(83 ) 次電子線1111藉由透鏡系11 09,111 0被擴大後,入射到 維納濾波器1105,並藉由該電極1106與磁石1107的作 用, 由光軸X右方僅偏向α度,亦即朝遠離光軸X的方向 偏向,藉由維納濾波器11 05而由一次電子線分離的二次電 子線1111,藉由含有透鏡系1 i丨2,1 11 3的二次光學系擴 大後,於檢測器1114上成像。檢測器1114利用圖像處理 裝置111 5被施以適當的處理,並由圖像記憶體u〗6儲存。 在第5實施形態中,由維納濾波器所產生的色差,其 位於處理二次電子線111丨的二次光學系中的一方會產生 問題。為了解除維納濾波器n〇5所產生的色差對二次電子 線所造成的影響,乃在維納濾波器11〇5的靜電偏向作用與 電磁偏向作用讓二次電子線相互朝逆方向偏向,且靜電偏 向作用偏向二次電子線的角度及電磁偏向作用讓二次電子 線偏向的角度之間維持所定之關係。藉此,由試料ιι〇8 釋出並沿著光軸X行進的二次電子線U11,朝著與光軸χ 相關並與一次電子線11〇2呈相反方向僅偏向一定角度,此 外’在此同時’亦可將由二次電子線llu之能源範圍所引 起的色差調整至可忽視的大小。 因此,當二次電子線lln入射至維納遽波器ιι〇5時, 維納濾波器1105的電極11〇6會藉 $稽由靜電偏向作用將二次 電子線1111向左,亦色A ^ . 亦即偏向《角度使之與光軸X接近, 而磁石1107則向右,亦即 y·性角度使之遠離光軸X。 此時,右將入射到維納濾波器】 ___ ; 一久電子線的光束能 “ 幻 —~31276Γ --------------裝·11 (請先閱讀背面之注意事項再填寫本頁) 訂· -線----- 539845In the electronic wire device of the like, the chromatic aberration in the energy range due to the secondary electron wire is greatly reduced. N In addition, the fifth embodiment of the present invention is very suitable for a defect inspection device using an electronic wire device which reduces such a color difference. In addition, this kind of defect inspection device can also be applied to a device manufacturing method to perform wafer inspection during the manufacturing process. In Fig. 22, corresponding to the optical axis perpendicular to the sample, the primary system including the electron beam source and the secondary system including the detector are arranged at a predetermined angle on opposite sides of each other. In FIG. 22, the primary electron beam 1102 released by the electron beam source 1101 is shaped into a rectangle by an opening (not shown), and is reduced by the lenses 1103 and 1104 to be incident on the Wiener filter 11 〇5. At this time, the angle formed by the primary electron beam 1102 and the optical axis X is set to / that is, the Wiener filter 1105 is provided with an electrode 1106 for producing an electric field for electrostatic deflection, and an electrode deflection for producing an electrode deflection. The magnetic field magnet u〇7, Wiener filter 1105, uses the electrostatic deflection of the electrode 1106 to turn the primary electron line 1102 incident there to the left, that is, it is biased only to the angle α to make it close to the optical axis X. By the magnetic deflection of the magnet 1107, it is deflected to the left only by an angle of 2α, and the total is deflected to the left by an angle of 3 ^, and it is caused to travel along the light extraction X perpendicular to the sample u〇8. Virtue, ^ And Ke Wumi-ren electronic wire 1102 incident on the sample through the lens system 1109, 1110! 108 to irradiate the sample 110. The angle α is, for example, 10 degrees. Two 312,766 pages released by the sample measurement by irradiation of the sub-electronic line 1102, printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs, printed 539845 A7 -________ B7_ V. Description of the invention (83) The sub-electronic line 1111 is provided by After the lens system 11 09, 111 0 is enlarged, it is incident on the Wiener filter 1105, and by the action of the electrode 1106 and the magnet 1107, it is only deviated by α degrees to the right of the optical axis X, that is, away from the optical axis X. The direction is deflected, and the secondary electron line 1111 separated by the primary electron line by the Wiener filter 11 05 is enlarged by the secondary optical system including the lens system 1 i 丨 2, 1 11 3 and then on the detector 1114 Imaging. The detector 1114 is subjected to appropriate processing using the image processing device 1115 and stored in the image memory u6. In the fifth embodiment, the chromatic aberration generated by the Wiener filter is problematic if it is located in the secondary optical system that processes the secondary electron line 111. In order to eliminate the effect of the color difference produced by the Wiener filter no.5 on the secondary electron line, the electrostatic deflection and electromagnetic deflection effect of the wiener filter no.05 make the secondary electron lines deviate from each other in the opposite direction. , And the relationship between the angle of the electrostatic deflection effect to the secondary electron line and the angle of the electromagnetic deflection effect to the secondary electron line are maintained. Thereby, the secondary electron line U11 released by the sample ιι8 and traveling along the optical axis X is deflected only to a certain angle toward the optical axis χ and opposite to the primary electron line 1102. At the same time, the color difference caused by the energy range of the secondary electron line 11u can also be adjusted to a negligible size. Therefore, when the secondary electron line lln is incident on the Wiener wave filter 05, the electrode 1106 of the Wiener filter 1105 will use the electrostatic bias to turn the secondary electron line 1111 to the left, which is also A. ^. That is to say, the angle is closer to the optical axis X, and the magnet 1107 is to the right, that is, the y-angle is away from the optical axis X. At this time, the right will be incident on the Wiener filter] ___; The beam of the electron beam for a long time can be “magic — ~ 31276Γ -------------- install · 11 (Please read the precautions on the back first (Fill in this page again) Order · -line ----- 539845

亦即偏向為與光軸X A7 五、發明說明(84 ) 源設定為V〇,則具有較Vo只小AV的能源的二次電子線, 將藉由電極u06,進行角度為 α /(1-Δ V/V〇)=/5 由光軸X向左的偏向’亦即偏向為與光軸又相接近, 同時,具有較Vo只小AV的能源的二次電子線nll,則 藉由磁石1107,進行角度僅為 2 α /{(1-Δ V/Vo)}1/2= γ 與光軸X相關,且向右的偏向 遠離。第1次近似為 (1-Δ ν/ν〇)'1 = (1 + Δ V/Vo) 及 2{l-(AV/V〇)}-1/2=2{l + (l/2)( Δν/ν〇)} 故成立 Τ ^β-2α {l + (l/2)(AV/Vo)}«a (1 + Δν/ν〇)= ^ 換言之,藉由維納濾波器1105的靜電偏向作用與電磁 偏向作用的相抵與二次電子線的能源範圍相關的項將被消 去,且因二次電子線會藉由維納濾波器丨丨〇5,朝與光軸X 相關的右方,亦即與光軸X遠離的方向僅偏向角度^,因 此可忽視由維納濾波器1105所引起的色差。 第23圖,系本發明之第5實施形態之詳細構成圖。 同圖中,由電子線源lioi所釋出的一次電子線11〇2係藉 由聚透鏡1120被收束為遮沒開口板1121的開口。一次^ 子線1102在朝開口板1121行進前,會通過具有多數開口 的開口板1122,藉此,而變為具有希望數量之光束之多波 本紙張尺度適用中國國家標準(Uh)A4規格(21〇x 297公爱了 --------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 312766 539845 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(85) 束。被多波束化的一次電子線1102通過開口板η〕〗之後, 藉由縮小透鏡1 123, 1124缩小Λ所々招从 細J為所疋規袼的光束並形成絹 小像1122,後,進入維納濾波器11〇5巾。此時,一次電子That is, the bias is set to the optical axis X A7. V. Invention Description (84) The source is set to V0, and the secondary electron wire with an energy source smaller than Vo by AV will pass through the electrode u06 at an angle α / (1 -Δ V / V〇) = / 5 The deflection to the left from the optical axis X, that is, the deflection is close to the optical axis, and at the same time, the secondary electron line nll with energy smaller than Vo only AV is obtained by Magnet 1107 has a travel angle of only 2 α / {(1-Δ V / Vo)} 1/2 = γ is related to the optical axis X, and is deviated to the right. The first approximation is (1-Δ ν / ν〇) '1 = (1 + Δ V / Vo) and 2 {l- (AV / V〇)}-1/2 = 2 {l + (l / 2 ) (Δν / ν〇)}, so τ ^ β-2α {l + (l / 2) (AV / Vo)} «a (1 + Δν / ν〇) = ^ In other words, with the Wiener filter 1105 The offset of the electrostatic deflection effect and electromagnetic deflection effect of the term related to the energy range of the secondary electron line will be eliminated, and because the secondary electron line will pass the Wiener filter toward the optical axis X, The right side, that is, the direction away from the optical axis X is only deviated by the angle ^, so the color difference caused by the Wiener filter 1105 can be ignored. Fig. 23 is a detailed configuration diagram of a fifth embodiment of the present invention. In the figure, the primary electron beam 1102 released by the electron beam source lioi is bundled by the condenser lens 1120 to cover the opening of the opening plate 1121. Once ^ The sub-line 1102 passes through the opening plate 1122 with a large number of openings before proceeding to the opening plate 1121, thereby becoming a multi-wavelength paper with a desired number of beams. The paper size applies the Chinese National Standard (Uh) A4 specification ( 21〇x 297 public love -------------------- Order --------- (Please read the precautions on the back before filling this page) Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 312766 539845 Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 V. Invention Description (85) beam. After the multi-beamed primary electronic wire 1102 passes through the opening plate η], borrow The reduction lenses 1 123 and 1124 reduce the beam size Δ to move the beam from the fine J and form a small silk image 1122, and then enter the Wiener filter 1105. At this time, one electron

線1102與光軸X的形成角彦A 7风月度為α。一次電子線11〇2藉由 維納遽波器11〇5被彎曲成角度α並沿著與試料ιι〇8垂直 的光軸X行進,然後再藉由透鏡1125及對稱電極1126縮 小後照射試料1108。 為了藉由來自維納濾波器11〇5的一次電子線11〇2, 在與開口板1122的開口的配列方向呈直角的方向上(在第 4圖中,係與紙面呈垂直的方向)掃描試料11〇8,乃沿著一 次電子線1102的光路,配置掃描用電極1127,U28,此 外,為了在遮沒時,將一次電子線11〇2的行進方向由正常 的行進方向引開而使之沿著光路1129行進,乃設置遮沒偏 向器 11 3 0,11 3 1。 試料1108,係由分別藉由構成一次電子線11〇2的複 數的細光束所照射的各處釋出二次電子線mi。該釋出之 多光束的二次電子線1111係藉由維納濾波器J J05與一次 電子線分離並藉由成像電子光學系1132,n33擴大後,通 過具有與開口板1122的開口相對應的開口的開口板 113 4 ’而入射到多檢測器11 3 5中。在此,開口板11 2 2與 開口板1134係在光軸外圍旋轉9〇。的圖。 此時,起因於維納濾波器11 〇5的色差,在一次電子線 及二次電子線中會形成問題,但在二次光學系發生的色 差,則可藉由擴大形成多波束的複數光束的相互間隔而降 ^--------^---------線 (請先閱讀背面之注意事項再填寫本頁) 本纸張尺度適用中國國家標準(CNS)A4規格(210 x 297公餐) 85 312766 五、發明說明(% ) 低其影響。 :-方面,為解除維納濾波器1105的色 線1102所造成的吾《鄕 + & —人电子 風的衫響,在第23圖中,乃在維納滅 的靜電偏向作用盥雷磁伧 心、波的ll〇f 、電磁偏向作用讓一次電子線相互朝锑t 向偏向,且靜朝疋方 ㈣偏向作用偏向一次電子線的角度及 向作用讓二次電子繞傯A沾 電磁偏 線偏向的肖度之間維持所定之關係。_ 此’由電子線源11〇1釋出並對光軸μ斜行進的—欠: 子線1102,將朝著與光轴χ相關的左方’亦即與光輛= 接近的方向偏向一定角度,且在此同時,亦可忽視… 子線1102之能源範圍的影響。 包 具體而言,維納渡波器1105,係藉由電極⑽的靜 電2向作用,將一次電子線Π02像右方偏向α角度,亦即 朝遠離光軸方向偏向,而藉由磁石1107的電磁偏向作用朝 左方偏向2α角度,亦即朝光軸义接近的方向偏向。其結 果,入射至維納濾波器的一次電子線11〇2,其整體將朝= 方偏“角度。此時’可忽視一次電子線11〇2之能源範圍 的影響。具體而言,即可解除因一次電子線11〇2的能源範 圍的擴大而引起的色差。 以數學方式說明,亦即,將入射至維納濾波器11〇5 的二次電子線的光束能源設定為V〇時,具有較ν〇僅小△ 消 V的能源的一次電子線,藉由電極1106偏向為以下角度 a(l-AV/Vo)= 5 該值因較(5為大’因此更朝右方,亦即偏向至遠離光 軸X的方向’而具有較Vo僅小△ V的能源的一次電子線 本紙張尺度適財關家標準(CN5A4規格⑵G χ 297公髮 539845 五、發明說明(87) 藉由磁石1107偏向為以下角度 2 α (1-Δ V/V〇)·172- θ 該值因較2α為大,因此更朝左方,亦即偏向至接近 光軸X的方向,因此,兩者之角度差則變為 θ = 2α (1-Δ V/V〇)-1/2 . α (l-AV/V〇) -ι 因AV與Vo相較下其值極小,故第一次近似為 (1-Δ V/Vo) '1/2= (1 + Λ V/2 Vo) 而結果則變為 θ-δ~ 2 α (1-Δ V/2 Vo) - a(l-AV/V〇)=a 如此,當一次電子線11〇2藉由維納濾波器π〇5朝與 光軸X方向只偏向角度《時,α可忽視一次電子線所擁有 的能源範圍,因此可削除維納濾波器1105所引起之色差。 此外,在二次光學系中所產生的色差,在將構成一次 電子線11 02的複數光束配列在一個列上,並在維納濾波器 中朝該些光束的配列方向與直角方向偏向時,將在與光束 配列方向呈直角的方向中產生,故不會因色差而增加複數 光束間的交迭像。 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 、第22圖及第23圖而說明之電子線裝置,可適用 於缺陷檢查裝置;校準精度測定裝置;線寬測定裝置·,高 時間分解能電位對比測定裝置;#陷檢查裝置;頻閃觀測 器SEM t i等各種裝置。&外,帛$實施形態之電子線裝 置,為進仃製程中的晶圓評價,亦可使用於第3圖及第4 圖之(a) (b)所說明之裝置製造方法中的檢查工程(G)。關 於此點因/σ用與第3圖及第4圖之(a),(b)相關之說明,The formation angle between the line 1102 and the optical axis X is A7. The primary electron beam 1102 is bent to an angle α by the Wiener waver 1105 and travels along the optical axis X perpendicular to the sample ι8, and then is irradiated with the sample after being reduced by the lens 1125 and the symmetrical electrode 1126 1108. In order to scan through the primary electron line 1102 from the Wiener filter 1105 in a direction perpendicular to the arrangement direction of the opening of the opening plate 1122 (in the fourth figure, it is perpendicular to the paper surface) Sample 1108 was arranged along the optical path of the primary electron line 1102 with scanning electrodes 1127 and U28. In addition, in order to obstruct the direction of travel of the primary electron line 1102 from the normal travel direction when obscured, It travels along the optical path 1129, but is provided with a blind deflector 11 3 0, 11 3 1. Sample 1108 emits a secondary electron beam mi from each place irradiated with a plurality of thin beams constituting a primary electron beam 1102. The released multi-beam secondary electron line 1111 is separated from the primary electron line by the Wiener filter J J05 and enlarged by the imaging electron optics 1132, n33, and passes through the opening corresponding to the opening plate 1122. The opened opening plate 113 4 ′ is incident on the multi-detector 11 3 5. Here, the opening plate 11 2 2 and the opening plate 1134 rotate 90 ° around the periphery of the optical axis. Illustration. At this time, due to the chromatic aberration of the Wiener filter 11 005, problems will occur in the primary and secondary electron beams. However, the chromatic aberration that occurs in the secondary optical system can be expanded to form a multi-beam complex beam. ^ -------- ^ --------- line (please read the precautions on the back before filling this page) This paper size applies to Chinese National Standard (CNS) A4 Specifications (210 x 297 meals) 85 312766 V. Description of the invention (%) Low impact. :-On the side, in order to remove the color line 1102 of the Wiener filter 1105, the "响 + &-human electronic style shirt ringing", in Figure 23, is the static bias of Wiener's extinguishing magnetism. The effects of centroid, wave llf, and electromagnetic deflection cause the primary electron lines to deviate toward each other t, and the angle and direction of the static deflection effect toward the primary electron line and the secondary effect cause secondary electrons to deviate from A. The predetermined relationship is maintained between the angles of the line deviations. _ This 'released by the electron beam source 11〇1 and travels obliquely on the optical axis μ—under: the sub-line 1102 will be directed to the left related to the optical axis χ', that is, the direction close to the optical vehicle = is biased toward a certain Angle, and at the same time, you can ignore ... the impact of the energy range of the sub-line 1102. In particular, the Wiener Wavelet 1105 uses the electrostatic two-way action of the electrode ⑽ to bias the primary electron line Π02 like the right side toward the angle α, that is, away from the optical axis, and the magnetism of the magnet 1107 The deflection action is deviated to the left by a 2α angle, that is, deviated in a direction close to the optical axis. As a result, the entire primary electron line 1102 incident on the Wiener filter will have an angle of = square deviation. At this time, the influence of the energy range of the primary electron line 1102 can be ignored. Specifically, it can be Eliminate the chromatic aberration caused by the expansion of the energy range of the primary electron line 1102. In mathematical terms, that is, when the energy of the light beam incident on the secondary electron line of the Wiener filter 110 is set to V0, The primary electron beam with energy that is only △ smaller than ν than V, is deflected by the electrode 1106 to the following angle a (l-AV / Vo) = 5 This value is more to the right because (5 is large ', and also That is, it is biased to the direction far away from the optical axis X and has an energy source that is smaller than Vo by only △ V. The paper size is suitable for financial standards (CN5A4 specification ⑵ G χ 297 public hair 539845. V. Description of the invention (87) The magnet 1107 is deflected to the following angle 2 α (1-Δ V / V〇) · 172- θ This value is larger than 2α, so it is more to the left, that is, to the direction close to the optical axis X, so both The angular difference becomes θ = 2α (1-Δ V / V〇) -1/2. Α (l-AV / V〇) -ι because the value of AV and Vo is extremely small So the first approximation is (1-Δ V / Vo) '1/2 = (1 + Λ V / 2 Vo) and the result becomes θ-δ ~ 2 α (1-Δ V / 2 Vo)-a (l-AV / V〇) = a In this way, when the primary electron beam 11102 is deflected by the Wiener filter π05 toward the optical axis X only by the angle ", α can ignore the energy possessed by the primary electron beam. Range, so the chromatic aberration caused by the Wiener filter 1105 can be removed. In addition, the chromatic aberration generated in the secondary optical system is arranged in a row with the complex light beams constituting the primary electron line 11 02 and filtered by the Wiener Deviations in the alignment of these beams towards the right-angle direction of the beam will be generated in a direction orthogonal to the alignment direction of the beams, so there will not be an increase in overlapping images between multiple beams due to chromatic aberration. The electronic wire device printed by the cooperative, illustrated in FIGS. 22 and 23, can be applied to defect inspection devices; calibration accuracy measurement devices; line width measurement devices, high time resolution energy potential comparison measurement devices; #pit inspection devices; frequency Various devices, such as flash observer SEM ti. &Amp; The line device is used for the wafer evaluation in the advanced manufacturing process, and can also be used for the inspection process (G) in the device manufacturing method described in (a) and (b) of Figures 3 and 4. σ uses the descriptions related to (a), (b) of Figures 3 and 4,

HZZ55 539845 A7 五、發明說明(88 ) 故在此省略其說明。 以上係說明本發明之第5實施形態,但本發明不限定 於該種實施形態。例如,為了同時照射試料1丨〇8的不同位 置,亦可複數設置由電子線源,一次光學系,二次光學系 及檢測器所構成之電子線照射·檢測器,並以來自複數的 電子線源的複數一次電子線照射試料,最後在藉由複數的 檢測器接收由試料放出之複數之二次電子線。藉此可大幅 縮短檢查或測定時所需時間。 與對物_座—鏡的驅動相關的實施形態(第6實施形鼯、 本發明之第6實施形態係關於··可以高良品製造率, 南精度及尚#賴度進行具有低於〇1微米線寬之圖案的缺 陷檢查,CD測定,校準精度測定,高時間分解能電位測 定等各種評價的電子線裝置;及使用該裝置的裝置製造方 法。 利用電子線之掃描結果以高精度進行形成於半導體 晶圓等試料表面的圖案評價時,必須考慮試料高度的變 化。此乃因為:藉由試料高度該試料表面上的圖案,與讓 電子線集束於該圖案上的對物透鏡之間的距離會產生變 化,致使對焦條件因偏移而降低解像度,而無法進行正確 的評價。 為解決該問題,乃使用一般所知之電子線裝置,該裝 置係以傾斜方式將光入射到試料面,利用該反射光測定試 料尚度,並將其測定結果歸還用以將電子線集束於試料上 的電子光學系,再藉由控制供給到電子光學系的構成要素 本纸張尺度適用中關$準(CNS)A4規格⑵。 Μ-------------- (請先閱讀背面之注意事項再填寫本頁) "88" 539845 A7 B7 五、發明說明(89 ) 的電流及電Μ,以進行電子光學系的焦點校準。 但是,在將光線以傾斜方式入射到試料中的方法上, 必須在試料面與電子光學系下面之間,配置絕緣體為主體 的先學零件,其中該絕緣體係用以反射入射光。因此,試 料面與電子光學系下面之間的間隔必須較所需間隔為大, 如此來’便無法忽視電子光學系的像差等問題。但是, 在現階段,並#可同時解決電+光學系H點校準與=子 光學系的像差等問題的裝置。 此外,在電子光學系的焦點校準的實行上,除 與電子光學#、下面之間的距離外,也必須考慮電子線的空 間帶電效果’因此’若不以電子光學方式測定與電子光學 訂 系相關的參數,將很容易發生誤差。 此外,在調整包含在電子光學系中的磁性透鏡的勵磁 電流’以進行焦點校準時,因為必須在將該勵磁電流設定 為規定值後,將可安定電子光學系的焦點距離的時間,亦 即整訂時間調長’因此會發生無法以高速進行焦點對準的 問題。此外,在變更靜電透鏡的激起電壓以進行電子光學 系的焦點對準時,因為必須將施加於靜電透鏡的高電壓予 以變動’因此同樣有整定時間變長的問題。 本發明之第6實施形態,為解決上述問題點,乃提供 可以電子光學式,在短時間内進行電子光學系的焦點校準 的電子線裝置,及使用該裝置之裝置製造方法。 第24圖係本發明之第6實施形態之概略構成圖。同 圖中’電子線源1201具備有陽極1202,所釋出之一次電 本纸張尺1_ (CNS)A4規格⑵Q χ撕公爱- 89 539845 經濟部智慧財產局員工消費合作社印製 Λ7 五、發明說明(9〇 ) 子線藉由陽極1202加速,並經由軸對準用偏向器们, 1204及非點補正透鏡12〇5通過開口板12〇6的小孔Μ”。 通過開口板1206的一次電子線藉由聚透鏡12〇8被集束, 經偏向器1209,1210,並通過維納濾波器1211後,於載 置於工作台S上的試料1214表面所形成的例如矩形的複 數的回路圖案之一上成像。軸對稱電極1213,係以與試料 1214之間幾乎無空間的方式配置。 ~ 工作台s,可朝與一次電子線所偏向的第向呈垂 直的第2方向移動’因此可藉由一次電子線的偏向與工作 台S的移動進行回路圖案掃描。一次電子線的掃描結果, 使由試料1214上的一個回路圖案所釋出的二次電子線,將 可藉由對物透鏡1212的電場所牽引並被加速,並藉由維納 濾波器1211偏向而使之超出光軸l,而自一次電子線分 離。如此,二次電子線藉由二次電子線檢出部1〇85被檢 出。二次電子線檢出部1215,輸出顯示入射之二次電子線 的強度的電氣訊號。該電氣訊號,藉由其所對應之放大哭 (無圖示)放大後被施以圖像處理。 為藉由聚透鏡1208縮小一次電子線,對於構成聚透 鏡1208的各個電極,則由第1電源1217施加所需之電壓。 另一方面’對物透鏡1212為單位電透鏡,為了將一次電子 線集束於試料1214的表面,對對物透鏡ι212的中央電極 施加來自第2電源1218的正的南電壓v〇瓦特,而對對物 透鏡12 12的上側電極及下側電極則施加來自第3電源 1219的小電壓土 △ v〇瓦特。電子線源1201 ;陽極n〇2 ; 表紙張尺度適用中國國家標準(CNS)A4規格⑵G x 297公爱 312766 ----------------—訂--------- (請先閱讀背面之注意事項再填寫本頁) 539845 91 A7 五、發明說明(91 ) 軸對準偏向器1203, 1204;非點補正透鏡12〇5,開口板 1206’聚透鏡1208;偏向器1209, 121〇;維納濾波器12ιι,· 對物透鏡1212 ;軸對稱電極1213 ;及二次電子線檢出部 1215’被收藏於適當的大小的鏡筒1216内,以構成一個電 子線掃描.檢測系1220。此外,電子線掃描•檢測系12 = 的初期焦點對準,係藉由將電壓± Δν〇固定在例如_ι〇瓦 特’並變更正電壓Vo才得以進行。 如上所說明一般,電子線掃描•檢測系122〇,掃描試 料1214上的晶圓圖案之一,其掃描結果,係檢出由試料 12U所釋出之二次電子線,並輪出表示其強度之電氣訊 號。實際上,因試料1214表面形成有複數的晶圓圖案,故 與電子線掃描•檢測系122G具相同構成的電子線掃描.檢 測系(無圖示)’係以與電子線掃描•檢測系122〇並列的形 式’被配置成彼此間的距離為試料1214上的晶圓規格的整 數倍的距離。 更進一步說明電子線掃描•檢測系122〇,由二次電子 線檢出部m5輸出的電氣訊號被變換為2值化資訊,該2 值化資訊,則變換為圖像資料。其結果,可獲得形成於試 料1214表面的回路圖案的圖像資料,所獲得之圖像資料被 儲存=適當的記憶裝置外,也與基準回路圖案進行比較。 糟此’可檢出形成於試料1214上的回路圖帛的缺陷。 欠此外’用以與表示試料1214上的某1路圖案的圖 像貧料進行比較的基準回路圖案,可使用各種回路 例如’可使用來自CAD資料的圖像資料,而CAD資料係 職鮮(CNS)A4 規格⑵q 312766 訂---------^ (請先閱讀背面之注意事項再填寫本頁) 539845 五、發明說明(92) 用以製做已進行該圖像資料生成掃描的回路圖案。 在第24圖所示之電子線裝置中,施加於對 的上側電極及下側電極的„±心。的值,以pu 制裝置(無圖示)的控制下決 、, 工 11Ί/1 ^ 剌下决疋如下。首先,在形成於試料HZZ55 539845 A7 V. Description of Invention (88) Therefore, its description is omitted here. The fifth embodiment of the present invention has been described above, but the present invention is not limited to this embodiment. For example, in order to irradiate different positions of the sample 1 〇 08 at the same time, a plurality of electron beam irradiation and detectors composed of an electron beam source, a primary optical system, a secondary optical system, and a detector may be provided, and the electrons from the plurality may be provided. A plurality of primary electron beams of the line source irradiate the sample, and finally a plurality of secondary electron beams released from the sample are received by a plurality of detectors. This significantly reduces the time required for inspection or measurement. The embodiment related to the driving of the object-seat-mirror (sixth embodiment, the sixth embodiment of the present invention is related to the fact that ... Micron line width pattern defect inspection, CD measurement, calibration accuracy measurement, high time resolution energy potential measurement, and other evaluation of electronic wire devices; and a device manufacturing method using the device. Scanning results of electronic wires are used to form the electronic wires with high accuracy. When evaluating the pattern on the surface of a sample such as a semiconductor wafer, it is necessary to consider the change in the height of the sample. This is because the distance between the pattern on the surface of the sample and the object lens that allows electron lines to be focused on the pattern by the height of the sample. There will be changes, which will cause the focus conditions to be degraded due to shifts in resolution, which will prevent accurate evaluation. To solve this problem, a commonly known electronic wire device is used. This device inclines light onto the sample surface and uses This reflected light is used to measure the sample survivability, and the measurement result is returned to the electron optical system used to focus the electron beams on the sample. Components supplied to the electronic optics system This paper applies the Zhongguanquan (standard) (CNS) A4 specification on this paper standard. Μ -------------- (Please read the precautions on the back before filling (This page) " 88 " 539845 A7 B7 V. The current and electric current of the invention description (89) for the focus calibration of the electro-optical system. However, in the method of incident light into the sample in an inclined manner, the Between the sample surface and the lower surface of the electronic optics system, an insulator is used as the main learning component. The insulation system is used to reflect incident light. Therefore, the distance between the sample surface and the lower surface of the electronic optics system must be larger than the required interval. In this way, it is impossible to ignore the aberrations and other problems of the electronic optics. However, at this stage, the device that can simultaneously solve the problems of the electrical + optical system H-point calibration and the aberrations of the sub-optical system. In addition, in electronic In the implementation of the focus calibration of the optical system, in addition to the distance from the electron optics # and the bottom, the spatial electrification effect of the electron line must also be taken into account. Therefore, if the parameters related to the electron optics system are not measured by electron optics, will Errors are prone to occur. In addition, when adjusting the excitation current 'of the magnetic lens included in the electro-optical system for focus calibration, the focal point of the electro-optical system must be stabilized after setting the excitation current to a predetermined value. The time of the distance, that is, the setting time is adjusted to be longer, therefore, a problem that the focusing cannot be performed at a high speed occurs. In addition, when the excitation voltage of the electrostatic lens is changed to perform the focusing of the electro-optical system, it must be applied to The high voltage of the electrostatic lens changes, so there is also a problem that the setting time becomes long. In order to solve the above-mentioned problem, the sixth embodiment of the present invention provides an electro-optic type that can perform focus calibration of the electro-optical system in a short time. And a manufacturing method of the device using the same. Fig. 24 is a schematic configuration diagram of a sixth embodiment of the present invention. In the same picture, the electronic wire source 1201 is equipped with an anode 1202, and the one-time electric paper ruler 1_ (CNS) A4 specification ⑵Q χ tear public love-89 539845 printed by the Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs Λ7 DESCRIPTION OF THE INVENTION (90) The strand is accelerated by the anode 1202, and passes through the axis-aligning deflectors, 1204 and the non-spot correction lens 1205 through the small hole M of the opening plate 1206. Once through the opening plate 1206 The electron beams are bundled by the condenser lens 1208, pass through the deflectors 1209, 1210, and pass through the Wiener filter 1211, and then, for example, a rectangular complex circuit pattern formed on the surface of the sample 1214 placed on the table S An image is formed on one of the electrodes. The axisymmetric electrode 1213 is arranged with almost no space between the sample 1214. ~ The table s can be moved in a second direction that is perpendicular to the direction in which the primary electron line is deflected. The loop pattern scan is performed by the primary electron beam deflection and the movement of the table S. The scanning result of one electron beam enables the secondary electron beam released by a loop pattern on the sample 1214 to be aimed at the objective lens. 1212 Electric Place The coupling is accelerated, and it is deviated from the optical axis l by the bias of the Wiener filter 1211, and is separated from the primary electron beam. In this way, the secondary electron beam is detected by the secondary electron beam detection unit 1085. The secondary electron wire detection unit 1215 outputs an electric signal showing the intensity of the incident secondary electron wire. This electric signal is amplified by its corresponding magnifier (not shown) and is subjected to image processing. In order to reduce the primary electron beams by the condenser lens 1208, a required voltage is applied to each electrode constituting the condenser lens 1208 by the first power source 1217. On the other hand, 'the objective lens 1212 is a unit electric lens, and in order to separate the primary electron beams, Focused on the surface of sample 1214, a positive south voltage v0 Watt from the second power source 1218 is applied to the central electrode of the objective lens ι212, and a third power source is applied to the upper electrode and the lower electrode of the objective lens 1212. 1219 small voltage earth △ v0 watt. Electronic wire source 1201; anode n02; paper size applies Chinese National Standard (CNS) A4 specification ⑵G x 297 public love 312766 ------------ ----— Order --------- (Please read the notes on the back first (Fill in this page again) 539845 91 A7 V. Description of the invention (91) Axis alignment deflectors 1203, 1204; non-point correction lens 1205, opening plate 1206 'condenser lens 1208; deflectors 1209, 121〇; Wiener filtering 1212, the objective lens 1212; the axisymmetric electrode 1213; and the secondary electron beam detection portion 1215 'are housed in a lens barrel 1216 of an appropriate size to constitute an electron beam scanning. Detection system 1220. In addition, the initial focus of the electronic line scan / detection system is 12 =, which is performed by fixing the voltage ± Δν〇 to, for example, _ι0 Watt 'and changing the positive voltage Vo. As described above, the electron line scanning and detection system is 122 °, which scans one of the wafer patterns on the sample 1214. The scanning result is the detection of the secondary electron line released by the sample 12U, and the rotation is shown to indicate its intensity. Electrical signal. In fact, since a plurality of wafer patterns are formed on the surface of the sample 1214, the electronic line scan and detection system 122G has the same structure as the electronic line scan. The detection system (not shown) is the same as the electronic line scan and detection system 122. The “parallel form” is arranged such that the distance between them is an integer multiple of the wafer specification on the sample 1214. Furthermore, the electronic line scanning and detection system 122 will be described. The electrical signal output by the secondary electron detection unit m5 is converted into binary information, and the binary information is converted into image data. As a result, image data of a circuit pattern formed on the surface of the sample 1214 can be obtained, and the obtained image data is stored = an appropriate memory device and compared with a reference circuit pattern. If this is the case, a defect of the circuit pattern 形成 formed on the sample 1214 can be detected. The reference circuit pattern used for comparison with an image representing a certain one-way pattern on the sample 1214 can be used. Various circuits can be used. For example, image data from CAD data can be used, and CAD data is fresh ( CNS) A4 Specifications ⑵q 312766 Order --------- ^ (Please read the notes on the back before filling in this page) 539845 V. Description of the invention (92) Used to make the image data scan Circuit pattern. In the electronic wire device shown in FIG. 24, the value of the “±±.” Applied to the upper electrode and the lower electrode of the pair is determined under the control of a pu device (not shown). His Majesty decided as follows. First, the

表面的任意一個回段同安L 口路圖案上,由例如圖案資料t讀出 二:人子?所偏向之第1方向平行的圖案邊緣;以及與 1方向王直角的第2方向平行的圖案邊緣的存在位 接著,使用偏向器1209, 121〇及維納遽波器ΐ2ιι, 藉由-次電子線,在上述第2方向上掃描與上述第ι方向 平行的圖案邊緣,而由二次電子線檢出部i2i5取出表示所 釋出之二次電子線強度的電氣訊號,並測定該電氣訊號的 升幅(早位:微米)。同樣地,對於與上述第2方向平行的 圖案邊緣,也是利用偏向器⑽,121()及維納遽波器 1211 ’藉由一次電子線,在上述第】方向上掃描,而由二 次電子線檢出部1215取出表示所釋出之二次電子線強度 的電氣訊號’並測定該電氣訊號的升幅。該向操作,於每 次變更電壓± AVo的值時進行。如此,可求得第25圖之 (a)所示之表Α及Β。 上述之「電氣訊號升幅」係指,如第25之(1?)所示, 將電壓±Λ Vo固定在某一值的狀態下,而在第2方向上掃 描與第!方向平行的圖案邊緣時所測定之電氣訊號,盆最 大值由!2%變化為88%時所需之第2方向上的掃描距離(單 位:微米)。 本紙張尺度i®时關家鮮(CNS)A4規格⑵〇: 297公釐) 312766On any pattern on the surface of Tongan L mouth, read from the pattern data t. The edge of the pattern parallel to the first direction deviated; and the position of the edge of the pattern parallel to the second direction of the right angle of the first direction. Next, the deflectors 1209, 121〇 and the Wiener waver 2m were used. Scan the pattern edge parallel to the first direction in the second direction, and the secondary electron line detection unit i2i5 takes out the electrical signal indicating the strength of the released secondary electron line, and measures the electrical signal. Increase (early: micron). Similarly, for the edges of the pattern parallel to the second direction, the deflectors ⑽, 121 () and Wiener waver 1211 ′ are used to scan in the above-mentioned direction by the primary electron beam, and the secondary electrons The wire detection unit 1215 takes out the electric signal 'indicating the strength of the released secondary electron wire and measures the increase of the electric signal. This direction operation is performed every time the value of the voltage ± Avo is changed. In this way, the tables A and B shown in (a) of FIG. 25 can be obtained. The above "electrical signal increase" means that, as shown in the 25th (1?), The voltage ± Λ Vo is fixed at a certain value, and the scanning in the second direction is the same as the first! The maximum value of the electrical signal measured when the pattern edges are parallel to each other! Scanning distance in 2nd direction (unit: micrometer) required when 2% changes to 88%. Size i® of this paper is Guan Jiaxian (CNS) A4 (⑵: 297 mm) 312766

五、發明說明(93) 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 第25圖的(a)中,表A顯示電壓AVo為一AVoa), 、、最】值亦即其升幅最高。同樣的’表B顯示電壓△ v〇 為+ △ Vo(y),係最小值,亦即其升幅最高。因此,對物透 繞1212的焦點條件,亦即施加在上側電極及下側電極的電 窆土 AVo的值,最好設定為{一 △ Vo(x)+ △ Vo(y)}/2。 貝際上’電壓土 AVo只會在〇至土 2〇瓦特之間變化, 因此可以10微米秒之高速進行對物透鏡1212的整定,為 取侍第25圖(a)中的表A及表B,只需要15〇微米秒。 此外,在測定曲線A,B時,無須進行如此多種的測 定,可如第25圖之所示一般,將±Δν〇的值,最好設 定為一AVod),+Λν〇(2),+Λν〇(3),以測定升幅,並 以雙曲線近似而求出表Α,Β,或求出升起之最小值+ △ V〇(y),一AVcKx)。在該情況下,可以45微米秒程度進行 測定。 第25圖的(a)的a,B顯示雙曲線之形狀。將升幅設 為p(mm),對物透鏡電壓士 Αν。設為q(瓦特)時,曲線a, B係以a,b,c做為定數而表示為 (p2 / a2) - (q - c) 2 / b2 = 1 而將3個q值qi,及與其相對應的p值η, P3代入上式後,可獲得下列3個公式。 (Pi2 / a2) - (qi - c) 2 / b2 = 1 (ι) (p22 / a2) - (q2 - c) 2 / b2 = 1 (2) (P32 / a2) - (q3 - c) 2 / b2 = 1 (3) 由上述公式(1)至(3)算出a,b及c值,當q%時,為 本紙張尺度綱中關家鮮(CNS)A4規格(210x 297公爱) 312766 Μ--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 五、發明說明(94) 最小值。亦即,可以3個诱 ,。⑴,同樣地,:可 如第25圖的(a)的表A, ^ FI ^ ^ ^ ^ β所不,一般在第1方向掃 抱圖案邊緣時,與在篦 向掃描時,升幅會有所差異。 此時,必須進行非點補正, 工、头地 例如調整施加於8極的非點補 正透鏡1205的電壓,使之為 " ^ ^ _ 在第1方向及與其呈直角的第2 方向上進行圖案邊緣掃描時," ^ 自一乂電子線檢出部1215 的龟氣訊號的升幅可變得更小。 如前述一般,盥雷早鍤德 ,、冤子線知撝·檢測系1220具相同構 成的電子線掃描•檢測/、稍U構 拾…”Λ、, (無圖不),係以與電子線掃描· ‘測系1220亚列的形式,被配 ΊΊ1Λ U αα Β η 置成彼此間的距離為試料 1214上的日日圓規袼的整數倍 ^ …離而在各個電子線掃 描•檢測不中,必須進行焦點對 a un 1214 tM ^ 使一-人電子線能夠在 式科i 2 14上對焦,因該種交 乂电枚準可在約略同一時間進 行,故通過預計時間,為極小值。 于 因該種方法,係以電子光學式裝置,而非光學式 感測器來求取對焦條件,因此具有在試料帶電的狀態下, 依然可求取對焦條件的優點。 依照上述說明進行電子線掃 A ^ 檢出系的焦點對声 後,移至進行試料1214評價的程序。 … 错由將應用本發明 第6實施形態的缺陷檢杳裝置, 饿一衣直和用於參照第3圖以及裳 4圖的(a),(b)所說明的裝置製造 σ 広r的檢查工程(G), 即使疋具有微細圖案的半導體裝置,亦能以良好良 率進行檢查,故可做全數檢查,提 j____ 辰叩良率,並防止缺 ^長尺度適用中國國格⑵0 x 297公髮7 、 94 312766 清 先 閱 讀 背 S 之 注 意 事 項 再 填 寫 本 頁 t 訂V. Description of the invention (93) Printed by the Consumer Affairs Cooperative of the Ministry of Economic Affairs and Intellectual Property Co., Ltd. In (a) of Figure 25, Table A shows that the voltage Avo is -AVoa), which is the highest increase. The same 'Table B shows that the voltage Δ v0 is + Δ Vo (y), which is the minimum value, that is, the increase is the highest. Therefore, the focus condition of the object through 1212, that is, the value of the electric soil Avo applied to the upper electrode and the lower electrode, is preferably set to {−Δ Vo (x) + Δ Vo (y)} / 2. Beiji Shang 'voltage soil Avo can only change between 0 and 20 watts, so the setting of the objective lens 1212 can be performed at a high speed of 10 microseconds. For the sake of taking Table A and Table in Figure 25 (a) B, it only takes 15 micron seconds. In addition, when measuring the curves A and B, it is not necessary to perform so many kinds of measurements. As shown in FIG. 25, the value of ± Δν〇 is preferably set to -AVod), + Λν〇 (2), + Δν〇 (3), to determine the increase, and use the hyperbolic approximation to find the table A, B, or the minimum value of the rise + ΔV0 (y), -AVcKx). In this case, the measurement can be performed at about 45 microseconds. A and B of FIG. 25 (a) show the shape of a hyperbola. Set the increase to p (mm) and apply the voltage to the objective lens Δν. When set to q (watts), the curves a and B are expressed as (p2 / a2)-(q-c) 2 / b2 with a, b, and c as constants, and three q values qi, And the corresponding p-value η and P3 are substituted into the above formula to obtain the following three formulas. (Pi2 / a2)-(qi-c) 2 / b2 = 1 (ι) (p22 / a2)-(q2-c) 2 / b2 = 1 (2) (P32 / a2)-(q3-c) 2 / b2 = 1 (3) Calculate a, b, and c values from the above formulas (1) to (3). When q%, it is the Guan Jiaxian (CNS) A4 specification (210x 297) 312766 Μ -------- Order --------- line (please read the notes on the back before filling this page) V. Description of the invention (94) The minimum value. That is, you can seduce three. ⑴, Similarly, as shown in Table A of (a) in Figure 25, ^ FI ^ ^ ^ ^ β, generally, when the pattern edge is swept in the first direction, and when scanned in the direction, the increase will be The difference. At this time, non-point correction must be performed. For example, the voltage applied to the 8-point non-point correction lens 1205 can be adjusted manually so that it is " ^ ^ _ in the first direction and the second direction at right angles to it. When the pattern edge is scanned, the "^" increase of the turtle gas signal from the electronic wire detection section 1215 can be made smaller. As mentioned above, the Lei Zaihao Dede, and the 1220 electronic line scanning and detection system have the same structure of electronic line scanning and detection /, a little U structure ... ”Λ, (without picture), and the electronic Line scan · The form of the 1220 sub-column of the test system is equipped with Λ1Λ U αα Β η so that the distance between each other is an integer multiple of the Japanese yen rule on the sample 1214 ^ ... It is necessary to perform a focus pair a un 1214 tM ^ to enable the one-person electronic wire to focus on the Shike i 2 14 because this type of electrical transmission can be performed at about the same time, so the estimated time is a minimum value. Because this method uses an electro-optical device instead of an optical sensor to determine the focusing conditions, it has the advantage that the focusing conditions can still be obtained when the sample is charged. Follow the instructions above for the electronic wire After scanning the focus of the detection system, move to the program for evaluation of sample 1214.… The defect detection device of the sixth embodiment of the present invention will be applied for the wrong reason. Refer to Figure 3 and As shown in (a) and (b) of Figure 4 The inspection process (G) of σ 広 r for the device manufacturing, even semiconductor devices with fine patterns can be inspected with a good yield. Therefore, all inspections can be done to increase the yield of j____ and prevent the lack of long dimensions. Applicable to Chinese national standard ⑵0 x 297 public hair 7 、 94 312766 Please read the precautions of the back S before filling in this page

I 539845 A7 五、發明說明(95 陷製品的出貨。關於此點,因沿用與第3圖以及第4圖的 (a),(b)相關之說明,故在此省略其說明。 與制振裝置相關的實施形態(第7實施形態) 本發明之第7實施形態,係關於藉由對物質的目標位 置照射電子線’進行該物質的加工,製造,觀測及檢查之 至少一項的程序的電子線裝置,更詳細而言,係關於一種 半導體製造製程,該製程具備有以下工程:即進行利用減 少電子線定位機械構造體所產生之不必要的機械振動的電 子線裝置,其制振方法,以及使用該裝置之半導體裝置的 加工,製造’觀測及檢查之至少一項的程序。 一般而言,使用電子線觀測物質之細微構造的方法 上,有檢查形成於晶圓上的圖案缺陷的檢查裝置,或掃扩 型電子線顯微鏡(SEM)等,但是因觀測分解能為心至數田 + ,因此必須充分削除來自外部的振動以進行觀測。此 夕卜,使用電子線進行曝光的裝置,為偏向電子線1正_ 照射到目標位置,而使用可充分削除來自外部振動的除振 裝置,同時,為了盡量減少鏡筒部分的 |刀的構造所產生的機械 式共振所引起的晃動,必須提昇剛性。. 馮棱幵構造體的剛 性,因有利用電子光學系的物理規袼制約,故不易達成,、 型化剛性的提昇,因此多藉由鏡筒部分 小 |刀的厚度化,大型化, 以達成剛性提昇的目的,但是利用該種方式的剛性提昇 "裝置重量化’形狀限制,除振台大型化等設計上的自 由度的拘束,及經濟面上的種種不利。 本發明之第7實施形態,有鑑於上 |____ 上迷事項,乃提供一 本紙張尺度適用中國國家標準(CNS)A4規格⑵G x 297公餐了 各 3127^ 頁 訂 線 539845 五、發明說明(w) 經濟部智慧財產局員工消費合作社印製I 539845 A7 V. Description of the invention (Shipping of 95 trapped products. As for this point, the descriptions related to (a) and (b) in Figure 3 and Figure 4 are used, so the description is omitted here.) Embodiment related to a vibration device (seventh embodiment) A seventh embodiment of the present invention relates to a procedure for performing at least one of processing, manufacturing, observation, and inspection of a substance by irradiating an electron beam at a target position of the substance. The electronic wire device in more detail relates to a semiconductor manufacturing process that includes the following processes: an electronic wire device that utilizes an electronic wire device that reduces unnecessary mechanical vibrations generated by an electronic wire positioning mechanical structure, and its vibration control A method and a process for manufacturing a semiconductor device using the device, and at least one of a process of observation and inspection. Generally, a method of observing a fine structure of a substance using an electron beam includes inspecting a pattern defect formed on a wafer. Inspection equipment, or scanning electron microscope (SEM), etc., but the observation can be resolved to the heart to Shuta +, so the vibration from the outside must be fully removed Observe. In addition, the device that uses the electron beam for exposure is biased towards the electron beam 1 and irradiates to the target position, and a vibration isolation device that can sufficiently remove external vibrations is used. At the same time, in order to minimize the lens barrel part | The sloshing caused by the mechanical resonance caused by the structure of the knife must be improved in rigidity. The rigidity of the Feng Lingyao structure is difficult to achieve due to the physical constraints of the electronic optics system. Therefore, the lens barrel part is small | the thickness of the knife is increased, the size is increased to achieve the purpose of increasing rigidity, but the use of this way to increase the rigidity of the "weight of the device" shape restrictions, the size of the vibration isolation table and other design Constraints on freedom and various economic disadvantages. In the seventh embodiment of the present invention, in view of the matters above | ____, we provide a paper size applicable to the Chinese National Standard (CNS) A4 specification ⑵G x 297 public meal Each 3127 ^ page order line 539845 V. Description of invention (w) Printed by the Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs

250 #m的像之外,也在開口板13〇7上形成電子線源i3〇u 的交迭像以符合克勒照明條件。籍由對物透鏡13〇8在晶圓 1 3 05上形成例如100 # m χ 5〇 # m的像,並照射該區域。 晶圓1305,被配置在無圖示之可排氣成真空狀態的試 料室内,且被配置在可於χ— γ水平面内移動的工作台 13 04上。在此,將Α區塊,Β區塊,與χγζ正交座標系 的關係顯示於第27(a)圖。χ—γ水平面上有晶圓面,冗軸 則與攝像投影光學系的光軸呈略平行狀。藉由工作台13〇4 在載置晶圓1305的狀態下,於χ—γ水平面内移動,而 得以藉由一次電子線依序掃描晶圓13〇5的檢查面。而工作 台13 04係被载置在固定台133〇上。 被配置在Α區塊上部的攝像投影光學系,具備有··中 間靜電透鏡1309;投影靜電透鏡1311 ;及配置於該些透鏡 中間的孔闌1310。藉由一次電子線之照射,而由晶圓13〇5 釋出的二次電子線,反射電子線及散射電子線,係藉由該 攝像投影光學系以一定倍率(例如2〇〇至3 〇〇)進行擴大投 影’並成像於後述的多通道板1321下面。 被配置在A區塊最上部的攝像裝置,具備有:多通道 板1321 ’螢光幕1322;中繼透鏡1323;攝像部1324。多 通道板1321,其板内具備多數通道,當藉由靜電透鏡ι3〇9 及1311成像的二次電子線通過該通道内時,將產生更多的 電子線。亦即,可放大二次電子線。螢光幕1 322,則藉由 照射被放大的二次電子線,而發出可配合二次電子線強度 的螢光。亦即,二次電子線的強度被變換為光的強度。中 539845 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(98 ) 繼透鏡1323被配置成可將該螢光導入攝像部1324的形 式。攝像部1324,係由多數的CCD攝像元件所構成,該 CCD攝像元件係用以將中繼透鏡1323所引導的光變換為 電氣訊號。為提昇檢出訊號的S/N比,最好使用所謂的 TDI(Time Delay Integral)檢出器。此外,藉由一次電子線 的照射,除二次電子線之外,還會產生散射電子線與反射 電子線,但在此則統稱為二次電子線。 另一方面,由A區塊及與此連結的B區塊的機械構造 體所形成的鏡筒1346, 一般,具有一個或一個以上的固有 振動模式。 各固有振動模式的共振頻率及共振方向,係由形狀, 質量分布,大小,内部的機械的配置形態等決定。例如, 如第27圖所示’鏡筒1346,至少具有一個固有振動1388 的模式1。該模式1中,鏡筒1346,會沿著略γ方向,以 150Hz的頻率搖動。該情況下的鏡筒的傳遞函數的一例顯 不於第29圖。在第29圖中,橫軸為頻率,縱轴為振動振 幅A的對數。根據該傳達函數,共振頻率1 5〇Hz中有共振 倍率30dB(約30倍)的增益。因此,即使由外部加入微少 振動’只要該振動中含有15〇Hz附近的頻率成分,該頻率 成分在本例中係被放大約3〇倍以振動鏡筒。其結果將導致 攝像模糊等有害現象產生 先前的技術’為防止該現象產生,係將鏡筒全體放置 於除振台上’以削除來自外部的振動,以及/或重新審視鏡 筒的厚度與構造’進行降低共振倍率等大規模的處理對 度州則_ (⑽Α4^^χ 297公爱) ir--------- (請先閱讀背面之注意事頊存填寫本頁) 312766 98 .1 .1 經濟部智慧財產局員工消費合作社印製 539845 A7 ^_ β7 • ^— . 五、發明說明(99) 策。 本發明之第7實施形態,為避免該問題,如第27(c) 圖所示一般,在A區塊的基部設置致動器1325,可對鏡筒 施加壓力振動1390,以打消振動1388。該致動器1325, 如第3圖所示,係以電性方式連接在減振電路丨32 7。 致動器1325及減振電路1327的概略構成係顯示於第 28圖。如同圖所示,致動器1325,具有:由具有壓電效果 的誘電體1351夹放於電極1352&及n52b之間而形成的壓 電元件1350;為了由電極135 2b側支撐該壓電元件1350 而被固定在固定台1330上的支撐台1354。壓電元件1350, 被放置於鏡简1346的A區塊與支撐台1354之間,電極 1352a’被連接在A區塊的外壁上,而電極1352b則被連 接在支撐台13 54上。藉此,壓電元件135〇,可藉由來回 振動13 88,在鏡筒1346朝前而來時接收正的壓力,而在 鏡筒13 46遠去時接收負的壓力。壓電元件135〇,被設置 在有效的位置上,以抑制鏡筒1346的振動1388。例如, 振動1388的方向,最好被配置成與電極1352&及1352b 正父的形式。檢振電路13 27,係由:以直列方式被連接在 壓電元件1350的兩電極1352a,1352b之間的可變電感 1358以及電阻13 56所構成。因可變電感1358具有電感[, 電阻1356具有電阻值rd,壓電元件135〇具有電氣容量c, 故被直列連接的壓電元件1350及減振電路1327,將與參 照號碼1360所示的直列共振回路形成等價。該直列共振回 路的共振頻率fQ’係以 本紙張尺度適用中國國家標準(CNS)A4規格⑵G χ 297公g 312766 ^---------^ (請先閱讀背面之注意事項再填寫本頁) 539845 五、發明說明(1〇1 ) 存來自攝像部1324的電氣訊號,亦即晶圓13〇5的二次電 子線圖像的數位圖像資料。此外,硬碟上,則存在有基準 圖像記憶部1313,·可預先儲存無缺陷之晶圓的基準圖像資 料。此外,在硬碟上,還儲存有控制電子線檢查裝置全體 的控制程式;及缺陷檢出程式1319。該缺陷檢出程式 1319,除了可控制工作台13〇4的χγ平面内的移動之外, 還具有:在該期間内,對來自攝像部1324的數位圖像資料 進行加算等演算處理,並根據該結果所得之資料在記憶區 域13 2 0上重新構成二次電子線圖像的功能。而該缺陷檢出 程式1319,讀取形成於記憶區域132〇上的二次電子線圖 訂 像資料,並根據該圖像資料,依照規定之演算功能自動地 檢出晶圓1305的缺陷。 經 濟 部 智 慧 財 產 局 員 工 消 費 合 社 印 製 出一次電子線,透過長方形開口 13〇2a,4極子透鏡 13 02b,E X B偏向器1306及對物透鏡丨308,照射經安裝 之晶圓1305表面。如上所述,在晶圓13〇5上,例如 // m X 5 0 // m的被檢查區域受到照明而釋出二次電子線。 該二次電子線,藉由中間靜電透鏡13〇9及投影靜電透鏡 1311於多通道板1321下面被擴大投影,並藉由攝像部1324 攝像,而獲得晶圓1305上的投影區域的二次電子線圖像。 驅動工作台1304將晶圓1 305依照規定寬幅逐次在χ〜γ 水平面内移動,在藉由進行上述步驟,即可獲得檢查面全 體的圖像。 Χ 在對經擴大的二次電子線圖像進行攝像時,若對鏡筒 1346施加含有共振頻率f〇(]50Hz)的振動成分的外力,則 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐)~~~'^ 312766 經濟部智慧財產局員工消費合作社印製 539845 A7 --—_一1 —— B7__ 五、發明說明(1〇2) 鏡筒1346,將藉由該傳遞函數所設定之共振倍率(3〇d⑴放 大振動成分以進行固定振動。該振動1388,對壓電元件 1350施加正負壓力。壓電元件135〇,將鏡筒1346的振動 能源電換為電氣能源後輸出。在壓電元件工3 5〇的兩電極 1352a,1352b之間,因電感1358(L)及電阻1356(Rd)呈直 列連接以形成共振回路,因此,在共振頻率化中,壓電元 件1350的容量性電阻,與電感1358的電感性電阻L將被 抵銷,而共振回路的電阻,實際上僅變為電阻2〇56(Rd)。 因此,共振時,由壓電元件1350輸出的電氣能源, 幾乎全部被電阻1356(RD)所消耗。 如同抵銷由鏡筒1346對壓電元件1350施加的外力一 般’由壓電元件1350產生力,而將機械共振所產生的振動 13 88予以抵銷,並可降低共振倍率。因二次電子線被擴大 攝像,因振動而產生的影像晃動將變得更為劇烈,本實施 形態可防範因該種晃動所引起之影像模糊。 如第30圖所示,做為機械構造體的鏡筒1346的傳遞 函數1382(相當於第29圖)的共振成分,藉由具有電行頻率 特性的1384的值列共振回路1360的共振成分被抵銷,鏡 同1346’整體上則變為具有低共振倍率的總和傳遞函數 1386。 如上述一般,一旦獲得無影像模糊的良好二次電子線 圖像,本實施形態的電子線檢查裝置13〇1,便可進行由該 圖像檢出晶ΙΙΓ 13"05缺陷的處理。該缺陷檢查處理,可運用 所謂的圖案匹配法等。該方法,係在取得由基準圖像記憶 Γ --------訂--------- (請先閱讀背面之沒意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱i TO2 ΤΓ2756 539845 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(1〇3) 部1313所讀出的基準圖像’與實際檢出的二次電子線圖像 的匹配後,演算表示兩者類似度的距離值。該距離值較所 定閾值為小時’則判斷為類似度高且「無缺陷」。相對的, 該距離值超過所定閾料,❹J斷為類似度低且「有缺 陷」。判定為有缺陷時,可對操作者顯示警告。此時',亦可 在CRT1315的顯示部上顯示二次電子線圖冑i3i7。此外, 亦可在各二次電子線圖像的部分區域中使用圖案匹配法。 除圖案匹配法外’尚有如第31圖(a)至⑷所示之缺陷 檢查方法。第31圖(a),顯示第!個被檢出的晶圓的圖像 1331及第2個被檢出的其他晶圓圖像1332。判斷第3個被 檢出的其他晶圓圖像與第丨個圖像1331相同或類似時,即 可判斷第2個晶圓圖像1332的1333的部分有缺陷,而得 以檢出缺陷部分。 第3 1 (b)中,顯示測定形成於晶圓上的圖案的線寬的 例子。於1335方向掃描晶圓上的實際圖案1334時的實際 二次電子線的強度訊號為13 36,該訊號可將連續超過預先 校正設定的閾電平1337的部分的寬! 338,視為圖案丨334 的線寬測定。當該種測定線寬未在規定範圍内時,則判斷 該圖案具有缺陷。In addition to the 250 #m image, an overlapping image of the electron beam source i30u is also formed on the opening plate 1307 to meet the Keller lighting conditions. An image of, for example, 100 # m x 50 # m is formed on the wafer 305 by the objective lens 1308, and the area is illuminated. The wafer 1305 is arranged in a sample chamber which can be evacuated to a vacuum state (not shown), and is arranged on a table 13 04 which can be moved in the χ-γ horizontal plane. Here, the relationship between the A block, the B block, and the χγζ orthogonal coordinate system is shown in Fig. 27 (a). The χ-γ plane has a wafer surface, and the redundant axis is slightly parallel to the optical axis of the camera projection optical system. By moving the table 1304 in the χ-γ horizontal plane while the wafer 1305 is mounted, the inspection surface of the wafer 1305 can be sequentially scanned by an electronic line. On the other hand, the work table 13 04 is placed on the fixed table 1330. The imaging projection optical system disposed on the upper part of the A block includes an intermediate electrostatic lens 1309, a projection electrostatic lens 1311, and an aperture 1310 disposed between the lenses. By the irradiation of the primary electron beam, the secondary electron beam, the reflected electron beam and the scattered electron beam released from the wafer 1305 are at a certain magnification (for example, 2000 to 300) by the camera projection optical system. O) Enlarged projection is performed and imaged under the multi-channel plate 1321 described later. The imaging device disposed at the uppermost part of the A block includes a multi-channel board 1321 'a screen 1322, a relay lens 1323, and an imaging section 1324. The multi-channel plate 1321 has a large number of channels in the plate. When the secondary electron lines imaged through the electrostatic lenses 301 and 1311 pass through the channels, more electron lines will be generated. That is, the secondary electron beam can be enlarged. The fluorescent screen 1 322 emits fluorescent light that can match the intensity of the secondary electron rays by irradiating the amplified secondary electron rays. That is, the intensity of the secondary electron beam is converted into the intensity of light. Medium 539845 Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 V. Description of the Invention (98) The relay lens 1323 is configured to direct the fluorescent light to the camera 1324. The imaging unit 1324 is composed of a plurality of CCD imaging elements that convert light guided by the relay lens 1323 into electrical signals. To improve the S / N ratio of the detected signal, it is best to use a so-called TDI (Time Delay Integral) detector. In addition, with the irradiation of the primary electron beam, in addition to the secondary electron beam, scattered electron beams and reflected electron beams are generated, but are collectively referred to herein as secondary electron beams. On the other hand, the lens barrel 1346 formed by the mechanical structures of the A block and the B block connected thereto generally has one or more natural vibration modes. The resonance frequency and resonance direction of each natural vibration mode are determined by the shape, mass distribution, size, and configuration of the internal machinery. For example, as shown in Fig. 27 ', the lens barrel 1346 has at least one mode 1 of natural vibration 1388. In this mode 1, the lens barrel 1346 will shake at a frequency of 150 Hz along the slightly γ direction. An example of the transfer function of the lens barrel in this case is not shown in FIG. In Fig. 29, the horizontal axis is the frequency and the vertical axis is the logarithm of the vibration amplitude A. According to this transfer function, a resonance frequency of 150 Hz has a gain of 30 dB (approximately 30 times). Therefore, even if a small amount of vibration is added from the outside, as long as the vibration contains a frequency component near 15 Hz, the frequency component is amplified about 30 times in this example to vibrate the lens barrel. As a result, harmful phenomena such as blurring of the camera will result in the previous technology. "To prevent this phenomenon, the entire lens barrel is placed on a vibration isolator" to remove external vibrations and / or re-examine the thickness and structure of the lens barrel. 'Perform large-scale processing such as reducing the resonance magnification. For Duzhou _ (⑽Α4 ^^ χ 297 公 爱) ir --------- (Please read the precautions on the back first and save this page to fill out this page) 312766 98 .1 .1 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 539845 A7 ^ _ β7 • ^ —. V. Description of Invention (99) Policy. In order to avoid this problem, in the seventh embodiment of the present invention, as shown in FIG. 27 (c), an actuator 1325 is provided at the base of the A block, which can apply pressure and vibration 1390 to the lens barrel to suppress vibration 1388. The actuator 1325 is electrically connected to the damping circuit 321 as shown in FIG. 3. The schematic configuration of the actuator 1325 and the vibration damping circuit 1327 is shown in FIG. 28. As shown in the figure, the actuator 1325 includes: a piezoelectric element 1350 formed by an electromotive element 1351 having a piezoelectric effect sandwiched between electrodes 1352 & and n52b; and in order to support the piezoelectric element by the electrode 135 2b side 1350 and a support base 1354 fixed on a fixed base 1330. The piezoelectric element 1350 is placed between the A block of the mirror 1346 and the support base 1354. The electrode 1352a 'is connected to the outer wall of the A block, and the electrode 1352b is connected to the support base 13 54. With this, the piezoelectric element 1350 can receive positive pressure when the lens barrel 1346 comes forward by vibrating 13 88 back and forth, and receive negative pressure when the lens barrel 13 46 goes away. The piezoelectric element 135 is set at an effective position to suppress the vibration 1388 of the lens barrel 1346. For example, the direction of the vibration 1388 is preferably arranged in the form of a positive father to the electrodes 1352 & and 1352b. The vibration detection circuit 13 27 is composed of a variable inductance 1358 and a resistance 13 56 connected in parallel between the two electrodes 1352a and 1352b of the piezoelectric element 1350. Since the variable inductor 1358 has an inductance [, the resistor 1356 has a resistance value rd, and the piezoelectric element 1350 has an electrical capacity c, the piezoelectric element 1350 and the vibration damping circuit 1327 connected in series will be the same as those shown in reference number 1360. The in-line resonance circuit is equivalent. The resonance frequency fQ 'of the in-line resonance circuit is in accordance with the Chinese national standard (CNS) A4 specification ⑵G χ 297g g 312766 at this paper scale ^ --------- ^ (Please read the notes on the back before filling (This page) 539845 V. Description of the invention (101) The digital image data of the electrical signal from the camera section 1324, which is the secondary electron line image of the wafer 1305, is stored. In addition, on the hard disk, there is a reference image memory 1313, which can store reference image data of a non-defective wafer in advance. In addition, on the hard disk, a control program for controlling the entire electronic wire inspection device and a defect detection program 1319 are stored. In addition to controlling the movement in the χγ plane of the table 130, this defect detection program 1319 also has calculation processing such as addition of digital image data from the imaging unit 1324 during this period, and is based on The data obtained from this result reconstructs the function of the secondary electron beam image on the memory area 13 2 0. The defect detection program 1319 reads the secondary electron beam image formation data formed on the memory area 1320, and automatically detects defects on the wafer 1305 based on the image data according to a predetermined calculation function. Employees of the Intellectual Property Office of the Ministry of Economic Affairs printed a primary electronic wire through a rectangular opening 1302a, a 4-pole lens 13 02b, an E X B deflector 1306 and an objective lens 308, and irradiated the surface of the mounted wafer 1305. As described above, on the wafer 1305, the inspected area such as // m X 5 0 // m is illuminated to release secondary electron lines. This secondary electron line is enlarged and projected below the multi-channel plate 1321 by the intermediate electrostatic lens 1309 and the projection electrostatic lens 1311, and the image is captured by the imaging unit 1324 to obtain the secondary electrons in the projection area on the wafer 1305. Line image. The driving table 1304 sequentially moves the wafer 1 305 in the horizontal plane of χ to γ according to a predetermined width. By performing the above steps, an entire image of the inspection surface can be obtained. Χ When imaging the enlarged secondary electron line image, if an external force containing a vibration component with a resonance frequency f0 (] 50Hz) is applied to the lens barrel 1346, the Chinese paper standard (CNS) A4 is applied to this paper (210 x 297 mm) ~~~ '^ 312766 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 539845 A7 ---_- 1 1 ---- B7__ 5. Description of the invention (102) The lens barrel 1346 will be used The resonance magnification set by the transfer function (30d⑴ magnifies the vibration component to perform fixed vibration. The vibration 1388 applies positive and negative pressure to the piezoelectric element 1350. The piezoelectric element 1350 replaces the vibration energy of the lens barrel 1346 with electricity After the energy is output, between the two electrodes 1352a and 1352b of the piezoelectric element 50, the inductance 1358 (L) and the resistance 1356 (Rd) are connected in line to form a resonance circuit. Therefore, in the resonance frequency, the voltage The capacitive resistance of the electrical element 1350 and the inductive resistance L of the inductor 1358 will be cancelled, and the resistance of the resonance circuit will actually only become the resistance 2056 (Rd). Therefore, at resonance, the piezoelectric element 1350 The output electrical energy is almost entirely resistive1 Consumed by 356 (RD). As the external force applied to the piezoelectric element 1350 by the lens barrel 1346 is generally 'generated by the piezoelectric element 1350, and the vibration 13 88 generated by mechanical resonance is cancelled, and the resonance can be reduced. Magnification. As the secondary electron beam is enlarged and imaged, the image shake due to vibration will become more severe. This embodiment can prevent image blur caused by this kind of shake. As shown in Figure 30, it is used as a machine The resonance component of the transfer function 1382 (equivalent to FIG. 29) of the lens barrel 1346 of the structural body is cancelled by the resonance component of the resonance circuit 1360 having a value of 1384 in the electric line frequency characteristic. It becomes a total transfer function 1386 with a low resonance magnification. As described above, once a good secondary electron line image without image blur is obtained, the electron line inspection device 1301 of this embodiment can perform inspection from this image. The processing of the defect of the crystal IIII 13 " 05. The defect inspection process can use the so-called pattern matching method. This method is based on obtaining the reference image memory Γ -------- order ----- ---- (Please read the back first Please fill in this page if you have no intentions.) This paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 Public Love i TO2 ΤΓ 2756 539845. Printed by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economy. 3) After the reference image read by the unit 1313 matches the actually detected secondary electron beam image, it calculates a distance value indicating the similarity between the two. If the distance value is smaller than the predetermined threshold value, it is determined to be similar. High degree and "no defects". In contrast, if the distance exceeds the predetermined threshold, 断 J indicates that the similarity is low and there are “defects”. If it is determined to be defective, a warning can be displayed to the operator. At this time, the secondary electron beam diagram 胄 i3i7 can also be displayed on the display section of the CRT1315. In addition, a pattern matching method may be used in a partial region of each secondary electron beam image. In addition to the pattern matching method, there are defect inspection methods as shown in Figs. 31 (a) to ⑷. Figure 31 (a) shows the first! An image 1331 of the detected wafers and an image 1332 of the other wafers detected. When it is judged that the third wafer image detected is the same as or similar to the first image 1331, it can be judged that the 1333 portion of the second wafer image 1332 is defective, and a defective portion can be detected. Example 31 (b) shows an example of measuring the line width of a pattern formed on a wafer. The actual secondary electron line intensity signal when scanning the actual pattern 1334 on the wafer in the direction of 1335 is 13 36. This signal can continuously widen the portion that exceeds the preset threshold level 1337 continuously! 338, regarded as the line width measurement of the pattern 334. When the measured line width is not within the specified range, the pattern is judged to be defective.

第3 1 (c)中’顯示測定形成於晶圓上的圖案的電位對比 的例子。在第2 6圖所示構成中,係在晶圓丨3 〇 5上方設置 軸對稱的電極1339,可對例如晶圓電位〇v供給-1〇v的電 位。此時的-2V的等電位面形成如1340所示之形狀。在此, 形成於晶圓上的圖案1341及1342,分別設定為-4V與0V ^ ^---------線 (請先閱讀背面之注*事項再填寫本頁) 本紙張尺度適用帽國家標準(CNS)A4义格(210 X 297公¥ 103 312766 539845 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(1〇4) 的電位。此時,由圖案1341放出的二次電子線,於_2v等 電位面1340上,因具有相當於2eV的運動能源的向上速 度’因此可超越該電位障壁1340,如顯示於執道1343 — 般由電極1339脫出,並由檢出器檢出。另一方面,自圖案 1342釋出的二次電子線,因無法超越-2V的電位障壁,而 如執道1344所不,將被逐回晶圓面上,故無法被檢出。因 此,圖案1341的檢測圖像將變得明亮,而圖案1342的檢 出圖像將變為灰暗。因此可獲得電位對比。預先較正檢出 圖像的明亮度與電位,及可由檢出圖像測定圖案電位。同 時可由該電位分佈,評價圖案的缺陷部分。 如上述說明,藉由對運用本發明之第7實施形態而獲 得之無影像模糊之良妤二次電子線圖像,進行上述各種測 定’可實現具更高精度的缺陷檢查。 將上述做為本發明之第7實施形態的電子線檢查裝 置’運用在使用第3圖及第4圖(a),(b)而說明過的裝置製 造方法中的晶圓檢查工程(G)時,可防止因機械構造體之振 動而引起的檢出圖像的劣化,故可達到高效率且高精度的 檢查’同時可防止缺陷製品的出貨。關於此點,因沿用與 第3圖及第4圖(a),(b)相關之說明,故在此省略其說明。 此外’本發明之第7實施形態,並不限定於以上所說 明之形態,可在本發明主旨的範圍内進行任意適度的變 更。例如,機械式共振頻率與模式,並不限於一個,通常 會產生複數個,此時,可藉由在鏡筒的各重要位置設置必 要數量而加以對應。例如,第27圖(b)所示的機械構造體 n —J n n n n ·ϋ ϋ n u * n n n n ϋ ϋ 一 _ «ϋ ·ϋ n 1 n 1« n V · (請先閱讀背面之注意事項再填寫本頁)In the 31st (c), an example of measuring the potential contrast of a pattern formed on a wafer is shown. In the structure shown in FIG. 26, an axis-symmetric electrode 1339 is provided above the wafer 305, and the potential of the wafer potential OV can be supplied to -10 volts, for example. At this time, the -2V equipotential surface is shaped as shown in 1340. Here, the patterns 1341 and 1342 formed on the wafer are set to -4V and 0V, respectively. ^ ^ --------- line (please read the note on the back before filling this page) Applicable to the national cap (CNS) A4 Yige (210 X 297 Kg ¥ 103 312766 539845 Employees' Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs printed A7 V. Potential of invention description (104). At this time, the The secondary electron beam, on the _2v equipotential surface 1340, has an upward velocity equivalent to 2eV of the motion energy source, so it can surpass the potential barrier 1340, as shown in the execution channel 1343, and is released by the electrode 1339, and Detected by the detector. On the other hand, the secondary electron line released from the pattern 1342 cannot be exceeded by the potential barrier of -2V, and if it does not follow the path 1344, it will be driven back to the wafer surface, so it cannot be Detection. Therefore, the detection image of pattern 1341 will become bright, and the detection image of pattern 1342 will become dark. Therefore, a potential contrast can be obtained. The brightness and potential of the detected image can be corrected in advance, and can be detected. The image is used to measure the pattern potential. At the same time, the potential distribution can be used to evaluate the pattern. Defect section. As described above, by performing the above-mentioned various measurements on a good electron secondary electron line image with no image blur obtained using the seventh embodiment of the present invention, a more accurate defect inspection can be achieved. The above-mentioned electronic wire inspection apparatus according to the seventh embodiment of the present invention is used when the wafer inspection process (G) is used in the apparatus manufacturing method described using FIGS. 3 and 4 (a) and (b). It can prevent the deterioration of the detected image due to the vibration of the mechanical structure, so it can achieve high-efficiency and high-precision inspection, and prevent the shipment of defective products. In this regard, it is used in accordance with Figure 3 and 4 (a) and 4 (b), the description is omitted here. In addition, the "seventh embodiment of the present invention is not limited to the form described above, and can be performed within the scope of the gist of the present invention. Any appropriate change. For example, the mechanical resonance frequency and mode are not limited to one, and usually there are multiple. At this time, you can respond by setting the necessary number at each important position of the lens barrel. For example, Figure 27 (B) Mechanical structure of the illustrated n -J n n n n · ϋ ϋ n u * n n n n ϋ ϋ a _ «ϋ · ϋ n 1 n 1« n V · (Read Notes on the back and then fill the page)

539845 五、發明說明(l〇5) 區塊A,同時具有γ方向振動1388與父方向振動時,可 設置另一致動器以抵銷X方向的振動。此外,當B區塊與 D區塊中有獨立的固定振動時,亦可在該些區塊中設置致 動器。 又 減振回路1327,無須與直列共振回路136〇等價,在 機械式固有振動具有複數的同一振動方向的共振頻率時, 可利用該回路的電性頻率特性具有複數的共振頻率的特性 而與之對抗。 致動器的設置位置,除鏡筒之外,亦可適用於正確地 將光束位置予以定位時所需要的裝置,例如,χ— γ台 1304,或各種光學器械的光學裝置。 雖在例中,以半導體晶圓1305做為第7實施形態的 電子線檢查裝置的被檢查試料,但被檢查試料並不限於半 導體晶圓,亦可任意選責任和可藉由電子線檢出缺陷的物 件。例如,亦可以形成晶圓曝光用圖案的遮罩做為該檢查 對象。 經濟部智慧財產局員工消費合作社印製 此外,第7實施形態,可適用於將光束照射在物質目 標位置的電子線應用裝置整體。此時,其適用範圍亦可擴 及到:除該物質之檢查外,還可進行其加工,製造及觀測 之之少一項處理的裝置。當然,此處所指物質之概念,除 晶圓或上述遮罩外,還包括能夠藉由光束,進行其檢查, 加工,製造與觀測之至少一項處理的任何對象物。同樣地, 裝置製造方法,除適用於半導體裝置的製造工程中的檢查 外,也適用於利用光束製造半導體裝置的製程本身。 本紙張尺度適用令國國家標準(CNS)A4規格(210x297公芨) 105 312766 立、發明說明(1〇7) :;圓二=Γ靜電夹盤,則會發生只能以夹住周邊 …、/夹住中央部的方式I.保持晶圓的問題。 可使實施形態’為解決上述問題點,乃提供 使用在減速電場對物透鏡,其侧面與内面由絕緣膜 二可朝夹盤側夹取,央凹陷的晶圓的靜電夹盤 :與靜電夹盤的組合物;同時,也提供一種裝置製造方法, 使用該種靜電夹盤或晶圓與靜電夹盤的組合物進行製程 途中的晶圓評價。 、,第32圖,係本發明之第8實施形態中的靜電夹盤Η⑺ 的平面圖,係在去除晶圓,顯現電極板l4i2後所觀測到的 圖。第33圖,係沿著第32圖的靜電夹盤的線μ_μ的垂 直方向的概略剖面圖,係顯示載置晶圓而未施加電壓的狀 匕、。靜電夾盤1410,如第33圖所示,具有··由基板14〇5; 電極板1412 ;絕緣層1404所形成的基層構造。電極板 1412,含有··第!電極14〇1及第2電極14〇2。第1電極 1401及第2電極1402相互隔開以個別施加電壓,係薄膜 經濟部智慧財產局員工消費合作社印製 形成,使其得以在磁場中,在不發生渦電流的情況下以高 速進行移動。 第1電極1401,由平面途中的圓形電極板1412的中 央部份以及周邊部份的一部份所形成,第2電極1402,係 甴電極板的剩餘的馬蹄形周邊部份所形成。電極板1412 上方配置有絕緣層1404。絕緣層1404,係由厚度為lnim 的藍寶石基板所形成。該藍寳石係氧化鋁之單結晶,因為 不具有氧化鋁陶瓷一般的小孔,故絕緣破壞電壓較大。例 本紙張尺度適用中國國家標準(CNS>A4規格(210x 297公芨) 107 312766 539845539845 V. Description of the invention (105) When block A has both vibration in the gamma direction 1388 and vibration in the parent direction, another actuator may be provided to offset the vibration in the X direction. In addition, when there are independent fixed vibrations in Blocks B and D, actuators can also be installed in these blocks. The vibration damping circuit 1327 does not need to be equivalent to the in-line resonance circuit 136. When the mechanical natural vibration has a plurality of resonance frequencies in the same vibration direction, the electrical frequency characteristics of the circuit can be used to match the resonance frequency characteristics of the circuit. Confrontation. In addition to the lens barrel, the position of the actuator can also be applied to the device required for correct positioning of the beam position, for example, χ-γ stage 1304, or optical devices of various optical instruments. Although in the example, the semiconductor wafer 1305 is used as the test sample of the electronic wire inspection device according to the seventh embodiment, the test sample is not limited to the semiconductor wafer, and the responsibility can be arbitrarily selected and can be detected by the electronic wire. Defective object. For example, a mask for forming a pattern for wafer exposure may be formed as the inspection target. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs In addition, the seventh embodiment can be applied to an entire electronic wire application device that irradiates a light beam at a target position of a substance. At this time, its scope of application can also be extended to: in addition to the inspection of the substance, it can also perform one of the processing, manufacturing and observation of the device. Of course, the concept of the substance referred to here includes, in addition to the wafer or the above-mentioned mask, any object capable of being inspected, processed, manufactured, and observed by a light beam. Similarly, the device manufacturing method is applicable not only to the inspection during the manufacturing process of the semiconductor device, but also to the manufacturing process of the semiconductor device using a light beam. This paper size is applicable to the national standard (CNS) A4 specification (210x297 cm) 105 312766 standing, invention description (107) :; circle two = Γ electrostatic chuck, it can only occur to clamp the surrounding ..., / Clamping of the central part I. Problem of holding wafers. In order to solve the above-mentioned problems, an electrostatic chuck for a decelerated electric field objective lens is provided. The side and the inner surface of the wafer are clamped toward the chuck side by an insulating film. At the same time, a method for manufacturing a device is also provided. The electrostatic chuck or the combination of a wafer and an electrostatic chuck is used for wafer evaluation during the manufacturing process. Fig. 32 is a plan view of the electrostatic chuck Η⑺ in the eighth embodiment of the present invention, and is a view observed after the wafer is removed and the electrode plate 14i2 is developed. Fig. 33 is a schematic cross-sectional view in the vertical direction along the line µ_µ of the electrostatic chuck of Fig. 32, and shows a state where a wafer is placed without a voltage applied. As shown in FIG. 33, the electrostatic chuck 1410 has a base layer structure formed of a substrate 1405, an electrode plate 1412, and an insulating layer 1404. The electrode plate 1412 contains ... The electrode 1401 and the second electrode 1402. The first electrode 1401 and the second electrode 1402 are separated from each other to individually apply voltage. They are printed and formed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economics and Film to enable them to move at high speed in a magnetic field without eddy currents. . The first electrode 1401 is formed by the central portion and the peripheral portion of the circular electrode plate 1412 on the plane, and the second electrode 1402 is formed by the remaining horseshoe-shaped peripheral portion of the electrode plate. An insulating layer 1404 is disposed above the electrode plate 1412. The insulating layer 1404 is formed of a sapphire substrate having a thickness of lnim. The single crystal of this sapphire-based alumina does not have the pores common to alumina ceramics, so the dielectric breakdown voltage is large. Example This paper size applies to Chinese national standard (CNS > A4 size (210x 297 cm) 107 312766 539845

五、發明說明(109 ) 經 濟 部 智 慧 財 產 局 員 工 消 費 合 社 印 制 力時,則各別對第j電極及第2電極施加-㈣。藉 此’可藉由電壓施加,避免對絕緣層2104施加過多的電 壓’而可防止絕緣體的破壞。 第35圖,係具備上述之靜電家盤的電子線裝置方塊 沾曰由電子線源1431釋出之電子線,可利用決定開口板(na〕 、陽極1432的開口來去除不必要的光束,並以聚透鏡㈣ ”對物透鏡U43縮小,並在成像於被施加以·似的晶圓 =的同時’藉由偏向器1438及1442在晶圓·上進 :*自曰曰圓1403釋出的二次電子線,藉由對物透鏡 "43聚集,再藉由Εχβ分離器1442向右偏向約%。,然 後以二次電子線檢出器144〇檢出,而取 :準:Γ圖所示電子線裝置中,符號為轴 子皁:/、’ 1434為非點補正器具,1436為開口板,1441 為屏蔽1444為電極。晶圓14〇3下方配置有第μ圖及第 34圖所說明之靜電夾盤。 藉由將本發明之第8實施形態,使用在第3圖及第4 圖⑷,(b)所說明之裝置製造方法的檢查卫程⑹,即 $有細微圖案的半導體晶圓’亦能以高良品製造率進行檢 :’不?可全數檢查,提昇製品良率’同時可防止缺陷製 品的出貨。關於此點,因沿用與第3圖及第4圖(a) 相關之說明,故在此省略其說明。 此外’在對靜電夾盤所施加的電壓的增大與減少的方 法並不限於第34圖⑷所示之電壓。例如,第34圖⑻ 所不之可進行指數函數性變化的電壓亦可。總之,口热 t _^^^___規格(21Q Χ 297 公髮 " /、 ^--------t---------^ (請先閱讀背面之注音心事項再填寫本頁) 1 no UM. -r -S- \ A7 B7V. Description of the invention (109) When the printing force of the Intellectual Property Office of the Ministry of Economic Affairs prints the force, apply -㈣ to the j-th electrode and the second electrode. This can prevent the insulator from being damaged by applying a voltage and preventing excessive voltage from being applied to the insulating layer 2104. Fig. 35 shows an electronic wire device provided with the above-mentioned electrostatic home disk. The electronic wire released from the electronic wire source 1431 can be used to determine the opening of the opening plate (na) and the anode 1432 to remove unnecessary light beams. The condensing lens ㈣ ”is used to shrink the objective lens U43, and at the same time as the image is applied to the wafer, the wafer is advanced by the deflectors 1438 and 1442: * Released from Yueyuan 1403 The secondary electron beams are collected by the objective lens " 43, and then biased to the right by about% by the χβ separator 1442. Then, they are detected by the secondary electron beam detector 1440, and taken as: quasi: Γ In the electronic wire device shown, the symbol is axon soap: /, '1434 is a non-point correction device, 1436 is an opening plate, 1441 is a shield 1444 as an electrode. Μ and 34 are arranged below the wafer 1403. The electrostatic chuck as described. By using the eighth embodiment of the present invention in Figs. 3 and 4 (i), the inspection procedure of the manufacturing method of the device described in (b), that is, $ "Semiconductor wafers" can also be inspected at high yields: "No? All inspections can be performed to improve the product. At the same time, it can prevent the shipment of defective products. In this regard, the descriptions related to Figures 3 and 4 (a) are used, so the description is omitted here. In addition, the voltage applied to the electrostatic chuck The method of increasing and decreasing is not limited to the voltage shown in Figure 3434. For example, the voltage which can be changed exponentially as shown in Figure 34⑻ is also possible. In short, the mouth heat t _ ^^^ ___ Specifications (21Q Χ 297 public " /, ^ -------- t --------- ^ (Please read the phonetic notes on the back before filling out this page) 1 no UM.- r -S- \ A7 B7

539845 五、發明說明(110) 可在時間内到彡所定電壓的電a,不論何種電壓均無妨。 惠載置用__^台相關之實施形態(笫—^態、 本發明之第9實施形態,係關於:對栽置於χγ台上 的試料照射電子線的裝置;利用該裝置之缺陷檢查裝置或 曝光襄置;以即使用該些裝置之裝置製造方法。 在藉由對半導體晶圓照射電子線,在試料表面上讓半 導體回路圖案等曝光或檢查形成於試料表面上的圖案的裝 置,或藉由照射電子線對試料進行超精密加工的裝置方 面,多使用可在真空中將試料精確地定位的工作台。 一對該種工作台要求高度精密度的定位時,多採用可藉 由靜壓軸承以非接觸方法支撑工作台的構造。此時,係^ :在靜壓軸承的範圍内形成可進行高壓氣體排氣的差動: 氣機構,維持真空處理室内的真空度,以防止由靜Μ承 供給的高職體被直接排出至真空處理室1用先前技術 的工作台的例子如第36圖所示。同圖構造中,構成真空處 理室C的殼體i中,設置有用以產生電子線以照射室 料的電子線裝置的鏡筒1501的先端部’亦即電子線照射部 1502。鏡筒内部係藉由真空配管151〇進行真空排氣,而處 理室c則藉*真空配管1511進行真空排氣。而電子線係 由鏡筒1501的先端部1502,對被放置於其下方的晶圓等 試料S進行照射。 試料S藉由-般周知的方法以可拆除的方式被保持 試料台1504上,試料台1504則被裝設在χ” (以下簡稱 工作台_的Y方向可動部⑽上面。在γ方向可 312766 ---------------------訂----- !!#, (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 &紙張尺度適用標準(CNS)aTQ χ挪公餐 A7 539845 五、發明說明(Ul) 1505中,係於與工作台1503的x方向可動部15〇6的引導 面i5〇6a相對的面(在第36圖的[A]中為左右兩面及下面) j设置複數個靜壓軸承15〇9,藉由該靜壓軸承的作用可 與引導面之間維持微小空隙的同時,朝γ方向(在第“圖 的[Β]中為左右方向)移動。此外,靜壓轴承的周圍設有差 2排氣機構,以防止由靜壓軸承供給的高壓氣體漏二至真 空處理室c的内部。該狀態如第37圖所示。靜壓軸承15〇9 =周圍構成雙重溝1518,1517,這謝溝均藉由無圖示的真 空配管與真空栗進行經常性的真空排氣。藉由該種構造, Υ方向可動部1505可以非接觸狀態被支撐在真空中,並可 朝Υ方向自由地移動。該雙重溝1518,1517,係在裝机有 ^動部咖的靜壓軸承測的面上以包圍該靜虔^的 、方式形成。而靜壓軸承的構造只要一般構 略詳細說明。 線 載置有該Υ方向可動部1505的χ方向可動部15〇6, 如第36圖所明示一般,具有朝上方開口的凹形形狀該 Ζ方向可動部1506中也設置有完全相同的靜屢轴承及 動。’以非接觸方式被支撐於工作台上,可朝χ方向自由移 藉由組合廷些Υ方向可動部1 5〇5與X方向可動部 的移動’可將試料s移動到鏡筒先端 照射部1502的水平方hat# ^1罨千線 射至,4心 意位置上,而使電子線能夠照 射到試料的所要位置上。 組合上述靜壓軸承與差動排氣機構的工作台在工作 卿(⑽ 二 I ⑴ 312766 539845 A7 五、發明說明(112) 台移動時,與靜壓軸承1509相對的引導面1506a或 1507a,會在靜壓軸承部的高壓氣體環境與處理室内的真空 環境之間來回運動。此時該引導面,會反覆以下狀態:亦 即’在暴露於高壓氣體環境的情況下吸著氣體,而在暴露 於真空環境的情況下釋出氣體。因此,在工作台移動時, 由於會產生處理室C内的真空度惡化的現象,導致無法安 定地進行由上述電子線所進行的曝光,檢查或加工等處 理,而產生試料受到污染的問題。 為解決該種課題,本發明之第9實施形態,乃提供: 可防止真空度降低,安定進行電子線所執行之檢查或 加工等處理的電子線裝置; 具有由靜壓軸承所操作的非接觸支撐機構及由差動 排氣操作的真空密封機構,可在電子線的照射區域與靜壓 轴承的支持部之間產生壓力差的電子線裝置; 可減低由面向靜壓軸承的零件表面所釋出的氣體的 電子線裝置; 使用上述電子線裝置,檢查試料表面的缺陷檢查裝 置,或在試料表面上描繪圖案的曝光裝置; 使用上述電子線裝置以製造半導體裝置的半導體製 造方法。 以下,使用圖來說明第9實施形態。第38圖中,在 工作台1503的Y方向可動部1505的上面,設置有··朝+ Y方向及一 Y方向(在第38圖[B]中為左右方向)以接近水平 方式擴大伸展的分隔板1514,而與X方向可動部15〇6的 (請先閱讀背面之注意事項再填寫本頁) ▼裝--------訂--------- 經濟部智慧財產局員工消費合作社印製539845 V. Description of the invention (110) The electricity a which can reach the voltage set by 在 in time, no matter what kind of voltage it is. An embodiment related to the __ ^ stage for placement (笫-^ state, the ninth embodiment of the present invention relates to: a device for irradiating an electron beam to a sample mounted on a xγ stage; and a defect inspection using the device A device or an exposure method; a device manufacturing method using these devices. A device for exposing a semiconductor wafer to an electron beam, exposing a semiconductor circuit pattern or the like on a sample surface, or inspecting a pattern formed on the sample surface, Or, for the device for ultra-precision machining of the sample by irradiating electron beams, a work table capable of accurately positioning the sample in a vacuum is often used. When a high-precision positioning is required for such a work table, it is often used by The hydrostatic bearing supports the structure of the table in a non-contact method. At this time, the system ^: a differential that can perform high-pressure gas exhaust is formed within the range of the hydrostatic bearing: the air mechanism maintains the degree of vacuum in the vacuum processing chamber to prevent An example of a prior art workbench that is supplied by the static M carrier and directly discharged to the vacuum processing chamber 1 is shown in Fig. 36. In the structure shown in the figure, the casing constituting the vacuum processing chamber C In the body i, the tip end portion of the lens barrel 1501, which is an electron beam device for generating an electron beam to irradiate the room material, is an electron beam irradiating portion 1502. The inside of the lens barrel is evacuated by a vacuum pipe 1510, and The processing chamber c is evacuated by the * vacuum pipe 1511. The electronic wire is irradiated with a sample 150 such as a wafer placed below the lens 1501 at the tip end 1502. The sample S is generally known The method is detachably held on the sample table 1504, and the sample table 1504 is installed on the movable part 方向 in the Y direction of χ "(hereinafter referred to as the table _. It can be 312766 in the γ direction -------- ------------- Order ----- !! #, (Please read the notes on the back before filling out this page) Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs & Paper Scale Applicable standard (CNS) aTQ χ Norwegian public meal A7 539845 V. Description of the invention (Ul) 1505 is attached to the surface i5 06a opposite to the guide surface i506 of the x-direction movable part 1506 of the table 1503 (in Fig. 36) In [A], the left and right sides and the lower side) j. A plurality of static pressure bearings 1509 are provided, and the effect of the static pressure bearing can be matched with that of the guide surface. While maintaining a small gap between them, move in the γ direction (the left and right directions in [B] in the figure). In addition, a differential exhaust mechanism is provided around the static pressure bearing to prevent the high pressure supplied by the static pressure bearing. The gas leaks to the inside of the vacuum processing chamber c. This state is shown in Fig. 37. The hydrostatic bearing 1509 = double grooves 1518 and 1517 are formed around the Xie groove. Performs regular vacuum evacuation. With this structure, the movable unit 1505 in the Υ direction can be supported in a vacuum in a non-contact state and can move freely in the Υ direction. The double grooves 1518 and 1517 are attached to the installed machine. The surface of the static bearing of the moving part coffee is formed in such a manner as to surround the static god. As for the structure of the hydrostatic bearing, the general structure will be described in detail. The X-direction movable portion 1506 on which the Z-direction movable portion 1505 is placed on the line has a concave shape opened upward as shown in FIG. 36. The Z-direction movable portion 1506 is also provided with the same static repeat. Bearings and moving. 'Supported on the table in a non-contact manner, and can move freely in the χ direction. By moving the movable part 1550 in the X direction and the movable part in the X direction', the sample s can be moved to the tip of the lens barrel. 1502's horizontal square hat # ^ 1 罨 thousand lines are shot to the desired position, so that the electron beam can be irradiated to the desired position of the sample. When the working table combining the above-mentioned static pressure bearing and differential exhaust mechanism (工作 二 I ⑴ 312766 539845 A7) 5. Description of the invention (112) When the table moves, the guide surface 1506a or 1507a opposite the static pressure bearing 1509, will Move back and forth between the high-pressure gas environment of the hydrostatic bearing part and the vacuum environment in the processing chamber. At this time, the guide surface will repeat the following state: that is, 'the gas is absorbed under the condition of being exposed to the high-pressure gas environment, and the exposure The gas is released in a vacuum environment. Therefore, when the table is moved, the degree of vacuum in the processing chamber C may be deteriorated, so that the exposure, inspection or processing by the above-mentioned electron beams cannot be performed stably. In order to solve such a problem, the ninth embodiment of the present invention provides: an electronic wire device that can prevent a decrease in vacuum and stably perform processing such as inspection or processing performed by the electronic wire; It has a non-contact support mechanism operated by a hydrostatic bearing and a vacuum seal mechanism operated by a differential exhaust gas. Electronic wire device that generates a pressure difference between the support parts of the pressure bearing; Electronic wire device that can reduce the gas released from the surface of the part facing the static pressure bearing; Use the above electronic wire device to inspect the defect surface of the sample, or An exposure device that draws a pattern on the surface of a sample; a semiconductor manufacturing method for manufacturing a semiconductor device using the above-mentioned electronic wire device. Hereinafter, a ninth embodiment will be described with reference to the drawings. In the 38th figure, the movable portion 1505 in the Y direction of the table 1503 The upper part is provided with a partition plate 1514 which is extended toward the + Y direction and a Y direction (the left and right directions in FIG. 38 [B]) in a nearly horizontal manner, and the movable portion 1560 of the X direction (Please read the precautions on the back before filling out this page) ▼ Installation -------- Order --------- Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs

M2766 539845 A7M2766 539845 A7

請 先 閱 讀 背 之 注 意 事 項 再 填 寫 本 頁 k 訂 ▲ 經濟部智慧財產局員工消費合作社印製 539845 A7 ------------Ε__ —_____ 五、發明說明(114) 擴大使處理室C與分隔板不會相互抵觸,但亦可藉由將分 隔板作成可伸縮之材料或構造,—以改善該點。 在本實施形態中,係以橡膠構成分隔板並作成蛇腹 狀,為分隔板1514時,將該移動方向的端部固定在χ方 向可動部1506,為分隔板1512時則固定在殼體15〇8的内 壁〇 第39圖,顯示本發明之第9實施形態的第J變形例。 該例中,在鏡筒先端部,亦即電子線照射部i 5〇2的周圍, 構成圓筒狀的分隔板1516,使得與試料s的上面之間可形 成孔闌部。依照該種構成,即使從χγ台釋出氣體,而處 理室C内的壓力上昇,因分隔板内部i 524被分隔板所分 隔,並藉由真空配管15 10排氣,故處理室c内與分隔板 内部1524之間將產生壓力差,並可控制分隔板内部空間 1524的壓力上昇。分隔板1516與試料面之間的空隙,會 根據將處理室C内與照射部15〇2周邊的壓力維持在何種 程度而有所變更,但以大約數+vm乃至數mm程度最為 適當。此外,分隔板1510内部與真空配管係以一般方式連 通。 此外,在電子線裝置中,會對試料S施加程度的高電 壓’若將導電性材料設置在試料附近,則有產生放電的問 題。此時,若以陶瓷等絕緣物做為分隔板1516的材質,即 可消除試料S與分隔板1 5 1 6之間的放電問題。 此外’配置在試料S(晶圓)周圍的環狀構件i^q^i係 一種被固定在試料台1504上的板狀的調整構件,為了在對 ▲度適用中國國家標準(CNS)A4規格⑵0 x 297公4 ) --^~ I I I · — I----I ---— I I--- (請先閱讀背面之注音?事項再填寫本頁) 539845 A7 ___B7___ _ 五、發明說明(ii5) (請先閱讀背面之注音?事項再填寫本頁) 如晶圓一般的試料的端部照射電子線時,也能夠在分隔板 1516的先端部的全面上形成細小的空隙1552,故將其設置 在與晶圓等南的位置上。藉此’不論對試料S的任何位置 照射電子線,分隔板1 5 16的先端部,亦能經常形成細小空 隙1 552,而將鏡筒先端部周圍的空間1 524的壓力保持在 安定的狀態下。 第40圖,顯示本發明之第9實施形態中的第2變形 例。係於鏡筒1 501的電子線照射部2的周圍,設置内藏有 差動排氣構造的分隔板1 5 19。分隔板1 5 19係呈圓筒狀形 狀,其内部形成有圓周溝,由該圓周溝向上延伸有排氣通 路1521。該排氣通路經由内部空間1522與真空配管1523 相連接。分隔板1519下端與試料s的上面之間形成有數 + // m乃至數mm程度的細小空隙。依照該種構造,即使 隨著工作台的移動,由工作台釋出氣體,處理室C内的壓 力上昇’而致使氣體流入先端部亦即電子線照射部1 5〇2, 因分隔板1519會聚合與試料s之間的空隙,並將電導變 為極小’故會阻礙氣體流入而減少流入量。此外,因流入 經濟部智慧財產局員工消費合作社印製 之氣體,係由圓周溝1520被排出至真空配管1523,故幾 乎沒有流入電子線照射部15〇2周圍的空間1524的氣體, 而可將電子線照射部1502的壓力維持在所希望的高真空 中〇 第41圖’顯不本發明第9實施形態中之第3變形例。 在處理室c與電子線照射部15〇2的周圍裝設有分隔板 1526 ’將電子線照射部15〇2自處理室c中隔開。該分隔 认狀度適用尹國因家標準賴) 539845 A7 ___ ________ B7 五、發明說明(116)' 板1526 ’藉由由鋼或鋁等熱傳導性良好的材料所形成的支 撐構件1529與冷凍機153〇相連接,並被冷卻至-1〇(rc至 -200°C的程度。構件i527係用以阻止冷卻之分隔板1526 與鏡筒之間的熱傳導性,由陶瓷或樹脂材等熱傳導性差的 材料所形成。此外,構件1528係由陶瓷等非絕緣體所形 成,係形成於分隔板1526下端具有防止試料s與分隔板 1526產生放電的功能。 藉由該種構成,除可藉由分隔板1 526阻止欲從處理 室C内流入電子線照射部的氣體分子,即使流入也會被凍 結聚集在分隔板1526表面,故可將電子線照射部ι5〇2的 某一空間1524的壓力保持在低狀態下。 此外,在冷凍機方面,可使用利用液體氮氣的冷卻; He冷凍機;脈衝管式冷凍機等各種冷凍機。 經濟部智慧財產局員工消費合作社印製 第42圖,顯示本發明之第9實施形態中的第4變形 例。工作台1503的兩可動部中,如第38圖所示,同樣設 置有分隔板1512,1514,即使試料台1504移動至任何位 置,亦可藉由這些分隔板,將工作台内的空間1513與處理 室C内,隔介孔閣1550,1551而加以區隔。此外,電子 線照射部1502的周圍,形成有與第39圖所示相同的分隔 板1516,而將處理室c内與電子線照射部15〇2的某一空 間1524,隔介孔闌1550,1551而加以區隔。因此,在工 作台移動時,即使吸著於工作台的氣體被釋出至空間 1513,而使該部分的壓力上昇,同樣可抑制處理室〔的壓 力上昇’更進一步抑制空間1524的壓力上昇。藉此,電子 本紙張又度適用中88家標準(CNS)A4規格(210 X 297公爱) H6 342766 539845 A7 B7 五、發明說明(117) 線照射部空間1 524的壓力可被保持在低狀態下。此外,藉 由作成如分隔板1516所示一般,内藏有差動棑氣機構的分 隔板1519,或作成如第4〇圖所示一般,以冷凍機冷卻的 分隔板’可將空間維持在壓力更低且安定的狀態下。 第43圖’係以模式顯示第9實施形態中之電子線裝 置之光學系以及檢出系。光學系係被設置在鏡筒1 5(H中, 該光學系與檢器僅供作例示,可應需要使用任何一種光學 系’檢出系。電子線裝置的光學系156〇 ’具備有:將電子 線照射於載置在工作台15〇3上的試料S的一次光學系 1561 ;及讓試料所釋出的二次電子線投入的二次光學系 1571 —人光學系1 56 1,具備有:釋出電子線的電子線源 1562 ;由用以集束電子線源ι562所放出之電子線的2段 靜電透鏡所形成的透鏡系1563, 1564;偏向器1565;將電 子線偏向為其光軸可與對象的面呈垂直的形式的維納濾波 器1566 ;由2段的靜電透鏡所形成的透鏡系1567,1568, 以上各裝置,如第36圖所示一般,係將電子線源裝設在最 上部,再依序以:電子線光軸可朝試料s的表面(試料面) 以鉛直的直線向下傾斜的方式配置^維納濾波器1566,具 備有: ' 電極1566-1及磁石1566-2。 二次光學系丨57卜係試料S所示出之二次電子線所投 入之光學系,具備有:由配置再一次光學系的維納濾波器 1566的上側的2段的靜電透鏡所形成的透鏡系1572, 1 573。檢出器1580,用以檢出藉由二次光學系1571所傳 312766 t 線 本紙張尺度適用中國國家標準(CNS)A4規格(21Q x 297公爱「 經濟部智慧財產局員工消費合作社印製 539845 A7 ---—--§L.______ 五、發明說明(⑽) 送而來的二次電子線。上述光學系156〇及檢出器15 80的 各構成要素與機能係與先前相同,故省略其詳細說巧。 由電子線源1 562釋出的電子線,係藉由電子線源的 正方形開口整形,並藉由2段的透鏡系1563及1564縮小, 最後再藉由偏光器1565調整光軸,而於維納濾波器1566 的偏向中心面上’成像為一邊為125mm的正方形。 維納濾波器1566,魚與試料之法線呈垂直的平面内, 形成電場與磁場正交的構造,當電場,磁場,電子線能源 的關係達到一定條件時讓電子線直進,其以外的時間,則 依照該電場’磁場及電場能源的相互關係偏向至所定方 向。第23圖顯示以下設定:將來自電子線源的電子線垂直 入射至試料S,而讓由試料釋出的二次電子線朝檢出器 2280的方向直進。在維納濾波器1566偏向的成形光束, 藉由透鏡系1567,1568被縮小為1/5而投影至試料S。而 具有由試料S所釋出的圖案圖像的資訊的二次電子線,藉 由透鏡系1567, 1568及1572, 1573擴大,並以檢出器1580 形成二次電子線圖像。該4段的擴大透鏡,係由透鏡系1567 及1568形成對稱二重透鏡,而透鏡系1572及1573亦形成 對稱二重透鏡,故形成一種無偏斜透鏡。 藉由將本發明之第9實施形態,使用在參照第3圖及 第4圖(a)(b)所說明之裝置製造方法中的檢查工程(G)或曝 光工程(C) ’可在高精度且安定的狀況下檢查或曝光細微的 圖案,因此可提昇製品良率,並防止缺陷製品的出貨。關 於此點,因沿用與第3圖及第4圖(a),(b)相關之說明,故 本紙張^賴_束標準(CNS)A4規仏;297公楚)Please read the precautions before filling in this page. Order ▲ Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs Employee Cooperatives 539845 A7 ------------ Ε__ —_____ V. Description of Invention (114) The processing chamber C and the partition plate do not interfere with each other, but the partition plate can also be made of a retractable material or structure to improve this point. In this embodiment, the partition plate is made of rubber and formed in a bellows shape. When the partition plate is 1514, the end in the moving direction is fixed to the movable portion 1506 in the χ direction. When the partition plate is 1512, it is fixed to the casing. The inner wall of the body 1508. Fig. 39 shows a Jth modification of the ninth embodiment of the present invention. In this example, a cylindrical partition plate 1516 is formed around the tip of the lens barrel, that is, around the electron beam irradiating portion i 502, so that an aperture portion can be formed between the cylindrical plate 1516 and the upper surface of the sample s. According to this configuration, even if gas is released from the χγ stage, the pressure in the processing chamber C rises, and because the interior i 524 of the partition plate is partitioned by the partition plate and exhausted by the vacuum piping 15 10, the processing chamber c A pressure difference will occur between the interior and the interior of the partition plate 1524, and the pressure rise in the interior space 1524 of the partition plate can be controlled. The gap between the partition 1516 and the sample surface varies depending on how much the pressure in the processing chamber C and the surrounding area of the irradiation part 1502 is maintained, but it is most appropriate to be approximately + vm or even a few mm. . The inside of the partition plate 1510 is connected to the vacuum piping system in a general manner. Further, in the electronic wire device, if a high voltage is applied to the sample S to a high degree, if a conductive material is provided near the sample, there is a problem that a discharge occurs. At this time, if an insulator such as ceramic is used as the material of the partition plate 1516, the problem of the discharge between the sample S and the partition plate 1516 can be eliminated. In addition, the ring-shaped member i ^ q ^ i arranged around the sample S (wafer) is a plate-shaped adjustment member fixed on the sample table 1504. In order to apply the Chinese National Standard (CNS) A4 specification to the ▲ degree ⑵0 x 297 male 4)-^ ~ III · — I ---- I ---— I I --- (Please read the note on the back? Matters before filling out this page) 539845 A7 ___B7___ _ V. Description of the invention (Ii5) (Please read the note on the back? Matters before filling out this page) When the end of a wafer-like sample is irradiated with electron beams, a small gap 1552 can be formed on the entire front end of the partition plate 1516. Therefore, it is placed at a position south of the wafer. By this, no matter where the sample S is irradiated with the electron beam, the tip end of the partition plate 1 5 16 can often form a small gap 1 552, and the pressure of the space 1 524 around the tip end of the lens barrel can be kept stable. State. Fig. 40 shows a second modification of the ninth embodiment of the present invention. Around the electron beam irradiating section 2 of the lens barrel 1 501, a partition plate 1 5 19 having a differential exhaust structure is provided. The partition plate 1 5 19 has a cylindrical shape, and a circumferential groove is formed in the partition plate. An exhaust passage 1521 extends upward from the circumferential groove. This exhaust passage is connected to a vacuum pipe 1523 via an internal space 1522. Between the lower end of the partition plate 1519 and the upper surface of the sample s, a small gap of about + // m or even several mm is formed. According to this structure, even if the table moves, gas is released from the table, and the pressure in the processing chamber C rises, so that the gas flows into the tip portion, that is, the electron beam irradiation portion 1502, because the partition plate 1519 The gap between the sample and the sample s will be aggregated, and the conductance will be minimized, so it will block the gas inflow and reduce the inflow. In addition, since the gas flowing into the consumer cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs is discharged from the circumferential groove 1520 to the vacuum pipe 1523, almost no gas flows into the space 1524 around the electron beam irradiating section 1502, and the gas can be discharged. The pressure of the electron beam irradiation unit 1502 is maintained in a desired high vacuum. Fig. 41 shows a third modification of the ninth embodiment of the present invention. A partition plate 1526 'is installed around the processing chamber c and the electron beam irradiation section 1502 to separate the electron beam irradiation section 1502 from the processing chamber c. This separation degree applies to Yin Guoyin's standard.) 539845 A7 ___ ________ B7 V. Description of the invention (116) 'Plate 1526' The support member 1529 and refrigerating machine formed by materials with good thermal conductivity such as steel or aluminum 153 ° is connected and cooled to -10 ° (rc to -200 ° C). The component i527 is used to prevent the thermal conductivity between the cooled partition plate 1526 and the lens barrel, and is made of ceramic or resin. It is made of a material with poor properties. In addition, the member 1528 is formed of a non-insulator such as ceramics, and is formed at the lower end of the partition plate 1526 and has a function of preventing the sample s and the partition plate 1526 from generating a discharge. The partition plate 1 526 prevents the gas molecules from flowing into the electron beam irradiation portion from the processing chamber C. Even if the gas molecules flow in, the molecules are frozen and collected on the surface of the partition plate 1526. Therefore, a certain space of the electron beam irradiation portion 502 can be used. The pressure of 1524 is kept low. In addition, in the case of refrigerators, cooling with liquid nitrogen can be used; He refrigerators; pulse tube refrigerators and other refrigerators. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs Fig. 42 shows a fourth modification of the ninth embodiment of the present invention. As shown in Fig. 38, the two movable parts of the table 1503 are also provided with partition plates 1512 and 1514, even if the sample table 1504 moves. At any position, these partitions can be used to separate the space 1513 in the table from the processing chamber C and the cells 1550 and 1551. In addition, around the electron beam irradiation section 1502, The same partition plate 1516 as shown in FIG. 39 separates a certain space 1524 in the processing chamber c from the electron beam irradiating portion 1502 through the apertures 1550 and 1551. Therefore, at the table When moving, even if the gas adsorbed on the table is released into the space 1513, and the pressure in this part is increased, the pressure increase in the processing chamber [pressure rise 'can be further suppressed, and the pressure rise in the space 1524 can be further suppressed. The paper is again suitable for 88 standard (CNS) A4 specifications (210 X 297 public love) H6 342766 539845 A7 B7 V. Description of the invention (117) The pressure of the space 1 524 of the line irradiation section can be kept low. In addition, By making it as shown in the partition plate 1516, A partition plate 1519 with a differential gas holding mechanism, or a partition plate cooled by a freezer as shown in Fig. 40, can maintain the space at a lower pressure and a stable state. Fig. 43 'The optical system and the detection system of the electronic wire device in the ninth embodiment are displayed in a mode. The optical system is provided in the lens barrel 15 (H, the optical system and the detector are for illustration only, and can be required if needed. Any one of the optical systems 'detection system is used. The optical system 1560' of the electronic wire device includes: a primary optical system 1561 which irradiates an electron beam to the sample S placed on the table 1503; and the sample is released. The secondary optical system 1571-human optical system 1 561 with the released secondary electron beams includes: an electron beam source 1562 that releases electron beams; and two segments of the electron beams emitted from the electron beam source ι562 A lens system formed by electrostatic lenses 1563, 1564; a deflector 1565; a Wiener filter 1566 that deflects an electron beam so that its optical axis can be perpendicular to the surface of the object; a lens system formed by a two-stage electrostatic lens 1567, 1568, the above devices, as shown in Figure 36, are The electronic wire source is installed at the uppermost part, and then the electron axis of the electronic wire can be arranged toward the surface (sample surface) of the sample s in a straight and inclined manner toward the surface of the sample s ^ Wiener filter 1566, which has: 1566-1 and magnet 1566-2. Secondary optical system 丨 The optical system in which the secondary electron line shown in 57b sample S is put is provided with a two-stage electrostatic lens formed on the upper side of the Wiener filter 1566 in which the optical system is disposed again. The lens system is 1572, 1 573. Detector 1580, used to detect the paper size of 312766 t passed by the secondary optics system 1571. Applicable to China National Standard (CNS) A4 specification (21Q x 297). Printed by the Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs. 539845 A7 ------ §L .______ 5. The description of the invention (ii) The secondary electron beams sent in. The components and functions of the optical system 1560 and the detector 15 80 are the same as before. Therefore, the detailed description is omitted. The electron wire released from the electron wire source 1 562 is shaped by the square opening of the electron wire source, and is reduced by the two-stage lens system 1563 and 1564, and finally by the polarizer 1565 Adjust the optical axis, and on the deflection center plane of the Wiener filter 1566, it is imaged as a square with a side of 125mm. Wiener filter 1566, in the plane perpendicular to the normal of the fish and the sample, forms an electric field orthogonal to the magnetic field. Structure, when the relationship between the electric field, magnetic field, and the energy of the electron wire reaches a certain condition, let the electron wire go straight. For other times, according to the relationship between the electric field and the energy of the electric field, the energy is biased to a predetermined direction. Figure 23 shows the following settings: will The electron beam from the electron beam source is incident perpendicularly to the sample S, and the secondary electron beam released from the sample is made to go straight toward the detector 2280. The shaped beam deflected by the Wiener filter 1566 is passed through the lens system 1567, 1568 is reduced to 1/5 and projected onto sample S. The secondary electron beams having information on the pattern image released by sample S are enlarged by the lens systems 1567, 1568 and 1572, 1573, and detected by The device 1580 forms a secondary electron line image. The 4-segment magnifying lens is formed by the lens systems 1567 and 1568 to form a symmetrical double lens, and the lens systems 1572 and 1573 also form a symmetrical double lens, so a non-skew lens is formed. By using the ninth embodiment of the present invention, the inspection process (G) or exposure process (C) used in the device manufacturing method described with reference to FIGS. 3 and 4 (a) (b) can be used in Examining or exposing subtle patterns under high-precision and stable conditions can improve product yields and prevent shipment of defective products. In this regard, the same applies to Figures 3 and 4 (a), (b) Relevant explanation, so this paper ^ Lai_Beam Standard (CNS) A4 Regulations; 297 Gongchu

^ ^ · --------- <請先閱讀背面之注意事項再填寫本頁) 312766 118 539845 五、發明說明(il9) 在此省略其說明。 與_試料載置用工作台相關的f施形態(第1 0實施形態) 本發明之第10實施形態,係關於將電子線照射於載 置在XY台上的試料的裝置,更詳細而言,係關於不在XY 工作台上設置差動排氣機構,而在鏡筒周圍設置差動排氣 機構的電子線裝置;利用該裝置之缺陷檢查裝置或曝光裝 置;以即使用該些裝置之裝置製造方法。 誠如使用第36圖及第37圖而說明過一般,在組合有 靜壓軸承與差動排氣機構的先前的XY台中,因裝設有差 動排氣機構,與在大氣中使用的靜壓軸承式工作台相比, 其構造複雜且巨大,其做為工作台的可靠性亦低,而有高 成本上的問題。此外,在本實施形態中,關於先前技術的 說明,係沿用第36圖,第37圖及與其相關的敘述。 本發明之第10實施形態,為解決上述問題,乃提供: 電子線裝置;設置有:可將收容有χγ台的殼體内部 予以真空排氣,同時,可將該試料面上電子線所照射區域 予以排氣的差動排氣機構; 經濟部智慧財產局員工消費合作社印製 使用上述電子線裝置檢查室料表面的缺陷檢查裝 置,或在試料表面描繪圖案的曝光裝置; 即使用上述電子線裝置以製造半導體裝置的半導體 製造方法。 此外,在本第10實施形態中,用語「真空」,係使用 在該技術範圍内的一般意義上。 以下,使用圖來說明本發明之第1 0實施形態。第44 1LSL. 3127〇6 本紙張尺度適用t國國家標準(CNS)A4規格(210x297公釐) 539845 A7 _____B7 __ 五、發明說明( (請先閱讀背面之注意事項再填寫本頁) 圖中顯示,將電子線照射到試料的鏡筒1 60 1的先端部,亦 即電子線照射部1602,係被裝設在劃分真空處理室c的殼 體1614。鏡筒1601正下方,配置有載置於乂丫台16〇3的 X方向(在第44圖中為左右方向)的可動工作台上的試料 S。該試料S,可藉由具高精度的乂丫台1603,讓電子線正 確地照射到該試料面上的任意位置。 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 XY台1603的台座1606被固定在殼體1614的底壁, 朝Y方向(在第24中為與紙面垂直的方向)移動的γ工作 台1605係裝載於台座1606上β γ台16〇5的兩側面(第24 圖中為左右側面),形成一突出於凹溝内的突部,而該凹溝 係形成於面向載置於台座1606的一對γ方向引導裝置 1607a及1607b的Y工作台的一側。該凹溝沿著γ方向引 導的全長朝Y方向延伸。突出於凹溝内的突部上方,下面 及側面’分別設置有一般構造之靜壓軸承1611a,i6〇9a, 1611b,1609b。經由這些靜壓軸承排出高壓氣體,藉此, Y工作台1605可對Y方向引導裝置16〇7a,16〇7b以非接 觸方式支撐,而朝Y方向進行圓滑的來回運動。此外,台 座1606與Y工作台1605之間,配置有一般構造的線性馬 達1612, Y方向的驅動係以該線性馬達進行。γ工作台中, 係藉由高壓氣體供給用的撓性馬達供給高壓氣體,經過Υ 工作台内所形成之氣體通路(無圖示)對上記靜壓軸承 1609a乃至1611a;以及16〇9b乃至16111>供給高壓氣體。 供給至靜壓軸承的高壓氣體,係用以達成以下機能:自形 成於與Y方向引導的對向引導面之間的數微米至數十微^ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐 ^39845 A7 五、發明說明(Ui 的空隙喷出,將γ工作台正確地定位在對應引導面的X方 向與Z方向(第44圖中為上下方向)上。 頁 線 經 濟 部 智 慧 財 產 局 員 X 消 費 合 作 社 印 製 在γ工作台上,χ工作台16〇4被載置成可朝χ方向(在 第44圖令為左右方向)移動的形式。Υ工作台1605上,與 Υ工作台用的γ方向引導裝置1607a,1607b具同一構造 的一對X方向引導裝置1608a,1608b(只圖示1608a)係以 分置X工作台1604兩旁的方式設置。X方向引導裝置面 對X工作台的一側亦形成有凹溝,X工作台的側部(面對X 方向引導裝置的侧部)中意形成有突出於凹溝内的突部。該 凹溝係沿著X方向引導裝置的幾乎全長延伸。突出於凹溝 内的X方向工作台4的突部的上,面及側面係以同樣配置 裝設與前述與靜壓軸承l611a,1609a,l6Ub,16〇9b相同 的靜壓軸承(無圖示)。γ工作台16〇5與χ工作台16〇4之 間,配置有一般構造的線性馬達〗6丨3,係以該線性馬達進 行X工作台的X方向的驅動。而,X工作台中,係 藉由撓性配管162 1被供給以高壓氣體,而對靜壓轴承供給 高壓氣體。藉由該高壓氣體由靜壓軸承噴出至χ方向引導 的引導面,X工作台1604係以面對γ方向以高精度且非 接觸的方式被支撐。真空處理室C,係藉由連接在一般所 知的構造的真空泵等的真空配管1619, l62〇a,162〇b進行 排氣。配管1620a,1620b的入口側(真空處理室内側)貫通 台座16〇6,其上方,係在XY台1603的高壓氣體排出位 置附近開口,真空處理室内的壓力,係藉由靜壓軸承所噴 出之高壓氣體極力避免其上昇。 本紙張尺度適用_國國家標準(CNS>A4規格(210x297公爱 312_ 經濟部智慧財產局員工消費合作社印製 木纸張又度適用中國國家標準(CNS)A4規格(210 X 297公爱) 539845 A7 ' — --B7 五、發明說明(1^> 鏡筒1601的先端部亦即電子線照射部i 6〇2的周圍, 設有差動排氣機構1625,使電子線照射空間163〇的壓力, 在真空處理室C内的壓力升高時,亦能夠降至極低。亦即, 裝設在電子線照射部1602周圍的差動排氣機構1625的環 狀構件1626,為使其下面(試料s側的面)與試料之間可形 成細小玉隙(數微米至數百微米)1 640,乃被定位於殼體 1614,並於其下面形成環狀溝1627。環狀溝1627藉由排 氣管1628與無圖示之真空泵相連接。因此,細小空隙164〇 係藉由環狀溝1627及排氣口 1628排氣,即使有氣體分子 欲從真空處理室C侵入由環狀構件1626所包圍的空間 1630,亦能夠藉此而被排出。藉此,不僅可將電子線照射 二間16 3 0内的壓力控制在較低程度,同時可正確地照射電 子線。 該環狀溝,根據處理室内的壓力,電子線照射空間 1630内的壓力,可作成雙重構造或三重構造。 工給至靜壓轴承的高壓氣體,一般多使用乾氮氣。但 是,可能的話,最妤使用高純度的惰性氣體。此乃基於: 當氣體中含有水分或油份等雜質時,會使該些雜質分子附 著於劃分真空處理室的殼體内面或工作台構成元件的表 面’而導致真空度惡化,或因附著於試料表面而使電子線 照射空間的真空度惡化。 此外,在以上說明中,試料S —般並非直接被載置在 X工作台上,而是被載置在:以可取下的方式保持試料, 並具有可對XY台1603進行微小位置變更的機能的試料台 jii/66 I —II --------^ · I------- (請先閱讀背面之注意事項再填寫本頁) 539845 經濟部智慧財產局員工消費合作社印製^ ^ · --------- < Please read the notes on the back before filling out this page) 312766 118 539845 V. Description of Invention (il9) The description is omitted here. F application mode related to _ sample mounting table (10th embodiment) The tenth embodiment of the present invention relates to a device for irradiating an electron beam to a sample placed on an XY table, and more specifically , About the electronic wire device that does not have a differential exhaust mechanism on the XY table, but a differential exhaust mechanism around the lens barrel; a defect inspection device or an exposure device that uses the device; that is, a device that uses those devices Production method. As explained with reference to Figs. 36 and 37, the conventional XY stage in which a static pressure bearing and a differential exhaust mechanism are combined is equipped with a differential exhaust mechanism, and the static exhaust mechanism used in the atmosphere Compared with the pressure bearing type worktable, its structure is complicated and huge, its reliability as a worktable is also low, and there are problems with high cost. In this embodiment, the description of the prior art follows the descriptions of Figs. 36 and 37 and the descriptions related thereto. In order to solve the above problems, the tenth embodiment of the present invention provides: an electronic wire device; provided with: the inside of the housing containing the χγ stage can be evacuated, and at the same time, the electronic wire on the surface of the sample can be irradiated Differential exhaust mechanism for exhausting the area; The consumer cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs prints a defect inspection device that uses the above-mentioned electronic wire device to inspect the surface of the room material, or an exposure device that draws a pattern on the surface of the sample; The device is a semiconductor manufacturing method for manufacturing a semiconductor device. In the tenth embodiment, the term "vacuum" is used in a general sense within the technical scope. Hereinafter, a tenth embodiment of the present invention will be described with reference to the drawings. Article 44 1LSL. 3127〇6 This paper size is applicable to the national standard (CNS) A4 specification (210x297 mm) 539845 A7 _____B7 __ V. Description of the invention ((Please read the precautions on the back before filling this page) The figure shows The tip of the lens barrel 1 60 1 that irradiates the electron beam to the sample, that is, the electron beam irradiating portion 1602, is installed in a housing 1614 that divides the vacuum processing chamber c. The lens barrel 1601 is disposed directly below the lens barrel 1601. Sample S on the movable table in X direction (left and right direction in Fig. 44) of Xoya stage 1603. The sample S can be accurately adjusted by the Xoya stage 1603 with high accuracy. It is irradiated to any position on the sample surface. The pedestal 1606 of XY stage 1603 printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs is fixed to the bottom wall of the housing 1614 in the Y direction (the direction perpendicular to the paper surface in the 24th). ) The moving γ table 1605 is mounted on the two sides of the β γ table 1605 on the pedestal 1606 (the left and right sides in Figure 24) to form a protrusion protruding into the groove, and the groove is formed on Facing a pair of gamma directions on the pedestal 1606 One side of the Y table of the devices 1607a and 1607b. The groove extends along the full length guided in the γ direction toward the Y direction. It protrudes above the protrusion in the groove, and a static pressure bearing 1611a of a general structure is provided on the lower side and the side. , I6〇9a, 1611b, 1609b. High-pressure gas is discharged through these static pressure bearings, whereby the Y table 1605 can support the Y-direction guiding devices 1607a, 1607b in a non-contact manner, and smooth toward the Y direction. In addition, a linear motor 1612 of a general structure is arranged between the pedestal 1606 and the Y table 1605, and the Y-direction drive system uses this linear motor. In the γ table, the high-pressure gas supply flexibility is used. The motor supplies high-pressure gas, and through the gas passage (not shown) formed in the Υ table, supplies high-pressure gas to the above-mentioned static pressure bearings 1609a to 1611a; and 1609b to 16111>. The high-pressure gas supplied to the static pressure bearing is a system To achieve the following functions: from several micrometers to tens of micrometers formed between the opposing guide surfaces guided in the Y direction ^ This paper size applies to China National Standard (CNS) A4 (210 X 297) Meal ^ 39845 A7 V. Explanation of the invention (Ui spurts out the gap and correctly positions the γ table in the X direction and Z direction of the corresponding guide surface (upward and downward directions in Figure 44). Page Line Intellectual Property Bureau, Ministry of Economic Affairs The X consumer cooperative is printed on the γ table, and the χ table 160 is placed in a form that can be moved in the χ direction (left and right directions in Figure 44). The Υ table 1605 is for use with the Υ table. A pair of X-direction guidance devices 1608a and 1607b having the same structure of the γ-direction guidance devices 1607a and 1607b are arranged on both sides of the X-table 1604. Surface of the X-direction guide device A groove is also formed on the side facing the X-table, and a side portion of the X-table (the side facing the X-direction guide device) is formed with a protrusion protruding from the groove. The groove system extends along almost the entire length of the X-direction guide. The upper surface, the side surface and the side surface of the protrusion of the X-direction table 4 protruding in the groove are provided with the same static pressure bearings as the aforementioned static pressure bearings l611a, 1609a, 16Ub, and 160b (not shown) ). A linear motor with a general structure is arranged between the γ table 1605 and the χ table 160, and the X table is driven in the X direction by the linear motor. In the X table, high-pressure gas is supplied through the flexible pipe 1621, and high-pressure gas is supplied to the static pressure bearing. By ejecting the high-pressure gas from the hydrostatic bearing to the guide surface guided in the χ direction, the X table 1604 is supported in a highly accurate and non-contact manner facing the γ direction. The vacuum processing chamber C is evacuated by vacuum piping 1619, 162a, 1620b connected to a vacuum pump or the like having a generally known structure. The inlet side of the piping 1620a, 1620b (the inside of the vacuum processing chamber) penetrates the pedestal 1606, and above it, it is opened near the high-pressure gas discharge position of the XY stage 1603. The pressure in the vacuum processing chamber is ejected by the static pressure bearing High-pressure gas tries to prevent it from rising. This paper size applies _ National Standards (CNS)> A4 size (210x297 Public Love 312_ Wood paper printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs applies the Chinese National Standard (CNS) A4 size (210 X 297 Public Love) 539845 A7 '— --B7 V. Description of the invention (1 ^ > A differential exhaust mechanism 1625 is provided around the tip of the lens barrel 1601, that is, the electron beam irradiation portion i 6〇2, so that the electron beam irradiates the space 163. When the pressure in the vacuum processing chamber C increases, the pressure can be reduced to a very low level. That is, the annular member 1626 of the differential exhaust mechanism 1625 installed around the electron beam irradiation unit 1602 is placed below it. (The surface on the s side of the sample) A fine jade gap (several micrometers to hundreds of micrometers) 1 640 can be formed between the sample and the sample, which is positioned on the casing 1614 and forms a circular groove 1627 below it. The circular groove 1627 borrows The exhaust pipe 1628 is connected to a vacuum pump (not shown). Therefore, the small gap 1640 is exhausted through the annular groove 1627 and the exhaust port 1628, and even if there is a gas molecule to enter the vacuum processing chamber C, the annular member The space 1630 surrounded by 1626 can also be exhausted by this. This can not only control the pressure in the two chambers 1630 to a low level, but also accurately irradiate the electron beams. According to the pressure in the processing chamber, the annular groove can press the pressure in the space 1630 with the electron beams. It can be made into a double structure or a triple structure. Generally, dry nitrogen is used for high-pressure gas supplied to a hydrostatic bearing. However, it is best to use a high-purity inert gas. This is based on: When the gas contains water or oil In the case of impurities, the degree of vacuum may be deteriorated due to the adhesion of these impurity molecules to the inner surface of the housing or the surface of the table component that divides the vacuum processing chamber, or the degree of vacuum of the space irradiated with electron rays due to adhesion to the surface of the sample In addition, in the above description, the sample S is generally not directly placed on the X table, but is placed on: the sample is held in a removable manner, and it has a small position change on the XY table 1603 Functional test bench jii / 66 I —II -------- ^ · I ------- (Please read the precautions on the back before filling this page) 539845 Employees of the Intellectual Property Bureau of the Ministry of Economic Affairs Printed cooperatives

A7 B7 五、發明說明( 上,因試料台之有無,以及其構造與本申請發明之要旨無 關,因此為求簡化乃省略其說明。 以上說明之電子線裝置,因可直接使用可在大氣中使 用的靜壓軸承的工作台機構,故可以同等成本及大小,將 與使用於曝光裝置的大氣用高精度工作台同等的高精度工 作台,應用於電子線裝置用的XY工作台。 此外,以上說明之靜壓引導之構造,配置及致動器G線 性馬達)均僅止於其中一實施例,只要是可在大氣中使用的 靜壓引導或致動器,均可適用。 其次,於第45圖中顯示,形成於差動排氣機構的環 狀構件1 626及形成於上的環狀溝的大小的數值例。此外, 在本例中,環狀溝具有1627a及1627b的雙重構造,兩者 係以半徑方向相隔。供給至靜壓軸承的高壓氣體的流量, 一般大約在20L/min(大氣壓換算)程度。假設藉由内徑為 50mm長為2m的真空配管,並以具有20000L/min的排氣 速度的乾泵,在真空處理室中進行排氣,則真空處理室内 的壓力,為大約16〇pa(約ΐ·2Τοιτ)。此時,若將差動排氣 機構的環狀構件1626及環狀溝等規格,設成如第45圖所 示一般時’可將電子線照射空間163〇内的壓力維持在 10·4Ρα(10·6Τοιτ)。 此外’差動排氣機構,若能將電子線照射空間i63〇 内的Μ力維持在所定壓力下,則其形狀,可不限於如本實 施形態所示之同心圓上,亦可作成矩形或多角形。此外, 亦可设於其周圍的一部份上而非全周上。 (請先閱讀背面之注意事項再填寫本頁)A7 B7 V. Description of the invention (above, because of the existence of the sample table and its structure has nothing to do with the gist of the invention of the present application, the description is omitted for simplicity. The electronic wire device described above can be used directly in the atmosphere The table mechanism of the static pressure bearing used can be used at the same cost and size, and the high-precision table equivalent to the high-precision atmospheric table used in the exposure device can be applied to the XY table for electronic wire devices. The structure, configuration, and actuator (G linear motor) of the static pressure guidance described above are limited to only one of the embodiments. Any static pressure guidance or actuator that can be used in the atmosphere can be applied. Next, Fig. 45 shows a numerical example of the size of the ring-shaped member 1 626 formed in the differential exhaust mechanism and the ring-shaped groove formed thereon. In addition, in this example, the annular groove has a dual structure of 1627a and 1627b, and the two are separated in a radial direction. The flow rate of the high-pressure gas supplied to the hydrostatic bearing is generally about 20 L / min (atmospheric pressure conversion). Assuming a vacuum pump with an inner diameter of 50 mm and a length of 2 m, and a dry pump with an exhaust speed of 20,000 L / min, the exhaust is performed in a vacuum processing chamber, and the pressure in the vacuum processing chamber is about 160 Pa ( About ΐ · 2Τοιτ). At this time, if the specifications of the annular member 1626 and the annular groove of the differential exhaust mechanism are set as shown in FIG. 45, the pressure in the electron beam irradiation space 1630 can be maintained at 10 · 4Pα ( 10 · 6Τοιτ). In addition, if the differential exhaust mechanism can maintain the M force in the electron beam irradiation space i630 at a predetermined pressure, the shape may not be limited to a concentric circle as shown in this embodiment, and may be made into a rectangle or a plurality of Angular. In addition, it can be set on a part of the surrounding instead of the whole week. (Please read the notes on the back before filling this page)

本紙張尺度郝_風國家料(CNS)A4規格(210 X 297公爱) J i27〇6 經濟部智慧財產局員工消費合作社印製 539845 A7 — _ B7 五、發明說明(124') 第46圖係表示第44圖所示裝置之配管系。由殼體 1614劃分的真空處理室C中,係藉由真空配管1674,1675 與乾式真空栗1653相連接。此外,差動排氣機構ι62 5的 環狀溝1627係藉由被連接在排氣口 1628的真空配管 1670’與超局真空果之渦輪分子栗連接。此外,鏡筒16〇1 内部’藉由連接在排氣口 1618的真空配管1671,與渦輪 分子泵1652相連接。這些渦輪分子泵i65i,i652,係藉 由真空配管1672,1673與乾式真空泵1653相連接。(本圖 中,係將渦輪分子泵的粗抽吸泵與真空處理室的真空排氣 用泵做為一台乾式真空泵兼用,但亦可根據供給至χγ台 的靜壓軸承的高壓氣體的流量,真空處理室的容積或内表 面積,真空配管的内徑及長度,以其他系統的乾式真空泵 進行排氣。) XY台1603的靜壓轴承中,高純度的惰性氣體(N2氣 體,Ar氣體等)係藉由撓性配管1621,1622供給。由靜壓 轴承喷出的該些氣體分子擴散於真空處理室内,並通過排 氣口 1619,1620a,1620b而藉由乾式真空泵23 53進行排 氣。此外’入侵至差動排氣機構或電子線照射空間的該些 氣體分子,由環狀溝1627或鏡筒1601的先端部吸引,而 通過排氣口 1628及1618,並藉由渦輪分子泵1651及1652 排氣,由渦輪分子泵排出後在藉由乾式真空泵1653排氣。 如此,被供給至靜壓軸承的高純度惰性氣體,係被聚 集在乾式真空泵後排出。 另一方面,乾式真空泵1653的排氣口,藉由配管1676 I」------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS>A4規格(210 * 297公芨> 124 W2766 539845 經濟部智慧財產局員工消費合作社印製 A7 b/ 五、發明說明(125 ) 與壓縮機1654連接,壓縮機2316的排氣口則藉由配管 1677,1678,167 9—,及調節器1661,1662而與撓性配管 1621,1622相連接。因此,由乾式真空栗1653所排出的 高純度惰性氣體,在藉由壓縮機1654再度被加壓並以調節 器1661,1662調整為適當的壓力後,再度被供給至χγ工 作台的靜壓轴承。 此外’被供給至靜壓轴承的氣體,如上所述,必須是 高純度’且盡量不含有水分或油份,因此,渦輪分子泵, 乾式真空泵及壓縮機,在構造上乃要求必須使水分或油份 無法混入氣體流路中。此外,在壓縮機的排出側配管丨677 的中途設置冷陷波或濾波器(1660),則有助於抑制混入循 環氣體中的水分或油份等雜質,以避免被供給至靜壓轴承 中 〇 藉此’可循環並再度利用高純度惰性氣體,因此可節 約高純度惰性氣體,並防止惰性氣體洩漏至設置有本裝置 的室内,進而可消除由惰性氣體所引起之窒息等事故的發 生。 此外,循環配管系中連接有高純度惰性氣體供給系 1663 ,具有以下機能:亦即,可在開始氣體循環之際,將 高純度惰性氣體充滿包含真空處理室C及真空配管ι67〇 至1675及加壓側配管1676至168〇的所有循環系;及因某 種原因導致循環氣體流量減少時,可供應其不足部分。 此外,藉由讓乾式真空泵1653,具有可壓縮至大氣壓 以上的機能,可將乾式真空泵1653及壓縮機1654做為一 ^--------^---------線 (請先閱讀背面之注意事項再填寫本頁)Standard of this paper Hao_Feng National Material (CNS) A4 specification (210 X 297 public love) J i27〇6 Printed by the Consumers 'Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs 539845 A7 — _ B7 V. Description of the invention (124') Figure 46 Shows the piping system of the device shown in Figure 44. The vacuum processing chamber C divided by the casing 1614 is connected to the dry vacuum pump 1653 through vacuum pipes 1674 and 1675. In addition, the annular groove 1627 of the differential exhaust mechanism 265 is connected to the turbo molecular pump of the ultra-local vacuum fruit via a vacuum pipe 1670 'connected to the exhaust port 1628. In addition, the inside of the lens barrel 1601 is connected to the turbo molecular pump 1652 through a vacuum pipe 1671 connected to the exhaust port 1618. These turbomolecular pumps i65i and i652 are connected to the dry vacuum pump 1653 through vacuum pipes 1672 and 1673. (In this figure, the rough suction pump of the turbo molecular pump and the vacuum exhaust chamber vacuum pump are used as a dry vacuum pump, but it can also be based on the flow of high-pressure gas supplied to the static pressure bearing of the χγ stage. The volume or internal surface area of the vacuum processing chamber, the internal diameter and length of the vacuum piping, are exhausted by dry vacuum pumps of other systems.) In the static pressure bearings of XY stage 1603, high-purity inert gases (N2 gas, Ar gas, etc.) ) Are supplied through flexible piping 1621, 1622. The gas molecules ejected from the hydrostatic bearing diffuse into the vacuum processing chamber and are exhausted through the exhaust ports 1619, 1620a, 1620b by the dry vacuum pump 2353. In addition, the gas molecules that have invaded the differential exhaust mechanism or the electron beam irradiation space are attracted by the annular groove 1627 or the tip of the lens barrel 1601, and pass through the exhaust ports 1628 and 1618, and by the turbo molecular pump 1651 And 1652 exhaust, exhausted by turbo molecular pump, and then exhausted by dry vacuum pump 1653. In this manner, the high-purity inert gas supplied to the hydrostatic bearing is collected in a dry vacuum pump and discharged. On the other hand, the exhaust port of the dry-type vacuum pump 1653 is made by piping 1676 I "----------- order --------- (Please read first Note on the back, please fill in this page again.) This paper size applies the Chinese national standard (CNS > A4 size (210 * 297 gong > 124 W2766 539845) Printed by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 b / V. Description of the invention ( 125) is connected to the compressor 1654, and the exhaust port of the compressor 2316 is connected to the flexible pipes 1621, 1622 through the pipes 1677, 1678, 167 9—, and the regulators 1661, 1662. Therefore, the dry vacuum pump The high-purity inert gas discharged from 1653 is again pressurized by the compressor 1654 and adjusted to an appropriate pressure by the regulators 1661 and 1662, and is again supplied to the static pressure bearing of the χγ table. In addition, it is supplied to As mentioned above, the gas of the static pressure bearing must be of high purity and contain no moisture or oil as much as possible. Therefore, the turbo molecular pump, dry vacuum pump and compressor must be constructed so that the moisture or oil cannot be mixed with the gas. In the flow path, and on the discharge side of the compressor, 677 If a cold trap or filter (1660) is installed in the middle, it will help to suppress impurities such as moisture or oil mixed in the circulating gas, so as to avoid being supplied to the hydrostatic bearing. This can 'recycle and reuse high purity Inert gas can save high-purity inert gas, prevent inert gas from leaking into the room where the device is installed, and eliminate the occurrence of suffocation and other accidents caused by inert gas. In addition, high-purity inert gas is connected to the circulation piping system. The gas supply system 1663 has the following functions: that is, high-purity inert gas can be filled in all cycles including the vacuum processing chamber C and the vacuum pipes 670 to 1675 and the pressure-side pipes 1676 to 168 ° at the start of gas circulation. If the circulating gas flow rate is reduced due to some reasons, the shortage can be supplied. In addition, by making the dry vacuum pump 1653 capable of compressing to above atmospheric pressure, the dry vacuum pump 1653 and the compressor 1654 can be used as one ^ -------- ^ --------- line (please read the notes on the back before filling this page)

3«766 539845 A73 «766 539845 A7

五、發明說明(126 > 台栗來使用。 經濟部智慧財產局員工消費合作社印製 此外,使用在鏡筒排氣的超高真空泵中,除—渦輪分子 泵外,亦可使用離子泵或吸氣泵。但是在使用該些儲存式 泵時,將無法於該部分建構循環配管系。此外,在乾式真 空泵之外,可使用膜片型乾式泵等,當然亦可使用其他方 式的乾式泵。 此外,藉由第44圖至第46圖所說明之第10實施形 態,與第9實施形態相同,具備有第43圖中所說明過的 光學系及檢出系。該第10實施形態中,係沿用與第43圖 相關的記述。此外,如第9實施形態所說明一般,藉由將 本發明之第10實施形態,使用在參照第3圖及第4圖(a)(b) 所說明之裝置製造方法中的檢查工程(G)或曝光工程(C), 可在高精度且安定的狀況下檢查或曝光細微的圖案,因此 可提昇製品良率,並防止缺陷製品的出貨。關於此點,因 沿用與第3圖及第4圖(a),(b)相關之說明,故在此省略其 說明。 爽_光學系之透鏡相關的實施形態(篦11 f施形態) 本發明之第11實施形態,係關於用以評價形成於試料 表面的圖案等電子線裝置及使用該電子線裝置,於製程圖 中或完成後進行試料評價的裝置製造方法,更詳細而言, 係關於·具有最小線寬O.ljtzm以下的圖案’可在高良品 製造率且高信賴性的狀態下進行試料上的裝置等的缺陷檢 查,CD測定,電位對比測定,高時間分解電位測定等評 價的電子線裝置;以及使用該種電子線裝置,於製程圖中 ^--------1--------- f請先閱讀背面之沒意事項再填寫本頁) 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 126 ΤΓ2756 539845 五、發明說明(127) 或完成後進行試料評價的裝置製造方法。 關於用以觀察評價包含絕緣材料的試料的裝置,已有 各種技術相關報告。在該種技術中,就掃描電子線顯微鏡 而言,其一般裝置有:可測定一次光束的光束電流,對試 料的吸收電流,來自照射裝置的反射電子線量,二次電子 線放出量等,並具有可評價充電狀態的充電檢測機能的裝V. Description of the invention (126 > Tai Li to use. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs. In addition, in the ultra-high vacuum pump used for lens barrel exhaust, in addition to-turbo molecular pump, ion pump or Suction pump. However, when using these storage pumps, the circulation piping system cannot be constructed in this part. In addition, in addition to dry vacuum pumps, diaphragm type dry pumps can be used, of course, other types of dry pumps can be used In addition, the tenth embodiment described with reference to FIGS. 44 to 46 is the same as the ninth embodiment, and includes the optical system and the detection system described in FIG. 43. In the tenth embodiment, The description related to FIG. 43 is used. In addition, as described in the ninth embodiment, the tenth embodiment of the present invention is used to refer to FIGS. 3 and 4 (a) and (b). The inspection process (G) or exposure process (C) in the device manufacturing method described can inspect or expose subtle patterns under high-precision and stable conditions, thereby improving product yield and preventing shipment of defective products. About this, because Explanations related to Figs. 3 and 4 (a) and (b) are omitted here, and the description is omitted here. Cool_Optical Lens Related Embodiments (篦 11 f stressing mode) The eleventh embodiment of the present invention The form relates to an electronic wire device for evaluating patterns and the like formed on the surface of a sample, and a device manufacturing method using the electronic wire device to evaluate a sample in a process drawing or after completion. More specifically, it relates to a device having a minimum line. A pattern with a width of less than 0.1 ljtzm is an electronic wire device that can be used for evaluation of defects such as devices on samples, CD measurement, potential comparison measurement, and high-time decomposition potential measurement with high reliability and high reliability; And use this kind of electronic wire device, in the process chart ^ -------- 1 --------- f Please read the unintentional matter on the back before filling this page) This paper size applies China National Standard (CNS) A4 specification (210 X 297 public love) 126 ΤΓ 2756 539845 V. Description of the invention (127) or device manufacturing method for sample evaluation after completion. Regarding the device for observing and evaluating a sample containing an insulating material, various technical related reports have been made. In this technique, as for a scanning electron beam microscope, its general devices include: the beam current of a primary beam, the absorption current of a sample, the amount of reflected electron rays from an irradiation device, the amount of secondary electron rays emitted, and the like Device with charge detection function capable of evaluating charging state

539845 五、發明說明(m) 子線之電子線源17 11 ;集束由電子線源17 11釋出之一次 電子線的聚透鏡1712 ;維納濾波器1715 ;及對物透鏡 1716這2裝置’係以第4 8圖所示方式配置。此外參照數 字1714及1717為對一次電子線進行軸對準之軸對準裝 置,1718為掃描一次電子線之偏向器,而1719為軸對稱 電極。 二次光學系1720,係沿著與一次光學系相關傾斜之光 轴配置。二次光學系,雖未顯示於第47圖中,亦可具備有 至少一個透鏡。檢出系1730,具備有:檢出器1731 ;隔介 放大器1732與檢出器1731相連接的圖像形成部1733。 訂 試料S,藉由一般周知的方法,以可拆裝的方式被支 撐在XY台1740上的支撐架1741,並藉由XY台1740朝 可向正交之2轴方向(第47圖中為左右方向及與紙面呈垂 直的方向)移動的方式支撐。 經濟部智慧財產局員工消費合作社印製 電子線裝置1701,還具備有:與支撐架1741做電性 連接的延遲電壓施加裝置(以下,稱之為施加裝置)1750 ; 充電調查及延遲電壓決定系統(以下,稱之為檢查及決定系 統)1760❶調查及延遲電壓決定系統1760,具備有:與圖 像形成部1733以電性連接的顯示器1761 ;與顯示器1761 連接的運算器1762;及與運算器1762相連接的CPU 1763。CPU 1763,係對施加裝置1750以及偏向器1717供 給訊號。 該第11實施形態中,聚透鏡1712與對物透鏡1716 實質上異有相同構造,因此以聚透鏡1712為例做詳細說 表紙張尺度適用_钃國家標準(CNS)A4規格(210 X 297公釐) 12& 312766 539845 A7 _ B7 五、發明說明(U今 明。為靜電軸對稱读# 透鏡的聚透鏡1712,削除一體之陶曼, 使其軸方向剖面形成如帛47圖所示之形狀。㈣,聚透鏡 1712具備有陶瓷製的主體1712•卜該主體1712_卜在其中 P 成圓开/孔1 7 1 2·2,並使其平面形狀形成環狀,内環 訂 側’如第47圖所示,依上下方向(沿著光軸的方向)區隔為 3個板狀部分1712-3乃至1712巧。陶竟製主體外 圍’特別是板狀部分1712_3乃至1712_5的周圍敷設有金 屬塗布膜1712_6乃至17124。該些塗布膜乃至 1712-8各具有電極(上電極1712-6,中間電極i7i2_7及下 電極1712-8)塗布膜亦即上及下電極1712_6及17128被施 加以接近接地側的電壓,正中央的塗布膜亦即中間電極 Π12-7,藉由設置在主體中的電極模具^^9,被 施加以絕對值大的正或負的高電壓,藉此以發揮透鏡作 用。該種透鏡,因削去一體之陶瓷,並經加工,故加工精 度良好,並可縮小透鏡外徑規格。 線 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 在本實施形態中的電子線裝置,因可縮小透鏡外徑規 格,故亦可縮小收藏電子線裝置的鏡筒的外徑大小。因此, 對於如徑口大的晶圓一般的試料,可配置複數的鏡筒以對 應一片晶圓。例如,假設透鏡外徑(直徑)為4〇mm,則如第 48圖所示一般,可將X方向上所排列之4個鏡筒1702朝 Y方向配置2列,合計配置8個鏡筒1 702以對應一片試 料。而讓保持S資料的工作台(無圖示)連續朝Y方向移動, 並在X方向上以各鏡筒進行掃描評價時,可獲得使用一電 子線進行評價時的7乃至8倍的通過量。 312766 本紙張尺度適用令國國家標準(CNS〉A4規格(210x297公釐) 539845 A7539845 V. Description of the invention (m) The electron wire source 17 11 of the sub-wires; the condenser lens 1712 that bundles the primary electron wires released by the electron wire source 17 11; the Wiener filter 1715; and the objective lens 1716. It is configured as shown in Figure 4-8. In addition, the reference numerals 1714 and 1717 are axis alignment devices for axially aligning the primary electron beam, 1718 is a deflector for scanning the primary electron beam, and 1719 is an axisymmetric electrode. The secondary optical system 1720 is arranged along the optical axis of the tilt associated with the primary optical system. Although not shown in Fig. 47, the secondary optical system may include at least one lens. The detection system 1730 includes: a detector 1731; and an image forming unit 1733 connected to the detector 1731 through a dielectric amplifier 1732. Order sample S, by a generally known method, is detachably supported on a support frame 1741 on the XY stage 1740, and the XY stage 1740 is oriented in a direction of two orthogonal axes (see FIG. 47: Left and right direction and direction perpendicular to the paper surface). The printed electronic wire device 1701 of the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs also includes: a delayed voltage application device (hereinafter, referred to as an application device) 1750 electrically connected to the support 1741; a charging survey and a delayed voltage determination system (Hereinafter, referred to as an inspection and determination system.) 1760❶The survey and delay voltage determination system 1760 includes a display 1761 electrically connected to the image forming unit 1733, a computing unit 1762 connected to the display 1761, and a computing unit. 1762 connected to the CPU 1763. The CPU 1763 supplies signals to the application device 1750 and the deflector 1717. In the eleventh embodiment, the condenser lens 1712 and the objective lens 1716 have substantially the same structure. Therefore, the condenser lens 1712 is taken as an example to describe in detail the paper size. _ 钃 National Standard (CNS) A4 (210 X 297) 12) 312766 539845 A7 _ B7 V. Description of the invention (U Jinming. This is a static lens that reads the condensing lens 1712 of the # lens, and removes the integral Taoman, so that its axial cross-section is shaped as shown in Figure 47. Alas, the condenser lens 1712 is provided with a ceramic main body 1712. The main body 1712_bu in which P forms a circular opening / hole 1 7 1 2 · 2, and the planar shape is formed into a ring shape, and the inner ring is fixed on the side as described above. As shown in Figure 47, three plate-shaped sections 1712-3 and even 1712 are divided in the up-down direction (along the direction of the optical axis). The outer periphery of the pottery body, especially the plate-shaped section 1712_3 and even 1712_5, is covered with metal. Coating films 1712_6 and even 17124. These coating films and even 1712-8 each have electrodes (upper electrode 1712-6, middle electrode i7i2_7 and lower electrode 1712-8). The coating films, that is, upper and lower electrodes 1712_6 and 17128 are applied to be close to ground. Voltage on the side, that is, the coating film in the center The intermediate electrode Π12-7, through the electrode mold ^^ 9 provided in the main body, is applied with a positive or negative high voltage having a large absolute value, thereby exerting the role of a lens. This kind of lens is made by cutting off the integral ceramic And processed, so the processing accuracy is good, and the outer diameter of the lens can be reduced. The electronic wire device printed in this embodiment by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Line Economy can reduce the outer diameter of the lens, so it can also be reduced. Reduce the outer diameter of the lens barrel for storing electronic wire devices. Therefore, for samples such as wafers with large apertures, multiple lens barrels can be configured to correspond to one wafer. For example, suppose the lens outer diameter (diameter) is 4 〇mm, as shown in Fig. 48, four lens barrels 1702 arranged in the X direction can be arranged in two rows in the Y direction, and a total of 8 lens barrels 1 702 can be arranged to correspond to a sample. When the worktable (not shown) is continuously moved in the Y direction, and the scanning evaluation is performed with each lens barrel in the X direction, a throughput of 7 to 8 times when using an electronic wire for evaluation is obtained. 312766 This paper scale Be applicable Order country national standard (CNS> A4 size (210x297 mm) 539845 A7

五、發明說明(1:A (請先閱讀背面之注音?事項再填寫本頁) 在上述電子線裝置中,由一次光學系171〇的電子線 源1711的陰極1711-1所釋出的一次電子線亦即光束,係 藉由陽極1711-2加速。由一次電子線所形成的電子線源的 交迭像,藉由聚透鏡1712及對物透鏡1716縮小為5〇nm 左右的細光束而在S試料上進行掃描照射。藉由該一次電 子線的照射,而由試料釋出的二次電子線,由軸對稱電極 1719所引導而被引至對物透鏡側,而藉由該軸對稱電極 1719讓二次電子線通過對物透鏡1716側或回到試料側, 則可獲得試料圖案的電位對比。 經濟部智慧財產局員工消費合作社印製 通過對物透鏡的二次電子線,藉由維納濾波器1 7丨5, 由一次光學系1 7 1 0分離而被導入二次電子光學系(以下簡 稱一次光學系)1720,並藉由檢出系1730的檢出器1731檢 出。檢出器1731,將所檢出之二次電子線像變換為表示該 強度之電氣信號。而由各檢出器輸出的電氣信號藉由相對 應的放大器1732放大後,被輸入圖像形成部1733,並在 該圖像形成部變換為圖像資料。圖像形成部1 7 33,又被供 給以用以偏向一次電子線的掃描信號,因此,圖像形成部 將顯示出表示試料S的圖像。藉由將該圖像與基準圖案相 比較,可檢測出試料S的缺陷。此外,在本實施形態中, 雖使用單一電子線,但與使用複數的光束相較,在良品製 造率的提昇上,較使用單一光束為理想。 於圖像形成部1733變換的圖像資料,係藉由調查及 決定裝置1760之顯示裝置1761以圖像顯示,並藉由運算 器1762來評價圖像。運算器1762在本實施形態中,係用V. Description of the invention (1: A (please read the note on the back? Matters before filling out this page) In the above-mentioned electronic wire device, one time was released by the cathode 1711-1 of the electronic wire source 1711 of the optical system 1710. The electron beam, that is, the beam, is accelerated by the anode 1711-2. The overlapping image of the electron beam source formed by the primary electron beam is reduced to a thin beam of about 50 nm by the condenser lens 1712 and the objective lens 1716. Scanning irradiation is performed on the S sample. With the irradiation of the primary electron beam, the secondary electron beam released from the sample is guided by the axisymmetric electrode 1719 to the object lens side, and is symmetric by the axis. The electrode 1719 allows the secondary electron wire to pass through the object lens 1716 side or return to the sample side, and the potential contrast of the sample pattern can be obtained. The Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs prints the secondary electron wire passing through the object lens. The Wiener filter 1 7 丨 5 is separated by the primary optical system 17 10 and introduced into the secondary electron optical system (hereinafter referred to as the primary optical system) 1720 and detected by the detector 1731 of the detection system 1730. Detector 1731 The sub-line image is converted into an electric signal indicating the intensity. The electric signals output by the detectors are amplified by a corresponding amplifier 1732, and then input to the image forming section 1733, and the image forming section converts the image into a graph. Image data. The image forming unit 1 7 33 is supplied with a scanning signal for biasing the primary electron beam. Therefore, the image forming unit will display an image representing the sample S. By combining this image with the reference pattern In comparison, the defect of the sample S can be detected. In addition, although a single electron beam is used in this embodiment, compared with the use of a plurality of light beams, it is preferable to use a single light beam to improve the yield of good products. The image data converted by the image forming unit 1733 is displayed as an image by the display device 1761 of the survey and determination device 1760, and the image is evaluated by the calculator 1762. In the present embodiment, the calculator 1762 is used

13U “氏張尺度適用中國國 53984513U “The Zhang scale is applicable to China 539845

五、發明說明(u) 經濟部智慧財產局員工消費合作社印製 以執行充電調查機能。此外運算器1762還可根據圖像調查 充電狀態。而將其結果輸入CPU 1763中,而將延遲電壓 設定為最適值。CPU 1763,在本實施形態中,係構成延遲 電壓決定裝置。 更具體而言,即評價被評價試料易受充電影響的位 置,亦即第49圖的[A]所示一般,形成於做為試料的晶圓 表面上的晶片1770的記憶胞1771的角隅部。亦即,測定 (1)角隅部的記憶胞界限1772的圖案偏移量1773,1774, 或是,(2)將在記憶胞的角隅部中,以橫切方式(如箭號A1 及A2所示一般)掃描時所獲得之信號強度的對比,以第49 圖的[B]中的實線1775及1777表示,而與晶片中心部朝箭 號A3, A4方向掃描圖案時所得之信號強度的對比1776及 177 8(兩者均在第49圖的[B]中以虛線表示)相比較。 對延遲電壓施加裝置1750施加複數值的電壓,在此 同時,測定偏移量1773及17 74或對比1775, 1777及1776, 1778,偏移量1773與1774較小者,評價為充電影響較小。 此外,角隅部的對比值1775,1777,接近中心部的對 比值者,評價為充電影響較小。 發現充電狀態良好的延遲電壓時,藉由CPU 1763將 該值傳送到施加裝置1750,或在發現最適當的光束電流值 時,利用該些值來進行試料亦即晶圓的評價。 本發明之第11實施形態,可被使用在參照第3圖及第 4圖之(a)及(b)而說明的裝置製造方法中的檢查工程(G)。 藉此,即使是具有細微圖案的半導體裝置,亦可在良品製 --------^---------線 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS>A4規格(210x297公爱) 131 312766 539845 A7 _ B7__ 五、發明說明(13今 造率良好狀態下進行檢查,因此可全數檢查,提昇製品良 率,並防止缺陷製品的出貨。關於此點,因沿用與第3圖 及第4圖(a)及(b)相關之說明,故在此省略其說明。 與缺陷檢查相關之實施形態(第12實施形態) 本發明之第12實施形態’係關於藉由將半導體晶圓 等試料圖像與事先準備好的基準圖像相比較,以檢查該試 料缺陷的缺陷檢查裝置;以及使用該缺陷檢查裝置,製造 半導體裝置的半導體裝置製造方法。 先前,係將藉由檢測出將一次電子線照射到半導體晶 圓等試料而產生的二次電子線,以檢查該試料缺陷的缺陷 檢查裝置利用在半導體製造程序中。該種缺陷檢查裝置, 具有應用圖像認識技術,以達到缺陷檢查自動化與效率化 的技術"該技術,係藉由電腦,對藉由檢出二次電子線而 取得的試料表面的被檢查區域的圖案圖像資料,與事先儲 存的試料表面的基準圖像資料進行匹配演算,並根據該演 算結果,自動判定試料缺陷的有無。 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 現今,特別是在半導體製造範疇中,隨著圖案的高精 細化的演進,檢出細微缺陷的需要也漸形重要。在此狀態 下,在應用上述圖像認識技術的缺陷檢查裝置方面,也被 要求以更進一步的認識精度提昇。 但是’在上述先前技術中,具有以下問題:將一次電 子線照射到試料表面的被檢查區域而取得之二次電子線的 圖像,與事先準備之基準圖像之間,會產生位置偏差,而 導致缺陷檢出精度的下降。該位置偏差,在一次電子線的 本纸張尺度適用_國國冢標準(CNS〉A4規格⑵〇χ297公爱j --------- D 132 312766 539845 經濟部智慧財產局員工消費合作社印製 A7 _ β7 五、發明說明(133) 照射區域偏離晶圓,檢查圖案的一部份二次電子線的檢出 圖像中下陷時,特別會產生重大問題,致使無法在檢出圖 像内,僅以適當調整技術(參照特公平6-95340號公報)處 理匹配區域。此點,特別在高精細圖案檢查上係一致命缺 本發明之第12實施形態,為解決上述問題,乃提供: 用以防止因被檢查圖像與基準圖像之間的位置偏差而引起 之缺陷檢查精度的降低的缺陷檢查裝置;以及,藉由於半 導體裝置的製造程序中,使用上述缺陷檢查裝置以進行試 料缺陷檢查’以&歼裝置製品的良率,並防止缺陷製品的 出貨的半導體製造方法。 第50圖,顯示與本發明之第丨丨實施形態相關的缺陷 檢查裝置的概略構成。該缺陷檢查裝置,將釋出一次電子 線的電子線源1 80 1 ;將所釋出之一次電子線偏向成形的靜 電透鏡1802’在電%* E與磁場B的正交位置上,將形成之 一次電子線偏向使之能以略垂直方式與半導體晶圓接觸之 維納濾波器1803;將偏向後的一次電子線成像於晶圓18〇5 上的對物透鏡1810,裝設在可真空排氣之無圖示之試料室 内’具備有·在載置晶圓1805的狀態下,可在水平面内移 動的工作台1 804 ;以所定倍率,對經一次電子線之照射而 由晶圓1805釋出的二次電子線及/或反射電子線進行顯像 投影並使之成像的顯像投影係的靜電透鏡1 8 0 6 ;將成像之 像檢出以做為晶圓之^一次電子線圖像的檢出器18Q7;以 及,在控制裝置全體的同時,根據檢出器1 807所檢出之二 本紙張尺度適用中國國家標準(CNS〉A4規格(210x 297公餐) 133 3127665. Description of the invention (u) Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs to perform the charging investigation function. In addition, the calculator 1762 can check the charging status based on the image. The result is input to the CPU 1763, and the delay voltage is set to an optimum value. The CPU 1763 in this embodiment constitutes a delay voltage determining device. More specifically, the position where the sample to be evaluated is susceptible to charging is evaluated, that is, as shown in [A] of FIG. 49, the corner of the memory cell 1771 of the wafer 1770 formed on the surface of the wafer as the sample is generally unit. That is, determine (1) the pattern offset 1773, 1774 of the memory cell boundary 1772 of the corner cell, or, (2) the cross-section of the corner cell of the memory cell (such as arrow A1 and The comparison of the signal intensity obtained during the scan of A2 in general) is shown by the solid lines 1775 and 1777 in [B] in Figure 49, and the signal obtained when the pattern is scanned in the direction of the arrows A3 and A4 in the center of the wafer The intensity comparisons 1776 and 177 8 (both are indicated by dashed lines in [B] in Figure 49) are compared. A complex voltage is applied to the delay voltage application device 1750, and at the same time, the offsets 1773 and 17 74 are measured or compared with 1775, 1777 and 1776, 1778, and the smaller offsets 1773 and 1774 are evaluated as having a small charging effect. . In addition, the comparison values of the corners were 1775 and 1777, and those who were close to the comparison values at the center were evaluated as having less influence on charging. When a good delay voltage is found, the value is transmitted to the application device 1750 by the CPU 1763, or when the most appropriate beam current value is found, these values are used to evaluate the sample, that is, the wafer. The eleventh embodiment of the present invention can be used in the inspection process (G) in the device manufacturing method described with reference to Figs. 3 and 4 (a) and (b). In this way, even semiconductor devices with fine patterns can be manufactured in good quality -------- ^ --------- lines (please read the precautions on the back before filling this page) Paper size applies Chinese national standard (CNS > A4 specification (210x297 public love) 131 312766 539845 A7 _ B7__ V. Description of the invention (13 Inspection under good production conditions, so all inspections can be carried out to improve product yield and prevent defects Shipment of products. In this regard, the descriptions related to Figures 3 and 4 (a) and (b) are used, so the description is omitted here. Embodiment related to defect inspection (12th embodiment) The twelfth embodiment of the present invention is a defect inspection device for comparing a sample image of a semiconductor wafer or the like with a reference image prepared in advance to inspect the sample defect, and a semiconductor inspection device using the defect inspection device. A method for manufacturing a semiconductor device of a device. Previously, a defect inspection device for detecting a defect of a sample by detecting a secondary electron line generated by irradiating a primary electron line to a sample such as a semiconductor wafer was used in a semiconductor device. In the manufacturing process, this type of defect inspection device has the technology of applying image recognition technology to achieve automation and efficiency of defect inspection. "This technology uses a computer to detect the secondary electron line. The pattern image data of the inspected area of the sample surface is matched with the reference image data of the sample surface stored in advance, and the existence of the sample defect is automatically determined based on the calculation result. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs Nowadays, especially in the field of semiconductor manufacturing, with the evolution of high-definition patterns, the need to detect subtle defects has become increasingly important. In this state, in the defect inspection device applying the above-mentioned image recognition technology, It is required to further improve the accuracy of recognition. However, in the above-mentioned prior art, there is a problem that an image of a secondary electron beam obtained by irradiating a primary electron beam to a test area on a surface of a sample and a reference prepared in advance Position deviations occur between the images, resulting in a reduction in the accuracy of defect detection. Positional deviation, applicable to this paper standard of primary electronic wire_Guo Guozuo Standard (CNS> A4 Specification ⑵〇χ297 公 爱 j --------- D 132 312766 539845 Intellectual Property Bureau, Ministry of Economic Affairs, Consumer Consumption Cooperative) Print A7 _ β7 V. Description of the invention (133) When the irradiation area deviates from the wafer, and a part of the secondary electron line of the inspection pattern is sunken in the detected image, a major problem will occur in particular, making it impossible to detect the image. Here, the matching area is processed only by appropriate adjustment techniques (refer to Japanese Patent Publication No. 6-95340). In this regard, the twelfth embodiment of the present invention is a fatal problem especially in high-resolution pattern inspection. : A defect inspection device for preventing a reduction in defect inspection accuracy due to a positional deviation between an image to be inspected and a reference image; and the use of the above-mentioned defect inspection device to perform a sample due to a manufacturing process of a semiconductor device Defect Inspection 'is a semiconductor manufacturing method that annihilates the yield of device products and prevents shipment of defective products. Fig. 50 is a diagram showing a schematic configuration of a defect inspection apparatus according to a first embodiment of the present invention. This defect inspection device will release the electron beam source 1 80 1 of the primary electron beams; and deflect the released primary electron beams to the formed electrostatic lens 1802 ′ at the orthogonal position of the electric% * E and the magnetic field B, and will form The Wiener filter 1803 that the primary electron beam is deflected so that it can be in contact with the semiconductor wafer in a slightly vertical manner; the primary electron beam that is deflected is imaged on the objective lens 1810 on the wafer 1805 and installed in a vacuum The exhaust chamber (not shown) is equipped with a worktable 1 804 that can be moved in the horizontal plane with the wafer 1805 mounted; the wafer 1805 is exposed to a single electron beam at a predetermined magnification. An electrostatic lens of a development projection system of which the released secondary electron line and / or reflected electron line are used for development projection and imaging, and the imaged image is detected as the primary electron line of the wafer Image detector 18Q7; and, while controlling the entire device, according to the two paper sizes detected by the detector 1 807, the Chinese national standard (CNS> A4 specification (210x 297 meals)) 133 312766

--------------^ ·! (請先閱讀背面之注音)事項再填寫本頁) . -線. 539845 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(134) 次電子線圖像,來檢出晶圓1 805缺陷的的處理執行控制部 1816。此外,二次電子線圖像中,除二次電子線的寄與之 外,尚包含反射電子之寄與。 此外,對物透鏡1810與晶圓1805之間,隔介有藉由 電場等,偏向對一次電子線之晶圓1805之入射角度的偏向 電極1811。該偏向電極中1811,係與控制該偏向電極的電 場的偏向控制器1812相連接。該偏向控制器1812,與控 制部1 816相連接,用以控制該偏向電極,使對應來自控制 部1816的指令的電場得以在偏向電極中產生。此外,偏向 控制器1812,亦可構成控制偏向電極1811供給電壓之電 壓控制裝置。 檢出器1807,只要是能夠將藉由靜電透鏡18〇6成像 的二次電子線圖像變換為可進行後處理的信號者,可作成 任一種構成。例如,第55圖所詳示一般,檢出器! 8〇7, 係具備有:多通道板1850;螢光面1852;中繼透鏡1854; 即由多數之CCD元件所形成之攝像感測器ι856。多通道 板1850,其板内具備多數之通道,當藉由靜電透鏡18〇6 成像之二次電子通過該通道内時,將產生更多的電子。亦 即可讓二次電子線放大。螢光面1852,藉由放大之二次電 子線產生榮光’並藉此將二次電子線轉換為光。中繼透鏡 1854 ’將該螢光引導至CCD攝像感測器1856,ccD攝像 感測器1856,則將晶圓1805表面上的二次電子的強度分 佈變換為各元件之電氣信號,亦即數位圖像資料,並輸出 至控制部1 816。 (請先閱讀背面之注音?事項再填寫本頁> --------訂·---------------------- ^ ·! (Please read the note on the back) before filling out this page.) -Line. 539845 Printed by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economy DESCRIPTION OF THE INVENTION The process execution control unit 1816 detects (134) electron beam images to detect a defect on the wafer 1 805. In addition, the secondary electron line image contains the reflected electrons in addition to the secondary electron lines. In addition, between the objective lens 1810 and the wafer 1805, there is a deflection electrode 1811 that is biased toward the incident angle of the wafer 1805 to the primary electron line by an electric field or the like. The bias electrode 1811 is connected to a bias controller 1812 that controls the electric field of the bias electrode. The bias controller 1812 is connected to the control unit 1 816 to control the bias electrode, so that an electric field corresponding to a command from the control unit 1816 can be generated in the bias electrode. In addition, the bias controller 1812 may constitute a voltage control device that controls the voltage supplied to the bias electrode 1811. The detector 1807 may have any configuration as long as it can convert a secondary electron beam image formed by the electrostatic lens 1806 into a signal that can be post-processed. For example, Figure 55 details General, Detector! 807, is equipped with: a multi-channel plate 1850; a fluorescent surface 1852; a relay lens 1854; that is, a camera sensor 856 formed by a majority of CCD elements. The multi-channel plate 1850 has many channels in the plate. When the secondary electrons imaged by the electrostatic lens 1806 pass through the channel, more electrons will be generated. That is, the secondary electron line can be enlarged. The fluorescent surface 1852 generates glory 'by the amplified secondary electron line, and thereby converts the secondary electron line into light. The relay lens 1854 'guides the fluorescent light to the CCD camera sensor 1856, and the ccD camera sensor 1856 converts the intensity distribution of the secondary electrons on the surface of the wafer 1805 into the electrical signals of the components, that is, digital The image data is output to the control unit 1 816. (Please read the Zhuyin on the back? Matters before filling out this page> -------- Order · --------

539845 五、發明說明(135) 控制部1816,如第50圖所例示一般,可由一般使用 的個人電腦等構成。該電腦中,具備有:依照指定程式進 行各種控制’演异處理的控制部主體1 8丨4 ;顯示主體丨8 i 4 處理結果的CRT 1815;讓操作者輸入命令的鍵盤或滑鼠等 輸入部1818。當然亦可以缺陷檢查裝置專用的硬體,或工 作平台等來構成控制部1 816。 控制部主體1814 ’係以無圖示之CPU,RAM,ROM, 硬碟’影像基板等各種控制基板構成。RAM或硬碟等的記 憶體上,配置有二次電子線圖像儲存區域,用以儲存由檢 出器1807所接收之電氣信號,亦即晶圓18〇5的二次電子 線圖像的數位圖像資料。此外,在硬碟上,則存在有事先 儲存無缺陷之晶圓的基準圖像資料的基準圖像記憶部 1813 〇此外,硬碟中,還儲存有:控制缺陷檢查裝置全體 的控制程式;由儲存區域1808讀出二次電子線圖像資料, 並根據該圖像資料,依照指定之運算方法自動檢出晶圓 1805缺陷的缺陷檢出程式1809。該缺陷檢出程式18〇9, 其詳細内容將如後述一般,可取得由基準圖像記憶部1813 所讀出之基準圖像,與實際檢出之二次電子線圖像的匹 配,自動檢出缺陷部分,並可在判定為有缺陷時對操作 者提示警告之機能。此時,亦可在CRT1815顯示部中顯示 二次過電流生成圖像1 8 1 7。 其次,以第52圖至第54圖所示之流程圖為例來說明 第50圖所示之缺陷檢查裝置作用。首先,如第52圖之主 程序的流程所示一般,先將最為檢查對象的晶圓18〇5安裝 本紙張g用t國國家標準((5ns〉A4規4⑽,公爱) 135 訂 線 312766 539845 五、發明說明(136)539845 V. Description of the invention (135) The control unit 1816, as illustrated in Fig. 50, may be composed of a personal computer or the like which is generally used. This computer is provided with: a control unit main body 1 8 丨 4 that performs various controls according to a specified program; a CRT 1815 that displays the main body 8 i 4 processing results; and a keyboard or mouse input for the operator to input commands Department 1818. Of course, the control unit 1 816 may be constituted by hardware dedicated to the defect inspection device or a work platform. The control unit main body 1814 'is constituted by various control substrates such as a CPU, RAM, ROM, hard disk' video substrate and the like, which are not shown. A memory such as a RAM or a hard disk is provided with a secondary electron beam image storage area for storing electrical signals received by the detector 1807, that is, the secondary electron beam image of the wafer 1805. Digital image data. In addition, on the hard disk, there is a reference image memory section 1813 that stores reference image data of a non-defective wafer in advance. In addition, the hard disk also stores: a control program for controlling the entire defect inspection device; The storage area 1808 reads out the secondary electron beam image data, and according to the image data, the defect detection program 1809 for automatically detecting the defects of the wafer 1805 according to the designated operation method. This defect detection program 1809, the details of which will be described later, can obtain the reference image read from the reference image memory 1813, and the secondary electron line image actually detected, the automatic detection Defective part, and can warn the operator when it is judged to be defective. At this time, the secondary overcurrent generation image 1 8 1 7 can also be displayed on the CRT1815 display. Next, the operation of the defect inspection device shown in FIG. 50 will be described using the flowcharts shown in FIGS. 52 to 54 as an example. First, as shown in the flow chart of the main program in FIG. 52, firstly install the wafer 1805 which is the most inspected object on this paper and use the national standard ((5ns> A4 rule 4 规, public love) 135 312766 539845 V. Description of the invention (136)

接著,分別取得在晶圓1805表面的χγ平面上,一面 進行部分重疊一面相互變位的複數的被檢查區域的圖像。 (步驟1904)。該些應取得圖像之複數的被檢查區域,係指: 如第56圖所示,例如晶圓檢查表面1834上,以參照號碼 1832a’ 1832b,…1832k,···所示 繞著晶圓檢查圖案1830的周圍, 開位置。例如,如第51圖所示, 所示之矩形區域,這些區域係 丨圍’在部分重疊的同時彼此錯 ’示’可取得16個被檢查區域 的圖像1832(被檢查圖像)。在此,第51圖所示圖像,其矩 形的方塊(或較像素大的區塊單位亦可)相當於i像素,其 中塗黑的方塊係相當於晶圓1805上的圖案的圖像部分。本 步驟1904的詳細内容,詳見後述之第53圖的流程圖。 接著,將在步驟1904所取得的多數被檢查區域的圖 像寅料與儲存在記憶部1 819的基準圖像,相互做比較對照 (第52圖的步驟1908),以判斷由上述複數之被檢查區域所 網羅之晶圓檢查面上是否有缺陷。本工程,係實行所謂的 圖像庭料彼此間的匹配處理,其詳細内容,詳見後述之第 5 4圖之流程圖。 由步驟1 908的比較結果,判斷由上述複數之被檢查 區域所網羅之晶圓檢查面上有缺陷時(步驟1912肯定判 斷)’對操作者提示缺陷存在之警告(步驟1918)。其警告方 式有··例如,在CRT1 81 5的顯示部顯示缺陷存在之通知訊 本紙張尺度適用+ fel g菜標準(CNS)A4規格⑵G χ视公爱) 539845Next, an image of a plurality of inspected areas on the χγ plane of the surface of the wafer 1805, each of which is partially overlapped and mutually displaced, is acquired. (Step 1904). The plurality of inspected areas for which images should be acquired refers to: As shown in FIG. 56, for example, on the wafer inspection surface 1834, the wafer is circled with reference numbers 1832a '1832b, ... 1832k, ... Check around the pattern 1830, open position. For example, as shown in FIG. 51, the rectangular areas shown are bounded and partially overlapped with each other while being shown, and an image 1832 (inspected image) of 16 inspected areas can be obtained. Here, in the image shown in FIG. 51, a rectangular block (or a block unit larger than a pixel may be equivalent) corresponds to an i pixel, and a black block corresponds to an image portion of a pattern on the wafer 1805. . For details of this step 1904, refer to the flowchart in FIG. 53 described later. Next, compare the image data of most of the inspected areas obtained in step 1904 with the reference image stored in the memory section 1819, and compare each other (step 1908 in FIG. 52) to determine whether the multiple Check the wafer inspection surface for defects in the inspection area. This project is to implement the so-called matching process of image materials. For details, please refer to the flowchart in Figure 54 below. From the comparison result of step 1908, it is judged that there is a defect in the wafer inspection surface collected from the above-mentioned plurality of inspected areas (affirmative judgment in step 1912), and the operator is warned of the existence of the defect (step 1918). The warning methods are: · For example, a notice indicating the existence of a defect is displayed on the display of CRT1 81 5 The paper size applies + fel g dish standard (CNS) A4 specification ⑵G χ as public love) 539845

息’並在此同時’可顯示有缺陷之圖案的擴大圖像1817。 將該有缺陷之晶圓立即由試料室i803中取出,與無缺陷之 晶圓分置於不同之保管處保存(步驟1919)。 (請先閱讀背面之注音》事項再填寫本頁) 步驟1908的比較結果,判斷晶圓1805無缺陷時(步騍 1912否定判斷),對目前做為檢查對象之晶圓18〇5,進行 疋否還有未檢查之區域之判斷(步驟1914)。當還留有必須 檢查的區域時(步驟1914肯定判斷),則啟動工作台ι8〇4, 將晶圓1805移動至尚須檢查之其他區域能夠完全納入_ 次電子線的照射區域(步驟1916)。之後,再回到步驟19〇2 並對其他檢查區域進行相同之處理。 經濟部智慧財產局員工消費合作社印製 當不存在待檢查之區域時(步驟1914否定判斷),或在 取出缺陷晶圓工程(步驟1919)之後,則判斷正做為檢查對 象的晶圓1 805,使否為最後的晶圓,亦即判斷無圖示的裝 載器中,使否還存在有未檢查的晶圓(步驟1920否定判 斷),將檢查完畢的晶圓保管在指定之儲存處,而另一方面 則將尚未檢查之新晶圓安裝在工作台上(步驟1922)。之 後,回到步驟1902並對該當晶圓進行相同之處理。判斷為 最後晶圓時,(步驟1920肯定判斷),將檢查完畢的晶圓放 至指定儲存處保管,並結束所有工程。 其次,參照第53圖的流程圖,說明步驟1904的處理 流程。在第53圖中,首先將圖像號碼I設定為初期值1(步 驟1930)。該圖像號碼為,依序賦予複數之被檢查區域圖 像的識別號碼。其次,根據所安裝的圖像號碼I的被檢查 區域決定圖像位置(Xi,Yi)(步驟1932)。該圖像位置係被 衣·紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 312766 m 五、發明說明(m) 定義為劃定被檢查區域的該區域 U ^ ^ 符疋位置,例如該區 二内的中心位置。以目前而言,因μ故圖像位置為(Xl, 該位置,例如相當於第16圖所示之被檢查區域18仏 的中心位置》所有的被檢查圖像區域的圖像位置被預先設 定,例如被健存於控制部1816的硬碟,而於步驟㈣被 讀出。 其次,為了讓通過第50圖的偏向電極1811的一次電 子線能夠照射到在步驟1932中所決定的圖像位置(χι,γι) 的被檢查圖像區域,乃藉由偏向控制器1812對偏向電極 1811施加電位(第53圖之步驟1934)。接著,由電子線源 2501釋出電子線,通過靜電透鏡18〇2,維納濾波器18〇3, 對物透鏡1810及偏向電極1811,照射到所安裝之晶圓18〇5 的表面上(步驟1936)。此時,一次電子線,藉由偏向電極 1811所產生之電場偏向,並照射至晶圓檢查表面ι834上 的圖像位置(Xi,Yi)的被檢查圖像區域全體上。當圖像號 碼為i=l時,被檢查區域即為1832a。 經濟部智慧財產局員工消費合作社印製 由一次電子縣所照射之被檢查區域釋出二次電子線 及/或反射電子線(以下,將兩者合稱為「二次電子線」)。 然後藉由擴大投影系的靜電透鏡1806將所發生的二次電 子線以指定之倍率於檢出器1807中成像。檢出器1807, 檢出所成像之二次電子線,並變換輸出為各檢出元件的電 氣信號亦即數位圖像資料(步驟1938)。最後,將所檢出之 圖像號碼i的數位圖像資料轉送至二次電子線圖像記憶區 域1808中(步驟1940)。 本紙張尺度適用中國國家標準(CNS)A4規格(210x 297公爱) 138 312766 539845 五、發明說明(139) 其次,將圖像號碼1只增量為1(步驟1942),判斷所 增量的圖像號碼(hi)是否超過一定值iMAx(步驟1944)。該 iMAX係應取得之被檢查圖像的數量’於第51圖的上述例^ 為「16」。 圖像號碼(i+i)未超過一定值iMAX(步驟1944否定判斷) 時,再度回到步驟1932,以再度針對增量之圖像號碼(Ηι) 決定圖像位置(X,+1 ’ Yi+1)。該圖像位置,係指由之前的程 序所決定的圖像位置(Xi ’ Yi)到達X方向以及/或Y方向僅 移動一定距離(ΔΧί,ΔΥί)時的位置。在第56圖的例中, 被檢查區域,為由(Xi,Yi)移動至Υ方向的位置(χ2,D, 係以虛線所不之矩形區域1832b。此外,(△ X丨,△ Y i X丨=:1, 2,…iMAx)的值,可根據晶圓檢查面1834的圖案1830與 檢出器1807的視野之間的實際偏差資料,被檢查區域之數 量及面積,予以適度的設定。 經濟部智慧財產局員工消費合作社印製 接著’於iMAX 個被檢查區域中,依序反覆進行步驟 1932至1942的處理。該些被檢查區域,如第56圖所示, 進行過k次移動的圖像位置(Xk,Yk),形成被檢查圖像區 域183 2k —般,在晶圓的檢查面1834上,係在進行部分重 疊的同時錯開位置。如此一來,圖像記憶區域1 808可藉此 取得第51圖所例示之16個被檢查區域的圖像。所取得之 複數的被檢查區域的圖像1832(被檢查圖像),如第56圖所 示一般,係部分或完全地納含晶圓檢查面1834上的圖案 1830的圖像183〇。 當增量的圖像號碼i超過iMAX(步驟1944肯定判斷) 312766 Μ氏張尺度適用t國國家標準(CNS〉A4規格(210x297公釐 539845 經濟部智慧財產局員工消費合作社印製 A7 ------_____ 五、發明制(14G) ' 時,重複該副程序並移至第52圖的主程序的比較工程(步 驟 1908)。 此外’在步驟1940中被儲存轉送的圖像資料,係由 檢出器1807所檢出之各像素的二次電子的強度值(所謂的 電感kData))所形成,因在後段的比較工程(第52 圖的步驟1908)中,與基準圖像進行匹配演算,故可在進 行各種演算處理的狀態下,儲存於記憶區域8中。該演算 處理中’包含有:例如,可讓圖像資料的大小以及/或濃度 月b夠與基準圖像的大小以及/或濃度一致的正規劃處理;及 將所定像素數量以下的孤立像素群視為雜訊並予以去除的 處理等。此外,除了單純的電感資料(Beta Data)外,亦可 資料壓縮變換為可在不降低高精細圖案的檢出精度的範圍 内抽出檢出圖案特徵的特徵矩陣。該種特徵矩陣,例如有: 將由MxN像素所形成的二次元被檢查區域,分割為mxn (m <M’n<N)區塊,並將各區塊所包含的像素的二次電子線. 強度值的總和(或是被檢查區域全體的總像素數除以該總 和值所得的正規化值)’做為各矩陣成分而形成的mxn特 徵矩陣。此時,基準圖像資料也以與此相同之表現儲存。 第11實施形態申所稱之圖像資料,除單純的電感資料Beta Data之外,亦包含以該種任意演算功能所特徵抽出的圖像 賢料。 其次,參照第54圖的流程圖,說明步驟1908的處理 流程。首先,控制部1816的CPU,由基準圖像記憶部 1813(第50圖)將基準圖像資料讀取至RAM等工作記憶體 ^--------1--------- (請先閱讀背面之注意事項再填寫本頁)At the same time, an enlarged image 1817 of a defective pattern can be displayed. The defective wafer is immediately taken out from the sample chamber i803, and the non-defective wafer is stored in a separate storage place (step 1919). (Please read the note on the back side first and then fill out this page) When the comparison result of step 1908 determines that the wafer 1805 is free of defects (step 1912 negative judgment), the wafer 1805 which is currently being inspected is subjected to 疋It is judged whether there is an unchecked area (step 1914). When there is an area that must be inspected (affirmative judgement in step 1914), the worktable ι804 is started, and the wafer 1805 is moved to another area that must be inspected and can be completely included in the irradiation area of the secondary electron beam (step 1916) . After that, return to step 1902 and perform the same processing for other inspection areas. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs when there is no area to be inspected (negative judgment in step 1914), or after the defective wafer project is taken out (step 1919), it is judged that the wafer is the inspection object 1 805 , Make it the final wafer, that is, determine whether there are unchecked wafers in the unloaded loader (step 1920 negative judgment), and store the inspected wafers in the designated storage place, On the other hand, a new wafer that has not been inspected is mounted on the table (step 1922). After that, it returns to step 1902 and performs the same processing on the current wafer. When it is judged that it is the last wafer (affirmative judgment in step 1920), the inspected wafer is stored in a designated storage place, and all processes are completed. Next, the processing flow of step 1904 will be described with reference to the flowchart in FIG. 53. In Fig. 53, the picture number I is first set to an initial value of 1 (step 1930). The image number is an identification number in which a plurality of images of the inspected area are sequentially assigned. Next, the image position (Xi, Yi) is determined based on the inspected area of the mounted image number I (step 1932). The position of this image is the size of the quilt and paper. Applicable to the Chinese National Standard (CNS) A4 (210 X 297 public love) 312766 m. 5. Description of the invention (m) The area defined as the area to be inspected U ^ ^ Symbol 疋Location, such as the central location within the second zone. At present, due to μ, the image position is (X1, this position is equivalent to, for example, the center position of the inspected area 18 仏 shown in FIG. 16》. The image positions of all inspected image areas are set in advance. For example, it is stored in the hard disk of the control unit 1816, and is read in step ㈣. Second, in order to allow the primary electron beam passing through the deflection electrode 1811 of FIG. 50 to be irradiated to the image position determined in step 1932 The area of the image under inspection (χι, γι) is applied with a potential to the bias electrode 1811 by the bias controller 1812 (step 1934 in FIG. 53). Then, the electron beam is released by the electron beam source 2501 and passes through the electrostatic lens 18 〇2, Wiener filter 18〇3, the objective lens 1810 and the bias electrode 1811 are irradiated onto the surface of the mounted wafer 1805 (step 1936). At this time, a primary electron beam passes through the bias electrode 1811 The generated electric field is deflected and irradiates the entire inspected image area at the image position (Xi, Yi) on the wafer inspection surface ι834. When the image number is i = 1, the inspected area is 1832a. Employee Consumption of Intellectual Property Bureau, Ministry of Economic Affairs The company prints a secondary electron beam and / or a reflected electron beam (hereinafter collectively referred to as "secondary electron beam") emitted from the inspected area illuminated by the primary electron county. Then, the static electricity of the projection system is expanded. The lens 1806 images the generated secondary electron beams in the detector 1807 at a specified magnification. The detector 1807 detects the imaged secondary electron beams, and converts and outputs the electrical signals of each detection element. Digital image data (step 1938). Finally, the digital image data of the detected image number i is transferred to the secondary electron line image memory area 1808 (step 1940). This paper scale applies Chinese national standards ( CNS) A4 specification (210x 297 public love) 138 312766 539845 V. Description of the invention (139) Secondly, increase the image number by only 1 (step 1942), and determine whether the incremented image number (hi) exceeds A fixed value of iMAx (step 1944). The number of images to be inspected that this iMAX system should obtain is '16' in the above example of Figure 51. The image number (i + i) does not exceed a fixed value of iMAX (step 1944). Negative judgment), return to step 1932 again to restart the needle The incremental image number (Ηι) determines the image position (X, + 1 'Yi + 1). This image position refers to the image position (Xi' Yi) determined by the previous program to reach the X direction and / Or the position when the Y direction moves only a certain distance (ΔΧί, ΔΥί). In the example in FIG. 56, the inspected area is moved from (Xi, Yi) to the position in the Υ direction (χ2, D, which is indicated by a dotted line). The rectangular area is 1832b. In addition, the value of (△ X 丨, △ Y i X 丨 =: 1, 2, ... iMAx) can be determined between the pattern 1830 of the wafer inspection surface 1834 and the field of view of the detector 1807. The actual deviation data, the number and area of the inspected areas should be set appropriately. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs. Then, in the iMAX inspected areas, steps 1932 to 1942 are processed in order. These inspected areas, as shown in FIG. 56, are image positions (Xk, Yk) that have been moved k times to form an inspected image area 183 2k. Generally, the inspection surface 1834 of the wafer is attached to Stagger the positions while performing partial overlap. In this way, the image memory area 1 808 can thereby obtain images of the 16 inspected areas illustrated in FIG. 51. The obtained plurality of images 1832 (inspection images) of the inspected area are, as shown in FIG. 56, partially or completely containing an image 1830 of the pattern 1830 on the wafer inspection surface 1834. When the incremental image number i exceeds iMAX (affirmative judgment in step 1944) 312766 M-scale is applicable to national standards (CNS> A4 specification (210x297 mm 539845) Employee Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs printed A7 --- ---_____ 5. When the invention system (14G) ', repeat this subroutine and move to the comparative process of the main program in Figure 52 (step 1908). In addition, the image data transferred in step 1940 is It is formed by the intensity value of the secondary electron of each pixel detected by the detector 1807 (the so-called inductance kData)), because it is matched with the reference image in the subsequent comparative project (step 1908 in FIG. 52). Calculations, so it can be stored in the memory area 8 in the state of performing various calculation processes. The calculation process includes: for example, the size of the image data and / or the density month b can be enough to match the size of the reference image And / or positive planning processing with consistent density; and processing of isolated pixel groups below a specified number of pixels as noise and removing them. In addition, in addition to pure inductive data (Beta Data), data can also be compressed and transformed into The feature matrix of the detected pattern features is extracted within a range that does not reduce the detection accuracy of the high-definition pattern. Such feature matrices include, for example, a region of a second element formed by MxN pixels to be inspected and divided into mxn (m < M 'n < N) block, and the secondary electron line of the pixels contained in each block. The sum of the intensity values (or the normalized value obtained by dividing the total number of pixels in the entire area under inspection by the sum value)' The mxn feature matrix formed as each matrix component. At this time, the reference image data is also stored with the same expression. The image data referred to in the eleventh embodiment is in addition to the simple inductance data Beta Data. It also includes image data extracted from the features of this arbitrary calculation function. Next, the processing flow of step 1908 will be described with reference to the flowchart in FIG. 54. First, the CPU of the control unit 1816 uses the reference image memory unit 1813 ( Figure 50) Read the reference image data into working memory such as RAM ^ -------- 1 --------- (Please read the precautions on the back before filling in this page)

本纸張尺度適用中國國家標準(CNS)A4規格(210 * 297公爱 312766 539845 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 312766 A7 五、發明說明(⑷) 上(步驟1950)。該基準圖像,在第51圖中係以參照號碼 1836表示。將圖像號碼i重新設為ι(步驟1952),並從記 憶區域1 808將圖像號碼i的被檢查圖像資料讀取至工作記 憶體上(步驟1954)。 接著’將所讀取之基準圖像資料,與圖像i的資料相 匹配’以算出兩者間的距離值Di(步驟1956)。該距離值Di 代表基準圖像與被檢查圖像i之間的類似度,距離值愈大 表不基準圖像與被檢查圖像的差異愈大。該距離值Di只要 是可表不類似度之量者,均可採用。例如,當圖像資料係 由MxN圖像所形成時,可將各像素之二次電子線強度(或 特徵量)視為MxN次元空間的各位置向量成分,以演算該 MxN次疋空間上的基準圖像向量及圖像丨向量間的歐幾里 得距離或相關係數。當然,亦可演算歐幾里得距離以外的 距離,例如所謂的市街地距離等。此外,當像素數大時, 因凟算置龐大,故亦可如上述一般,演算以mxn特徵向量 表示的圖像資料之間的距離值。 其次,判斷所算出之距離值Di是否小於所定之閾值 Th(步騍1958)。該閾值Th,以實驗性方式求出,以做為判 斷基準圖像與被檢查圖像之間充分一致時的基準。 當距離值Di小於所定之閾值Th時(步驟1958肯定判 斷)即判斷該當晶圓1805的該檢查面1834中「無缺陷」 (步驟I960),並返回本副程序。亦即,被檢查圖像中,只 要有一個與基準圖像略呈一致,即判斷為「無缺陷」。藉此, P…、須進行與所有被檢查圖像之間的匹配,而得以進行高 準嶋A4規格⑽公爱· ^ ^--------- (請先閱讀背面之注意事項再填寫本頁) 539845 A7 B7This paper size applies the Chinese National Standard (CNS) A4 specifications (210 * 297 Public Love 312766 539845 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 312766 A7 5. On the invention description (⑷) (step 1950). This benchmark image In Figure 51, it is indicated by reference number 1836. The image number i is reset to ι (step 1952), and the inspected image data of the image number i is read from the memory area 1 808 to the working memory. (Step 1954). Then 'match the read reference image data with the data of image i' to calculate the distance value Di between the two (step 1956). The distance value Di represents the reference image and The greater the similarity between the inspected images i, the greater the distance value, the greater the difference between the reference image and the inspected image. The distance value Di can be used as long as it can be an amount that can indicate the similarity. For example, When the image data is formed by MxN images, the secondary electron line intensity (or feature quantity) of each pixel can be regarded as the position vector component of the MxN dimension space to calculate the benchmark on the MxN degree unitary space Image vector and image 丨 vector Euclidean distance or correlation coefficient. Of course, distances other than Euclidean distance can also be calculated, such as the so-called street distance. In addition, when the number of pixels is large, the calculation is large, so it can also be as described above. Generally, the distance value between image data represented by the mxn feature vector is calculated. Next, it is determined whether the calculated distance value Di is smaller than a predetermined threshold value Th (step 1958). The threshold value Th is obtained experimentally, It is used as a reference for judging that the reference image and the inspected image are sufficiently consistent. When the distance value Di is smaller than the predetermined threshold Th (affirmative judgment in step 1958), it is judged that the inspection surface 1834 of the wafer 1805 is "none Defect "(step I960), and return to this subroutine. That is, if there is one in the inspected image that is slightly consistent with the reference image, it is judged as" no defect ". With this, P ... Matches between all the images being checked for Micro Motion 嶋 A4 specifications⑽Public love ^ ^ --------- (Please read the precautions on the back before filling this page) 539845 A7 B7

五、發明說明( 經濟部智慧財產局員工消費合作社印製 速判斷。由第51圖的例中得知,第3行第3列的被檢查圖 像,與基準圖像並無位置偏差,係呈大略一致形態。 當距離值Di超過所宕夕 所疋之閾值Th時(步驟1958否定判 斷),將圖像號碼i增量Λ W丰· 里馮步驟1962),並判斷所增量之 圖像號碼(i+1)是否超過一定信· 值1max(步驟1964)。當圖像號 碼i未超過一定值iMAY(步趣 心妖/驟1964否定判斷)時,再度回到 步驟1954,針對增量之圖像辨级"^ 篆遽碼(1+1)讀出圖像資料,並反 覆同樣處理。 當圖像號碼i超過一定值彳 _ * / ^ ^ 心值1max值時(步驟1964肯定判 斷),即判斷該當晶圓1805的該 檢查面1834中「有缺陷」 (步驟1966),並返回本副程序。亦 ▽ 邪即,當所有被檢查圖像, 與基準圖像呈不一致時,即判斷為「有缺陷」。 與本發明之第12實施形態相關的缺陷檢查裝置,可 應用於參照第3圖及第4圖(a),(b)m說明之裝置製造方法 中的晶圓檢查工程(G)。在該情況下,即使是具有細微圖案 的半導體裝置,亦可在沒有二次電子圖像的像障礙的狀態 下進行高精度的缺陷檢查,因此可提昇製品良率,並防止 缺陷製品的出貨。關於此點,因沿用與第3圖及第4圖(a), (b)相關之說明,故在此省略其說明。 本發明之第12實施形態,並不限定於以上說明事項, 可做任意之變更。例如,在被檢查試料上,雖以半導體晶 圓1 805為例,但本發明之試料並不限於晶圓,只要是可藉 由電子線檢出缺陷者,可選擇任意物品做為被檢查試料。 例如,亦可以對晶圓形成曝光用圖案的遮罩等做為檢查對 ·裝--------訂--------- (請先閱讀背面之>i音》事項再填寫本頁) 本紙張尺度適用令國國家標準(CNS)A4規格(210x297公藶) 142 312766 五、發明說明(l43 ) 象。此外,本發明之第12實施形態’亦可適用於可取得可 檢查試料缺陷之圖案的任何裝置。 之間,或電子線源1801與維納濾波器18〇3之間。此外, 甚至可藉由控制維納濾波器1803所生成之圖場,控制其偏 向方向。亦即,可讓維納濾波器1803兼用偏向電極1811V. Description of the invention (Judgment of printing speed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs. From the example in Figure 51, it is known that the inspected image in the third row and third column has no position deviation from the reference image. When the distance value Di exceeds the threshold Th (the negative judgment in step 1958), the image number i is incremented by Λ W Feng · Li Feng step 1962), and the incremented figure is judged. Whether the image number (i + 1) exceeds a certain letter value 1max (step 1964). When the image number i does not exceed a certain value iMAY (negative judgment of Step Fun Heart Monster / Step 1964), return to step 1954 again, and read out the incremental image discrimination " ^ 篆 遽 code (1 + 1) Image data, and the same processing is repeated. When the image number i exceeds a certain value __ * / ^ ^ Heart value 1max (affirmative judgment in step 1964), it is judged that the inspection surface 1834 of the wafer 1805 is "defective" (step 1966), and returns to this Subroutine. Also ▽ evil, that is, when all the inspected images are inconsistent with the reference image, it is judged as "defective". The defect inspection apparatus according to the twelfth embodiment of the present invention can be applied to the wafer inspection process (G) in the device manufacturing method described with reference to FIGS. 3 and 4 (a) and (b) m. In this case, even a semiconductor device having a fine pattern can perform a high-accuracy defect inspection without an image obstacle of a secondary electronic image, so that the product yield can be improved, and shipment of defective products can be prevented. . Regarding this point, the descriptions related to FIGS. 3 and 4 (a) and (b) are followed, and therefore descriptions thereof are omitted here. The twelfth embodiment of the present invention is not limited to the above description, and can be arbitrarily changed. For example, although the semiconductor wafer 1 805 is taken as an example of the sample to be inspected, the sample of the present invention is not limited to the wafer. As long as a defect can be detected by an electronic wire, any item can be selected as the sample to be inspected. . For example, you can also form a mask for the exposure pattern on the wafer as an inspection pair. -------- Order --------- (Please read the > i sound on the back first) Please fill in this page again for the items) The paper size is applicable to the national standard (CNS) A4 specification (210x297 cm) 142 312766 V. Description of invention (l43). In addition, the twelfth embodiment of the present invention is also applicable to any device capable of obtaining a pattern capable of inspecting a sample defect. Between the electronic wire source 1801 and the Wiener filter 1803. In addition, even by controlling the field generated by the Wiener filter 1803, the direction of its deviation can be controlled. That is, the Wiener filter 1803 can also be used as the bias electrode 1811.

此外,偏向電極1811,除放置於對物透鏡1810與晶 圓1805之間外’亦可放置於一次電子線可變更照射區域的 任何位置。例如,玫置在維納濾波器18〇3與對物透鏡UK 之功能。 此外,在第12實施形態中,係在進行圖像資料彼此 的匹配時,選擇進行像素間的匹配,及特徵向量間的匹配 的任一方,但亦可組合兩者。例如,最初,可以演算量小 的特徵向量進行高速匹配,之後再對其結果,類似度高的 被檢查圖像’以更詳細的圖像資料進行匹配,藉由此2階 段的處理,可同時達到高速化與精度的目的。 此外,在本發明之第12實施形態中,雖僅以一次電 子線的照射區域的位置區隔對應被檢查圖像的位置偏移, 但亦可在匹配處理前或其途中,於圖像資料上,將最佳匹 配區域檢索處理(例如檢出相關係數高的各區域並予以匹 配)與本發明相組合。 藉此’除了可利用本發明之一次電子線的照射區域的 位置區隔對應被檢查圖像的較大位置偏移,還可利用後段 的數位圖像處理吸收較小的位置偏移,因此可提昇缺陷檢 出的精度。 539845 經 濟 部 智 慧 財 產 局 員 X 消 費 合 作 社 印 製 A7 B7 五、發明說明(144) 此外’在缺陷檢查用的電子線裝置上,雖顯示第5〇 圖之構成’但電子光學系等亦可做任何適度變更。例如, 圖示之缺陷檢查裝置的電子線照射機構(18〇1,18〇2, 1803) ’係呈現由垂直上方對晶圓18〇5表面入射一次電子 線的形式,但亦可省略維納濾波器1 803而以傾斜方式將一 次電子線入射到晶圓1805的表面。 另外’第52圖之流程圖所示處理,亦不限定於圖令 所說明者。例如,對於在步驟1912中被判斷為有缺陷的試 料’係不進行其他區域的缺陷檢查,但亦可將處理流程變 更為針對所有區域進行缺陷檢查。此外,若能夠擴大一次 電子線的照射區域,以單次的照射涵蓋試料之幾近全部的 檢查區域,則可省略步驟1914及步驟1916。 以上’已詳述本發明第1實施形態至第12實施形態, 任一實施形態中,稱為「所定電壓」的用語,係指進行檢 查等測定時的電壓。此外,以上所說明之各種實施形態, 係使用電子線以做為帶電粒子線,但並不限於電子線,亦 可使用電子線以外的帶電粒子線,或不帶電的中性子線, 雷射光,電磁波等非帶電粒子線。 此外,一旦啟動與本發明相關之帶電粒子線裝置,將 因為近接相互作用(表面附近的粒子帶電),造成標的物質 浮遊’並被吸引至高壓區域’因此使用於帶電粒子線的形 成或偏向的各種電極終將堆積有機物質。藉由表面帶電而 逐漸堆積的有機物質將對帶電粒子線的形成與偏向機構形 成不良的影響,因此必須定期地將所堆積之有機物質予以 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐y m ^312766 --------1--------- (請先閱讀背面之注意事項再填寫本頁) 539845 五、發明說明(⑷) 去除而為了定期將所堆積之有機物質予以去除,可夢由 利用該有機物質的堆積區域附近的電極,於真空中,做出 氮氣,氧氣或氟及包含該些物質之替代物hF,h2〇, CmFn 等電漿,並將空間内的電漿電位維持在電極面產生濺射的 電位(數kV,例如20 V至5kV)上,而以氧化,氮氣化,及 氟化來去除有機物質。 [產業上的利用可能性] 本發明’如藉由第1實施形態所能理解一般,可藉由 提供使用帶電粒子線的的檢查裝置,而較先前更進一步提 昇良品製造率。 本發明,如藉由第2實施形態所能理解一般,可達成 以下特別效果: 1·可獲得使用帶電粒子線之顯像投影方式之檢查裝置 之全體構造’並以高良品製造率處理檢查對象。 2 ·可在小型環境空間内將清淨氣體灌入檢查對象以防 止塵埃的附著,並可藉由設置觀察清淨度的感測器,一面 監視該空間内的塵埃,一面進行檢查對象的檢查。 經濟部智慧財產局員工消費合作社印製 3.由於係藉由振動防止裝置一體支撐加載室及工作 室’因此可在不受外界影響的狀況下,進行對工作台裝置 的檢査對象的供給及檢查。 4·因設置有充電裝置,故即使是以絕緣物形成的晶 圓’也不易受帶電之影響。 本發明,如藉由第3實施形態所能理解一般,可達成 以下特別效果:In addition, the deflection electrode 1811 may be placed between the objective lens 1810 and the crystal circle 1805 ', and may be placed at any position where the irradiation area can be changed by a single electron beam. For example, Rose is placed in the function of Wiener filter 1803 and the objective lens UK. In the twelfth embodiment, when matching image data with each other, either one of matching between pixels and matching between feature vectors is selected, but the two may be combined. For example, initially, feature vectors with a small amount of calculation can be used for high-speed matching, and then the results can be matched with more detailed image data with higher similarity. With this two-stage processing, simultaneous To achieve the purpose of high speed and accuracy. In addition, in the twelfth embodiment of the present invention, although the position shift corresponding to the inspected image is separated by the position of the irradiation area of the electron beam only once, it can also be used in the image data before or during the matching process. In the above, the best matching region search processing (for example, detecting and matching regions with high correlation coefficients) is combined with the present invention. In this way, in addition to using the positional separation of the irradiation area of the primary electron beam of the present invention to correspond to a larger positional deviation of the inspected image, it is also possible to absorb the smaller positional deviation by using the digital image processing in the subsequent stage, so that Improve the accuracy of defect detection. 539845 Member of the Intellectual Property Bureau of the Ministry of Economic Affairs X Printed by the Consumer Cooperative A7 B7 V. Description of the invention (144) In addition, although the structure of Figure 50 is shown on the electronic wire device for defect inspection, the electronic optics department can also do any Moderate change. For example, the electron beam irradiation mechanism (1801, 1802, 1803) of the defect inspection device shown in the figure is a form in which electron beams are incident on the surface of the wafer 1805 once from above, but Wiener can be omitted. The filter 1 803 inclines the primary electron beams onto the surface of the wafer 1805 in an inclined manner. In addition, the processing shown in the flowchart of FIG. 52 is not limited to those illustrated in the drawing. For example, for the sample that is judged to be defective in step 1912, defect inspection in other regions is not performed, but the processing flow may be changed to defect inspection in all regions. In addition, if it is possible to enlarge the irradiation area of the electron beam once and cover almost the entire inspection area of the sample with a single irradiation, step 1914 and step 1916 can be omitted. As described above, the first embodiment to the twelfth embodiment of the present invention have been described in detail. In any of the embodiments, the term "predetermined voltage" refers to the voltage when measurement such as inspection is performed. In addition, in the various embodiments described above, an electron wire is used as the charged particle wire, but it is not limited to an electron wire. A charged particle wire other than the electron wire, or an uncharged neutral strand, laser light, or electromagnetic wave can also be used. Etc. Non-charged particle rays. In addition, once the charged particle beam device related to the present invention is started, the close interaction (particles charged near the surface) will cause the target substance to float 'and be attracted to the high-voltage region'. Therefore, it is used for the formation or bias of charged particle beams. Various electrodes will eventually accumulate organic matter. The organic matter that is gradually accumulated by surface charging will adversely affect the formation of charged particle lines and the formation of deflection mechanisms. Therefore, the organic matter that has been accumulated must be regularly applied to this paper. The national standard (CNS) A4 specification (210x297) is applicable. Ym ^ 312766 -------- 1 --------- (Please read the notes on the back before filling out this page) 539845 V. Description of the invention (⑷) The accumulated organic matter is removed, and the plasma near the accumulation area of the organic matter can be used to make plasmas such as nitrogen, oxygen or fluorine and the substitutes including hF, h20, CmFn in a vacuum, The plasma potential in the space is maintained at a potential (several kV, such as 20 V to 5 kV) at the electrode surface, and organic matter is removed by oxidation, nitrogenization, and fluorination. [Industrial use is possible [Properties] According to the present invention, as can be understood from the first embodiment, it is possible to further improve the production rate of good products by providing an inspection device using charged particle beams. The present invention, such as from the second embodiment All you can In general, the following special effects can be achieved: 1. The overall structure of the inspection device using the imaging projection method of charged particle beams can be obtained, and the inspection object can be processed with a high quality manufacturing rate. 2. The clean gas can be cleaned in a small environmental space. The inspection object is filled to prevent the adhesion of dust, and a sensor for observing the cleanliness can be installed to monitor the inspection object while monitoring the dust in the space. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 3. Since the loading chamber and the working room are integrally supported by the vibration prevention device, it is possible to supply and inspect the inspection object of the table device without being affected by external influences. 4. Since the charging device is provided, even the Wafers formed of insulators are also less susceptible to charging. The present invention, as can be understood by the third embodiment, can achieve the following special effects:

539845 A7 __B7 五、發明說明(147 將絕緣材料表面加工成皺形,進而降低加工費用。 6.因為於絕緣材料的電極間的最短沿面距離,血受支 樓之電極部份中的電極間離 ❹β 專長,因此電極間的絕 2材枓表面,幾乎沒有凹凸部分,且由絕緣材料釋出的氣 體也不會增加,故不會降低裝置之光束通路的真空度。 降低次=:::_態所能理解-般,可達到 而於Ρ βν 或二次帶電粒子線的能源分佈, ;刀離器產生之色像差的影響的特別效果。 本發明’如藉由第6實施形態所能理解-般,可達成 以下特別效果·· 敬^運成 1 ·由於無須使用用 哭, 用以測疋忒枓面南度的光學式感測 ;佳言=可於對物透鏡與試料之間,僅以電子光學系進行 2.由於可只僅藉由低電壓的調整 描,檢出系的焦點對準,故可計敫…❹電粒子線知 時間内進行焦點對準。^ ^間’亦即可在短 3·可根據需要’在進行焦點對準操作的同時,也 日守間内進行非點補正。 、 4.由於可在短時間内評價製程 昇裝置製造的良率。 TtLJ此了棱 以下:發明’如藉由第7實施形態所能理解-般,可達成 以下特別效果: ^ ^ 1 ·為藉由機械構造濟& 構造體上裝設壓電元件,並^動’接收力量’乃於該機械 件所輪出 147 (修正頁)312766 539845 A7 -------—B7 ____ 五、發明說明(148) {請先閱讀背面之注意事項再填寫本頁) 之電氣能源的減振用回路以電性方式連接至該壓電元件, 藉此’即使無法提升構造體的剛性,亦能在維持高精度的 光束定位的情況下,適度地將用以定位光束的構造體的共 振所引起的不必要振動予以減振。 2·因此’可實現設計上的約束緩和,裝置的小型輕量 化,經濟性的提昇。 3.可藉由在半導體裝置的製造工程中,使用上述帶電 粒子線裝置’以進行半導體裝置之有效製造,檢查,加工, 及觀測等。 本發明’如藉由第8實施形態所能理解一般,可達成 以下特別效果: 1·藉由靜電夾盤及晶圓與靜電夾盤之組合,使吸著保 持晶圓所需之電壓,可隨著晶圓的施加電壓而被施加,因 此可在完成晶圓檢查前確實地吸著保持晶圓。 2·於夾盤側中央呈凹陷的晶圓,其晶圓前面亦能確實 地被吸著保持。此外,形成於晶圓上的放電痕跡,亦被限 經濟部智慧財產局員工消費合作社印制衣 制在所需最小限度的大小,而放電時所產生的微粒亦變得 極少。 3·藉由將本發明之靜電夾盤及晶圓與靜電夾盤的組 合’使用於裝置製造方法上,可是晶圓於檢查中確實地被 吸著保持於移動台的靜電夹盤上,進而使具有微細圖案的 半導體裝置,亦能以高良品製造率進行檢查,並可實現全 數檢查,而提昇製品良率,並防止缺陷製品的出貨。 本發明,如藉由第9實施形態所能理解一般,可達成 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 148 312766 539845 A7 五、發明說明(l49) 以下特別效果: 1·工作台裝置可在真空中發揮高精度定位功能,且帶 電粒子線照射位置的壓力不易上昇。亦即,可對試料進行 南精度之帶電粒子線處理。 一 2.由靜壓軸承支撐部所釋出的氣體,幾乎無法通過分 隔板而進入τ電粒子線照射區域側。藉此可更加安定帶 電粒子線照射位置的真空度。 3. 釋出氣體不易通過帶電粒子線照射區域側,故較容 易安定地保持帶電粒子線照射位置的真空度。 訂 4. 真空室内,藉由小型電導被分割為帶電粒子線照射 室,靜壓軸承室,及其中間室之3室,其各室塵力,以低 序排列分別為帶電粒子線照射室,中間室,靜壓軸承室, 而真空排氣系系依此構成,故對於中間室的壓力變動可藉 由分隔板被控制得更低’而對於帶電粒子線照射室的壓力 線 變動’則可藉由另-層的分隔板被控制得更低,而將塵力 變動降低到實質不產生問題的程度。 5. 可抑制工作台移動時之壓力上昇。 6. 可控制工作台移動時的壓力上昇使之降至更低。 7. 具高精度之工作台定位性能,同時可實現帶電粒子 線之照射區域真空度安定的檢查裝置,因此可提供一種具 咼檢查性能,且不會污染試料的檢查裝置。 8. 具南精度之工作台定位性能,同時可實現帶電粒子 線之照射區域真空度安定的曝光裝置,因此可提供一種具 高曝光精度’且不會污染試料的曝光裝置。 本紙張尺度適»國國家標準((JNS)A4規格(21〇 五、發明說明(iso) 9·藉由利用具高精度之工作台定位性能,且帶 度安定的裝置來製造半導體,可形成微細 (請先閱讀背面之注意事項再填寫本頁) 本發明,如藉由第10實施形態所能理解一般,可逵 成以下特別效果: 連 1_使用具有與一般在大氣中使用的靜壓軸承式工作台 相同構造的工作台(不具差動排氣機構的靜壓軸承支撐工 作台)’可對卫作台上的試料進行安定的帶電粒子線處理。 。2 •可將董子帶電粒子線照射區域的真空度的影響控制在 瑕小限度,並安定藉由帶電粒子線所進行的試料處理。 3·可以低廉的價格提供具高精度之工作台定位性能, 且帶電粒子線照射區域真空度安定的檢查裝置。 4·可以低廉的價格提供具高精度之工作台定位性 能’且帶電粒子線照射區域真空度安定的曝光裝置。 5·藉由利用具高精度之工作台定位性能,且帶電粒子 線照射區域真空度安定的裝置來製造半導體,可形成微細 的半導體回路。 經濟部智慧財產局員工消費合作社印製 本發明,如藉由第11實施形態所能理解_般,可達成 以下特別效果: 1·通過量可提昇至相當於光學系數量的倍數。 2·因在充電狀態最小狀態下進行晶片評價,故可獲得 具高信賴性的評價。 3 ·充電性能,係以實際圖像評價’而非藉由測定各種 電流而進行評價,故可獲得較正確的評價結果。 表紙張尺度冢標準(CNS)A4規格⑽χ 297$ 150 JTZTbb 539845 2·由於係使用上述缺陷檢查裝置,來進行試料缺陷檢 查,故可提昇製品良率並防止缺陷製品之出貨。 [元件符號說明] 經濟部智慧財產局員工消費合作社印製539845 A7 __B7 V. Description of the invention (147 The surface of the insulating material is processed into a wrinkle, thereby reducing the processing cost. 6. Because of the shortest creepage distance between the electrodes of the insulating material, the electrode separation in the electrode portion of the blood receiving branch ❹β expertise, so there is almost no unevenness on the surface of the two materials 绝 between the electrodes, and the gas released from the insulating material will not increase, so the vacuum degree of the beam path of the device will not be reduced. Reduction times :::: _ The state can be understood in general-the energy distribution of P βν or the secondary charged particle line can be achieved; the special effect of the influence of chromatic aberrations produced by the cutter. The present invention 'as can be achieved by the sixth embodiment Comprehension-general, the following special effects can be achieved. · Jing ^ Yuncheng 1 · Since there is no need to use crying, it is used to measure the optical south of the surface; Good words = can be between the object lens and the sample , Only with the electronic optics system 2. Because the focus of the detection system can be detected only by low-voltage adjustment and tracing, it can be calculated that the focus can be performed within the time of the electron particle ray. ^ ^ 间 ' That is, in the short 3. Can be 'in progress as needed' At the same time as the focus operation, non-point correction is also performed in the Mori. 4. Because the yield rate of the manufacturing process lifting device can be evaluated in a short time. TtLJ here is the following: Invention 'such as by the seventh embodiment As can be understood, generally, the following special effects can be achieved: ^ ^ 1 · In order to install piezoelectric elements on the structure through mechanical structure, and to 'receive the force', 147 ( (Revision page) 312766 539845 A7 -------— B7 ____ V. Description of the invention (148) {Please read the precautions on the back before filling this page) Electrical vibration damping circuit is electrically connected to With this piezoelectric element, even if the rigidity of the structure cannot be improved, it is possible to moderately reduce unnecessary vibration caused by resonance of the structure for positioning the light beam while maintaining high-precision beam positioning. . 2. Therefore, it is possible to ease design constraints, reduce the size and weight of the device, and improve economic efficiency. 3. By using the above-mentioned charged particle beam device 'in the manufacturing process of a semiconductor device, effective manufacturing, inspection, processing, and observation of the semiconductor device can be performed. According to the present invention, as can be generally understood from the eighth embodiment, the following special effects can be achieved: 1. The electrostatic chuck and the combination of the wafer and the electrostatic chuck can be used to attract and hold the voltage required for the wafer. Since the voltage is applied as the wafer is applied, the wafer can be reliably held and held until the wafer inspection is completed. 2. A wafer with a recess in the center of the chuck side can be reliably held in front of the wafer. In addition, the discharge traces formed on the wafer are also limited to the minimum size required for the clothing consumer clothing cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs to produce clothing, and the particles generated during discharge are extremely small. 3. Using the electrostatic chuck and the combination of the wafer and the electrostatic chuck of the present invention in a device manufacturing method, the wafer is reliably held and held on the electrostatic chuck of the mobile station during the inspection, and furthermore, This enables semiconductor devices with fine patterns to be inspected at a high yield rate, and all inspections can be implemented to improve product yield and prevent shipment of defective products. The present invention, as can be understood by the ninth embodiment, can reach the cost. The paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 public love). 148 312766 539845 A7 5. Description of the invention (l49) The following special effects : 1. The table device can perform high-precision positioning in a vacuum, and the pressure at the irradiation position of the charged particle beam is not easy to rise. That is, the sample can be subjected to charged particle beam processing of the South precision. -2. The gas released from the support of the static pressure bearing can hardly enter the side of the τ-electron beam irradiation area through the partition. Thereby, the degree of vacuum at the irradiation position of the charged particle beam can be more stabilized. 3. The released gas does not easily pass through the side of the charged particle beam irradiation area, so it is easier to maintain the vacuum of the charged particle beam irradiation position in a stable manner. Order 4. The vacuum chamber is divided into a charged particle beam irradiation chamber, a static pressure bearing chamber, and three chambers of the middle chamber by a small conductance. The dust of each chamber is arranged in a low order as a charged particle beam irradiation chamber. The intermediate chamber, the static pressure bearing chamber, and the vacuum exhaust system are structured in this way, so the pressure fluctuation of the intermediate chamber can be controlled by the partition plate to be lower 'and the pressure line fluctuation of the charged particle beam irradiation chamber'. It is possible to control the lower-layer partition plate to lower the dust force variation to a level that does not cause any problem. 5. It can restrain the pressure rise when the table moves. 6. It can control the pressure rise when the table is moved to lower it. 7. With high-precision positioning performance of the worktable, and at the same time, it can realize the inspection device with stable vacuum degree in the irradiation area of the charged particle beam, so it can provide an inspection device with inspection performance and without contaminating the sample. 8. With the positioning accuracy of the table with the accuracy of the south, and at the same time, it can realize the exposure device with a stable vacuum degree in the irradiation area of the charged particle beam, so it can provide an exposure device with high exposure accuracy 'and without contaminating the sample. This paper is suitable for the national standard (JNS) A4 specification (210.5, the description of the invention (iso) 9. By using a high-precision table positioning performance and a stable band device to manufacture semiconductors, it can be formed Fine (please read the precautions on the back before filling this page) The present invention, as can be understood by the tenth embodiment, can have the following special effects: 1_ Use has a static pressure that is generally used in the atmosphere Bearing-type worktable with the same structure (static pressure bearing support workbench without differential exhaust mechanism) can perform stable charged particle line processing on the sample on the guard table. 2 • Dongzi charged particles can be charged The influence of the degree of vacuum in the line irradiation area is controlled to a small extent, and the sample processing by the charged particle beam is stable. 3. The high-precision table positioning performance can be provided at a low price, and the vacuum in the charged particle beam irradiation area is vacuum. An inspection device with high stability. 4. It can provide a high-precision table positioning performance at a low price and an exposure device with a stable vacuum level in the charged particle beam irradiation area. 5. By using Using a device with high-precision table positioning performance and a stable vacuum in the area irradiated by charged particles to produce semiconductors, it is possible to form fine semiconductor circuits. The Intellectual Property Bureau, Ministry of Economic Affairs, Employee Consumer Cooperative, printed this invention, such as by the 11th As can be understood by the embodiment, the following special effects can be achieved: 1. The throughput can be increased to a multiple equivalent to the amount of the optical coefficient. 2. The wafer can be evaluated under the state of minimum charge, so high reliability can be obtained. Evaluation 3. Charging performance is based on actual image evaluation, rather than evaluation by measuring various currents, so a more accurate evaluation result can be obtained. Sheet size standard (CNS) A4 specification ⑽χ 297 $ 150 JTZTbb 539845 2. Since the above defect inspection device is used for sample defect inspection, it can improve product yield and prevent the shipment of defective products. [Element Symbol Explanation] Printed by the Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs

A7 五、發明說明(⑸) 本發明’如藉由第1 2實施形態所能理解一般,可達 到以下特別效果·· 1.由於係分別取得試料上部分重疊且相互變位的複數 被檢查區域的圖像,並藉由比較該些被檢查區域的圖像與 基準圖像以檢查試料之缺陷,故可防止因被檢查圖像與基 準圖像之位置偏差而引起之缺陷檢查精度的降低。 半導體檢查裝置 卡匣支持器 昇降台 昇降機構 小型環境裝 小型環境空 殼體 氣體循環裝置 排出裝置 預先對準器 閘門裝置 晶圓搬送箱 開口部 主殼體 工作室 殼體主體 殼體支持裝 支持裝置 台架 ^--------t--------- (請先閱讀背面之注意事項再填寫本頁) 539845 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(152) 40 4卜42 47 49b 50 51、 52、 53、 54 55 60 61 63 7 0 7卜 Ί2 ' 74、 76、 81 83 85 87 100 221 222 223 225 231 232 233 241 1330 1605 1604 1216 1561 1571 1730 1346 1710 1720 1501 2005 301 322 321 323 226 432 321a - 431 433 325、434 ' 243 > 244 裝載殼體 加載室 晶圓架 垂吊元件 工作台裝置 固定台 Y台 X台 旋轉台 支持器 裝載器 第1搬送裝置 第2搬送裝置 電子光學裝置 1601 鏡筒 一次光學系 二次光學系 檢出系 預先充電裝置 電位施加機構 電子線校準機構 校準控制裝置 箱架 頂壁 底壁 周壁 435、436、437 出入口 氣體供給裝置 回收導管 導管 吸入裝置 靖 先 閱 讀 背 面 之 項 再 填 寫 頁 本紙張尺度適用+國國家標準(CNS)A4規格(210x 297公芨) 152 312766 539845 A7 B7 五、發明說明(153) 242 271 、 451 272 、 452 、 461 273 、 453 331 、 336b 331a 434 ^ 435 471、 472 473、 501 502 503 505 506 521、 522 ^ 1512、1514、1516、1519、1526 1405 474 531 532 經濟部智慧財產局員工消費合作社印製 541 、 551 611 612 613 721、10(H、ll(H、12(n、1301a、1431、 1562 ^ 1711 、 1801 、 2501 7 22、74卜 1109、1110、1112、1113、 1 5 63、1564、1567、1568、1 572、1573 723、1105' 121卜 1566 > 1715、1 803 > 2010 724 725、1004、1010、1020、1022、1106、 1126 、 1127 、 1128 、 1213 、 1339 、 1352a、 1352b、 1444、 1566小 1719 、 送風機 密閉材 門 驅動裝置 框架結構體 矩形鋼板 分隔板 開口 基板 支柱 支持部 箱主體 晶圓搬出入門 蓋體 ULPA濾波器 化學過濾器 伺服器 譯碼器 晶圓載置面 驅動部 多節式機械手臂 軸 電子線源 透鏡系 維納濾波器 對物透鏡系 電極 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公* ) 153 312766 539845 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(154) 2030a、2030b 726 ^ 1416 761 、 1731 > 1807 763 ^ 1115 765 ' 833 〜873 81卜 1502 、 1602 831 832 834 、 1762 835 > 1763 > 2017 851 ' 852 871 901 902 903 904 905 907 、 911 908 909 910 912 、 1208 、 1712 913、1121、1122、1134、1206、1307、 1436 914 、 1123 、 1124 915、 1209、 1210、 1438、 1442、1565 、 1718 916、 1212、1308、1443、1716、1810 917 918 、 1026 1000 電源 檢出器 圖像處理部 監視器 電子線照射部 電壓施加裝置 電壓決定系統 運算器 CPU 法拉第杯 光學顯微鏡 電子線源室 波紋管 TFE陰極 肖脫基屏蔽 熱電場釋出型電 子線源 螺絲 孔 管 透鏡·偏向系 聚透鏡 開口板 縮小透鏡 偏向器 對物透鏡 排氣孔 絕緣間隔板 攝像投影型評價裝置 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210x 297公釐) 154 312766 539845 A7 經濟部智慧財產局員工消費合作杜印製 B7 五、發明說明(156) 1218 第2電源 1219 第3電源 1220 電子線掃描·檢測系 1301 電子線檢查裝置 1302a 長方形開口 1302b 4極子透鏡 1304 、 1507 、 1804 、 2006 工作台 1310 孔闌 1311 投影靜電透鏡 1313 、 1813 基準圖像記憶部 1314 控制部主機 1315 、 1815 CRT 1316 、 2031a 、2031b 控制部 1317 二次電子線圖像 1318 輸入部 1319 、 1809 缺陷檢出程式 1320 二欠電子線圖像記憶區域 1321 、 1850 通道板 1322 螢光幕 1323 、 1854 中繼透鏡 1324 攝像部 1325 致動器 1327 減振電路 1331 > 1832 圖像 1332 、 1333 晶圓圖像 1334 實際圖案 1335 實際二次電子線 1336 強度訊號 1337 閾電平 1338 寬 1340 電位面 本紙張尺度適用中國國家標準(CNS>A4規格(210 X 297公爱) 156 312766 丨 j----------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 539845 A7 B7 五、發明說明(157: 1341 、 1342 經濟部智慧財產局員工消費合作社印製 1343、 1350 1351 1354 1356、 1358 1360 1382 1384 1386 1388 1401 1402 1403 > 1404 > 1406 1410 1412 1433、 1440 1441 1503、 1504 1504-1 1505 1506 1506a 1509、 1510、 1620b 1674、 1344 1414 > 2056 1805 2104 1435 1603 > 1740 1626 1 507a 1609a、1609b、1611a、1611b 1511 、 1523 、 1619 、 1620a 、 _ 1670 ' 1671 、 1672 、 1673 、 1675 圖案 執道 壓電元件 誘電體 支撐台 電阻 可變電感 直列共振回路 傳遞函數 電性頻率 總和傳遞函數 振動 第1電極 第2電極 晶圓 絕緣層 接觸子 靜電失盤 電極板 軸對準器具 二次電子線檢出 屏蔽 XY台 試料台 環狀構件 Y方向可動台 X方向可動台 引導面 靜壓軸承 真空配管 • I----------I I --- (請先閱讀背面之注意事項再填寫本頁) . |線- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 312766 157 539845 A7 B7 丨卜丨丨丨丨丨丨丨丨丨·1111111 — — — — — —— — — (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 五、發明說明(158) 1511-1 、 1511-2 1513 、 1515 、 1524 1517 、 1518 1520 1521 1522 1527 > 1528 1529 1530 1550 ^ 1551 1552 1560 1607a、1607b 1608a 、 1608b 1612 > 1613 1616 1618 、 1628 1621 、 1622 1625 1627、1627a、1627b 1630 1640 1651 、 1652 1653 > 2353 1654 、 2316 1660 166b 1662 1663 1676 ^ 1677 ^ 1678 ' 1701 1711-1 真空排氣通路 空間 雙重溝 圓周溝 排氣通路 内部空間 構件 支撐構件 冷凍機 孔闌部 空隙 光學系 Y方向引導裝置 X方向引導裝置 線性馬達 台座 排氣口 撓性配管 差動排氣機構 環狀溝 電子線照射空間 細小空隙 渦輪分子泵 乾式真空泵 壓縮機 渡波器 調節器 高純度惰性氣體供給系 、1680 配管 電子線裝置 陰極 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 158 312766 539845 五、發明說明(159) 經濟部智慧財產局員工消費合作社印製 A7 B7 1712-2 圓形孔 1712-1 主體 1712-3至1712-5 板狀部分 1712-6至1712-8 金屬塗布膜 1712-9 電極模具 1714 、 1717 軸對準裝置 1732 放大器 1733 圖像形成部 1741 支撐架 1750 延遲電壓施加裝置 1760 延遲電壓決定系統 1761 顯示器 1770 晶片 1771 記憶胞 1772 記憶胞界限 1773 > 1774 圖案偏移量 1775 - 1777 實線 1776 、 1778 對比 1802 > 1806 靜電透鏡 1808 儲存區域 1811 偏向電極 1812 偏向控制器 1814 控制部主體 1816 處理執行检制部 1817 二次過電流生成圖像 1830 晶圓檢查圓案 1832a 至 1832k 矩形區域 1834 晶圓檢查表面 1836 基準圖像 1852 螢光面 1856 CCD攝像感測器 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公爱) 159 312766 (請先閱讀背面之注意事項再填寫本頁)A7 V. Explanation of the invention (⑸) The present invention, as can be understood by the 12th embodiment, can achieve the following special effects: 1. Because the plural inspected areas that partially overlap and are mutually displaced on the sample are obtained, respectively. And inspect the defects of the sample by comparing the images of the inspected area and the reference image, so that it is possible to prevent the reduction of the defect inspection accuracy caused by the position deviation between the inspected image and the reference image. Semiconductor inspection device Cassette supporter Lifting platform lifting mechanism Small environment installation Small environment empty casing Gas circulation device discharge device Pre-aligner gate device Wafer transfer box opening Main casing Working case casing Main casing support mounting support device Bench ^ -------- t --------- (Please read the notes on the back before filling out this page) 539845 A7 B7 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs Explanation (152) 40 4 Bu 42 47 49b 50 51, 52, 53, 54 55 60 61 63 7 0 7 Bu 2 '74, 76, 81 83 85 87 100 221 222 223 225 231 232 233 241 1330 1605 1604 1216 1561 1571 1730 1346 1710 1720 1501 2005 301 322 321 323 226 432 321a-431 433 325, 434 '243 > 244 Loading housing Loading chamber Wafer rack Hanging element workbench device Fixing table Y table X table Rotary table holder loading 1st transfer device 2nd transfer device Electro-optical device 1601 Lens barrel Primary optics Secondary optics detection system Pre-charge device Potential application mechanism Electronic wire calibration mechanism Calibration control device Box top wall Bottom wall peripheral wall 435 436, 437 Recovery inlet and outlet gas supply device Catheter suction device First read the items on the back and then fill in the page Paper size applies + National Standard (CNS) A4 size (210x 297 cm) 152 312766 539845 A7 B7 V. Description of the invention ( 153) 242 271, 451 272, 452, 461 273, 453 331, 336b 331a 434 ^ 435 471, 472 473, 501 502 503 505 506 521, 522 ^ 1512, 1514, 1516, 1519, 1526 1405 474 531 532 Ministry of Economic Affairs Printed by the Intellectual Property Bureau's Consumer Cooperatives 541, 551 611 612 613 721, 10 (H, ll (H, 12 (n, 1301a, 1431, 1562 ^ 1711, 1801, 2501 7 22, 74 1109, 1110, 1112 1113, 1 5 63, 1564, 1567, 1568, 1 572, 1573 723, 1105 '121, 1566 > 1715, 1 803 > 2010 724 725, 1004, 1010, 1020, 1022, 1106, 1126, 1127, 1128 , 1213, 1339, 1352a, 1352b, 1444, 1566 small 1719, blower closed door drive device frame structure rectangular steel plate partition plate opening substrate pillar support box main body wafer removal entry cover ULPA filter Learning filter server decoder wafer mounting surface drive section multi-section robot arm axis electron beam source lens Wiener filter object lens system electrode (please read the precautions on the back before filling this page) Applicable to China National Standard (CNS) A4 specification (210 X 297 male *) 153 312766 539845 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Invention Description (154) 2030a, 2030b 726 ^ 1416 761, 1731 > 1807 763 ^ 1115 765 '833 to 873 81 1502, 1602 831 832 834, 1762 835 > 1763 > 2017 851' 852 871 901 902 903 904 905 907, 911 908 909 910 912, 1208, 1712 913, 1121, 1122 , 1134, 1206, 1307, 1436 914, 1123, 1124 915, 1209, 1210, 1438, 1442, 1565, 1718 916, 1212, 1308, 1443, 1716, 1810 917 918, 1026 1000 power detector image processing unit Monitor electron beam irradiation unit voltage application device voltage determination system processor CPU Faraday cup optical microscope electron beam source chamber bellows TFE cathode Schottky shield thermal field release type electron Source Screw Hole Tube Lens · Positioning Condenser Lens Opening Plate Reduction Lens Diverter Object Lens Exhaust Hole Insulation Spacer Camera Projection Evaluation Apparatus (Please read the precautions on the back before filling this page) This paper size applies to Chinese national standards (CNS) A4 specification (210x 297 mm) 154 312766 539845 A7 Intellectual Property Bureau, Ministry of Economic Affairs, Consumer Cooperation Du printed B7 V. Description of the invention (156) 1218 2nd power supply 1219 3rd power supply 1220 Department of Electronic Line Scanning and Inspection 1301 Electronic wire inspection device 1302a Rectangular opening 1302b 4-pole lens 1304, 1507, 1804, 2006 Table 1310 Aperture 1311 Projection electrostatic lens 1313, 1813 Reference image memory 1313 Control unit Host 1315, 1815 CRT 1316, 2031a, 2031b Control unit 1317 Secondary electron line image 1318 Input section 1319, 1809 Defect detection program 1320 Two under electron line image memory area 1321, 1850 Channel board 1322 Screen 1323, 1854 Relay lens 1324 Camera section 1325 Actuator 1327 Subtract Vibration Circuit 1331 > 1832 Image 1332 1333 Wafer image 1334 Actual pattern 1335 Actual secondary electron wire 1336 Intensity signal 1337 Threshold level 1338 Wide 1340 Potential surface This paper standard is applicable to Chinese national standard (CNS > A4 specification (210 X 297 public love) 156 312766 丨 j ---------- Installation -------- Order --------- (Please read the precautions on the back before filling this page) 539845 A7 B7 V. Description of the invention ( 157: 1341, 1342 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 1343, 1350 1351 1354 1356, 1358 1360 1382 1384 1386 1388 1401 1402 1403 > 1404 > 1406 1410 1412 1433, 1440 1441 1503, 1504 1504-1 1505 1506 1506a 1509, 1510, 1620b 1674, 1344 1414 > 2056 1805 2104 1435 1603 > 1740 1626 1 507a 1609a, 1609b, 1611a, 1611b 1511, 1523, 1619, 1620a, _ 1670 '1671, 1672, 1673, 1675 Piezo element, electromotive support, resistance, variable inductance, in-line resonance circuit, transfer function, electrical frequency sum, transfer function, vibration, first electrode, second electrode, wafer insulation layer, contactor, electrostatic loss, electrode plate, shaft pair The secondary electronic wire of the instrument detects the shielded XY stage sample stage ring member Y direction movable stage X direction movable stage guide surface static pressure bearing vacuum piping • I ---------- II --- (Please read first Note on the back, please fill out this page again). | Line-This paper size is applicable to China National Standard (CNS) A4 (210 X 297 public love) 312766 157 539845 A7 B7 丨 Bu 丨 丨 丨 丨 丨 丨 丨 丨 1111111 — — — — — —— — — (Please read the notes on the back before filling this page) Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs V. Invention Description (158) 1511-1, 1511-2 1513, 1515, 1524 1517, 1518 1520 1521 1522 1527 > 1528 1529 1530 1550 ^ 1551 1552 1560 1607a, 1607b 1608a, 1608b 1612 > 1613 1616 1618, 1628 1621, 1622 1625 1627, 1627a, 1627b 1630 1640 1651, 1652 1653 > 1654 、 2316 1660 166b 1662 1663 1676 ^ 1677 ^ 1678 ′ 1701 1711-1 Vacuum exhaust passage space Double groove circumferential groove Exhaust passage internal space member support member Freezer aperture gap Gap optics Y direction guide device X-direction guidance device Linear motor pedestal Exhaust port Flexible piping Differential exhaust mechanism Ring groove electron beam irradiation space Fine-gap turbo molecular pump dry vacuum pump compressor Wave regulator Regulator high purity inert gas supply system, 1680 piping electron beam device Cathode This paper size is in accordance with Chinese National Standard (CNS) A4 (210 X 297 mm) 158 312766 539845 V. Description of the invention (159) Printed by A7 B7 1712-2 A7 B7 1712-2 round hole 1712-2 1 Main body 1712-3 to 1712-5 Plate portion 1712-6 to 1712-8 Metal coating film 1712-9 Electrode mold 1714, 1717 Alignment device 1732 Amplifier 1733 Image forming unit 1741 Support frame 1750 Delay voltage application device 1760 Delay voltage determination system 1761 Display 1770 Chip 1771 Memory cell 1772 Memory cell limit 1763 > 1774 Pattern offset 1775-1777 Solid line 1776 、 1778 contrast 1802 > 1806 Electrostatic lens 1808 Storage area 1811 Biased electrode 1812 Biased controller 1814 Control Department main body 1816 Process execution inspection department 1817 Flow generated image 1830 Wafer inspection circular case 1832a to 1832k Rectangular area 1834 Wafer inspection surface 1836 Reference image 1852 Fluorescent surface 1856 CCD camera sensor This paper is scaled to Chinese National Standard (CNS) A4 (210x297) ) 159 312766 (Please read the notes on the back before filling this page)

Claims (1)

539845539845 拳 經濟部中央標準局員工福利委員會印製 苐90115565號專利申嗜率 申請專利範圍修正本 (91年12月24曰) 1 *種片狀光束式檢查裝置,其特徵為具備有:檢查對象 物之檢查室; 片狀光束發生裝置,係將來自保持在前述檢查室内 的前述檢查對象物,具有用以取出二次帶電粒子的能源 的帶電粒子或離子或電磁波,做為具一定寬度的片狀形 一次照射光束射出; 電子光學系,可將該光束引導至前述檢查對象物, 捕捉由前述檢查對象物捕產生之二次帶電粒子,並引導 至圖像處理系; 圖像處理系,可藉由該二次帶電粒子束的投影形成 可視圖像; 資訊處理系,根據該圖像處理系的輸出,顯示以及 /或儲存前述檢查對象物的狀態資訊,· 工作台,係讓前述檢查對象對應前述電子光學系, 並以可相對移動的方式保持檢查對象。 2·如申請專利範圍第1項之片狀光束式檢查裝置,其中, 尚具備有:搬出入機構,可保全前述檢查對象物,並將 其搬入搬出於前述檢查室。 3_如申請專利範圍第2項之片狀光束式檢查裝置,其中, 前述搬送機構具備有: 可在前述檢查對象中灌入清淨氣體以防止塵埃附 本紙張中國國家標準(CNS) Α4^21()χ 297ϋ 1 312766 539845Printed by the Staff Welfare Committee of the Central Standards Bureau of the Ministry of Economic Affairs of the People's Republic of China No. 90115565 Patent Amendment Application Patent Range Amendment (December 24, 91) 1 * A sheet-type beam inspection device, which is characterized by: Examination room; The sheet-shaped beam generating device is a sheet with a certain width of charged particles or ions or electromagnetic waves from the aforementioned inspection object held in the aforementioned inspection room and having energy for taking out secondary charged particles. A single irradiation beam is emitted; the electronic optics system can guide the beam to the aforementioned inspection object, capture the secondary charged particles generated by the aforementioned inspection object, and guide it to the image processing system; the image processing system can be borrowed A visible image is formed by the projection of the secondary charged particle beam; the information processing system displays and / or stores the state information of the inspection object according to the output of the image processing system; The aforementioned electro-optical system holds the inspection object in a relatively movable manner. 2. The sheet-shaped beam inspection device according to item 1 of the patent application scope, further comprising: a moving-in / out mechanism that can maintain the aforementioned inspection object and carry it in and out of the inspection room. 3_ If the sheet-shaped beam inspection device according to item 2 of the scope of patent application, the aforementioned transport mechanism is provided with: a clean gas can be injected into the aforementioned inspection object to prevent dust from attaching to the paper Chinese National Standard (CNS) Α4 ^ 21 () χ 297ϋ 1 312766 539845 著的小型環境裝置; 配置在該小型環境袭置鱼铪、+、t丄 罝/、別述檢查室之間,可分別 控制處於真空狀態下的多數加載室; 用以在其中一個加載室盘 興别述小型環境裝置之間 搬送檢查對象物的第1搬送裳置; 用以在其甲一個加載室盘箭 s /、别述檢查室之間搬送檢 查對象物的第2搬送裝置; 用以支撐前述檢查室斑箭奸、1 a 主/、則述加载室的振動遮斷裝 置。 4_=申請專利項之片狀光束式檢查裝置,其中, 前述檢查室,具備有: 防止前述檢查對象物的振動的防振裝置; 將前述檢查室内保持在真空中的真空裝置。 5.如申請專利範圍帛i項之片狀光束式檢查裝置,i中, 前述檢查室’配備有:電位施加裝置,可對用以減少前 述檢查對象物帶電不均的預先充電裝置及/或前述檢查 對象物施加電位。 經濟部中央標準局員工福利委員會印製 6·:種片狀光束式檢查裝置,其特徵為具備有:申請專利 範圍第1項之片狀光束發生裝置,而該片狀光束發生裝 置,係被配置在··將複數線源所照射之帶電粒子或離子 或電磁波導入前述電子光學系的前段中,並具有可供該 帶電粒子或離子或電磁波通過的縱橫比較大的孔隙的 分隔板。 7·如申請專利範圍第6項之片狀光束式檢查裝置,其中, 本紙張尺度適用中國國家標準(CNS) A4規格(21()χ 297公髮) 312766 539845 前述孔隙係以複數被設置在各線源上。 8·如申請專利範圍第7項之片狀光束式檢查裝置,其中, 前述孔隙係形成於前述線源的照射軸之外的位置上。 9·如申請專利範圍第6項之片狀光束式檢查裝置,其中, 前述分隔板係以具高剛性的材料形成。 10·如申請專利範圍第j項之片狀光束式檢查裝置,其中, 該裝置具有包含靜電透鏡的電子光學系。 •如申叫專利範圍第10項之片狀光束式檢查裝置,其 中,前述電子光學系内的電極係以功率函數在5ev以上 的金屬敷層。 12·如申請專利範圍第11項之片狀光束式檢查裝置,其 中’鈿述金屬係白金或白金的合成。 經濟部中央標準局員工福利委員會印制衣 U·如申請專利範圍第10項之片狀光束式檢查裝置,其 中,前述靜電透鏡,包含有:具有擁有電位差的複數電 極,以及位於該電極間,可用以保持電極的絕緣材料, 其中至少一個電極,不僅具有電極間距離最短的第!電 極面,及電極間距離較該第1電極面為長的第2電極 面’且兩電極間還具有段差, 前述絕緣材料位於前述第2電極面及其他電極之 間’並以略垂直方式支撐各電極, 前述絕緣材料的電極間的最短沿面距離,係與前述 所支樓之電極部份的電極間距離略呈等長。 14·如申請專利範圍第〗項之片狀光束式檢查裝置,其中, 具有·用以將前述檢查對象釋出的二次帶電粒子線由一 312766 本紙張尺度通用中國國家標準(CNS)人4規$ (21Gx 297公爱: 539845 條iL y 、本/年Λ Η3 次照射光束分離的Ε Χ Β分籬Λ # ^冊 離器或維納濾波器,可將前 述一-人▼電粒子線的該ΕχΒ分離涔七祕,、士、 LH - ^ °或維、、内濾波器的磁 琢的偏向置變為電場偏向 的偏向方向與電場的偏向方向二㈣也可將該磁場 電子光學系’可將複數的-次照射光束照射到 則述檢查對象物以釋出二次帶電粒子,再將該二次帶電 粒子引導至前述圖像處理系。 %如申請專利範圍第i項之片狀光束式檢查裝置其中, :=..電子ί學系,而該電子光學系’則具備有:測 疋,可测疋與對物透鏡的激起電壓相關,用以表示 f與第1方向平行的圖案邊緣移動至第2方向時的二次 帶電粒子線信號波形的升起的第!資料;及用以表示將 與第2方向平行的圖案邊緣移動至第1方向時的二次帶 電粒子線信號波形的升起的第2資料; 經濟部中央標準局員工福利委員會印製 欠控制裝置’可以二次式將前述第1資料與前述第2 資料予以近似’並在求出顯示各二次式的最小值的前述 對物透鏡的激起條件的同時,讓前述對物透鏡的前述激 起電壓配合所求得之前述激起條件的代數平均。 17.如申請專利範圍第16項之片狀光束式檢查裝置,其 中,前述控制裝置還具備有非點像差的補正裝置。 18_如申请專利範圍第16項之片狀光束式檢查裝置,其 、土述對物透鏡,具備有··被施加以接近接地的電壓 的第1電極;及被施加以遠離接地的電壓的帛2電極,The small environmental device is equipped; it is arranged between the small environmentally affected fish bream, +, t 丄 罝 /, and other inspection rooms, and can control most of the loading chambers in a vacuum state; The first transfer device for transferring inspection objects between small-scale environmental devices; the second transfer device for transferring inspection objects between one of the loading chambers and the other inspection rooms; The vibration blocking device of the loading chamber is supported to support the aforementioned inspection room spotted arrowhead. 4_ = The patented sheet-shaped beam inspection device, wherein the inspection room includes: an anti-vibration device that prevents vibration of the inspection object; and a vacuum device that maintains the inspection room in a vacuum. 5. For the sheet beam type inspection device according to item i of the patent application, in i, the inspection room is equipped with a potential application device, a pre-charging device that can reduce the uneven charging of the inspection object and / or The inspection object is applied with a potential. Printed by the Staff Welfare Committee of the Central Standards Bureau of the Ministry of Economic Affairs 6: A sheet-shaped beam type inspection device, which is characterized by having: It is arranged in a partition plate that introduces charged particles, ions, or electromagnetic waves irradiated by a plurality of line sources into the front section of the aforementioned electro-optical system, and has relatively large vertical and horizontal pores through which the charged particles or ions or electromagnetic waves can pass. 7. The sheet-shaped beam inspection device according to item 6 of the patent application scope, in which the paper size is in accordance with Chinese National Standard (CNS) A4 (21 () χ 297) 312766 539845 The aforementioned pore system is set in plural On each line source. 8. The sheet-shaped beam type inspection device according to item 7 of the patent application scope, wherein the aperture is formed at a position other than the irradiation axis of the line source. 9. The sheet-shaped beam inspection device according to item 6 of the patent application scope, wherein the partition plate is formed of a material having high rigidity. 10. The sheet-shaped beam inspection device according to item j of the patent application scope, wherein the device has an electro-optical system including an electrostatic lens. • For example, the sheet-type beam inspection device under the scope of patent application No. 10, wherein the electrodes in the aforementioned electronic optics are metal cladding with a power function of 5ev or more. 12. The sheet-shaped beam type inspection device according to item 11 of the scope of patent application, wherein 'the metal is platinum or a combination of platinum. Printed clothing of the Staff Welfare Committee of the Central Standards Bureau of the Ministry of Economic Affairs. For example, the sheet-type beam inspection device under the scope of patent application No. 10, wherein the aforementioned electrostatic lens includes a plurality of electrodes having a potential difference, and is located between the electrodes. The insulating material that can be used to hold the electrodes, at least one of which has not only the shortest distance between the electrodes! The electrode surface, and the second electrode surface having a longer distance between the electrodes than the first electrode surface, and there is a step between the two electrodes; the insulating material is located between the second electrode surface and the other electrodes, and is supported in a slightly vertical manner The shortest creepage distance between the electrodes and the electrodes of the foregoing insulating material is slightly equal to the distance between the electrodes of the electrode portion of the aforementioned branch. 14. The sheet-shaped beam inspection device according to the scope of the patent application, which has a secondary charged particle line used to release the aforementioned inspection object from a 312766 paper standard commonly used by China National Standards (CNS) People 4 Regulations (21Gx 297 public love: 539845 iL y, current / year Λ Η 3 times of irradiation beam separation Ε Χ Β 分 ΛΛ # ^ book ionizer or Wiener filter, the aforementioned one-person ▼ electric particle line The εχΒ separation of the seven secrets, the magnetic, LH-^ °, or dimension, the magnetic bias of the internal filter into the deflection direction of the electric field and the deflection direction of the electric field can also be used for the magnetic optical system 'A plurality of irradiation beams can be irradiated to the inspection object to release secondary charged particles, and the secondary charged particles can be guided to the aforementioned image processing system. The beam type inspection device includes: = .. Department of Electronics, and the Department of Electro-Optics' includes: measuring radon, measurable radon is related to the excitation voltage on the objective lens, and is used to indicate that f is parallel to the first direction When the edge of the pattern moves to the second direction The second data of the rising of the charged particle line signal waveform; and the second data indicating the rising of the second charged particle line signal waveform when the edge of the pattern parallel to the second direction is moved to the first direction; Ministry of Economic Affairs; The Central Bureau of Standards Employee Welfare Committee printed the undercontrol device 'can first approximate the first data and the second data' in a quadratic form, and determine the activation conditions of the objective lens that shows the minimum value of each quadratic form At the same time, the algebraic average of the above-mentioned excitation conditions obtained by combining the above-mentioned excitation voltage of the objective lens is obtained. 17. For example, the sheet-beam type inspection device according to item 16 of the patent application scope, wherein the control device further includes: Correction device with astigmatism. 18_ For example, the sheet beam type inspection device under the scope of patent application No. 16 has a first objective electrode which is provided with a voltage close to ground; And the 帛 2 electrode, which is applied with a voltage away from ground, 本紙張尺度顧巾關雜準(CNS) A4^7210 x 297公釐) 4 312766 539845 係種片狀光束式檢查裝置,具備有:可藉由變化 施加於第!電極的電壓,變更前述對物透鏡焦點的機 構;及可變更施加於前述第丨電極的電壓,並在短時間 内變更如述對物透鏡的焦點距離的機構。 19·如申請專利範圍第丨項之片狀光束式檢查裝置,其中, 具備有·用以決定對前述檢查對象射出照射光束的位置 的機械式構造體;藉由該機械式構造體的振動接收力量 的壓電元件;及以電性方式連接該壓電元件,以降低所 輸出之電氣能源的降低振動用電路。 20·如申請專利範圍第19項之片狀光束式檢查裝置,其 中,前述降低振動用電路,除了具有用以做為具有電感 的元件或該元件的等價電路的電感性裝置外,可與擁有 靜電容量的前述壓電元件連接,以形成共振電路,並決 定對應前述壓電元件的靜電容量的電感性裝置的電 感,使該共振電路的共振頻率可與前述機械構造體的頻 率確實一致。 經濟部中央標準局員工福利委員會印製 21.如申請專利範圍第2〇項之片狀光束式檢查裝置,其 中’别述降低振動用電路内還具備有電阻元件。 22·如申請專利範圍第2項之片狀光束式檢查裝置,其中, 具備有·靜電夾盤;可將前述檢查對象物由施加電壓〇 J曰減為所疋值,使與該檢查對象物的施加電壓產生連動 的電壓被施加到電極,而以靜電方式吸著保持前述檢查 對象物。 23.如申請專利範圍第22項之片狀光束式檢查裝置,其 本紙張尺度適用中國國家標準(CNS) Μ規格(21〇>< 297公髮) 312766 539845This paper scales Guzhengguan Miscellaneous Standard (CNS) A4 ^ 7210 x 297 mm 4 312766 539845 series of sheet-type beam inspection devices, which are equipped with: Can be applied to the first by changes! The voltage of the electrode changes the mechanism of the focus on the objective lens; and the mechanism of changing the voltage applied to the aforementioned electrode and changing the focus distance of the objective lens within a short time. 19. The sheet-shaped beam inspection device according to item 丨 of the patent application, which includes: a mechanical structure for determining a position at which an irradiation beam is emitted to the inspection object; and vibration reception of the mechanical structure A powerful piezoelectric element; and a circuit for reducing vibration that electrically connects the piezoelectric element to reduce the output of electrical energy. 20. The sheet-beam type inspection device according to item 19 of the scope of patent application, wherein the aforementioned vibration reducing circuit can be used in addition to an inductive device having an inductance element or an equivalent circuit of the element. The piezoelectric element having an electrostatic capacity is connected to form a resonance circuit, and the inductance of an inductive device corresponding to the electrostatic capacity of the piezoelectric element is determined so that the resonance frequency of the resonance circuit can be exactly consistent with the frequency of the mechanical structure. Printed by the Staff Welfare Committee of the Central Bureau of Standards, Ministry of Economic Affairs 21. If the sheet beam type inspection device in the scope of patent application No. 20 is used, a resistor element is also included in the circuit for reducing vibration. 22. The sheet-shaped beam inspection device according to item 2 of the patent application scope, which is provided with an electrostatic chuck; the inspection object can be reduced from the applied voltage θJ to the threshold value, so that the inspection object is compatible with the inspection object. The applied voltage is applied to the electrode in association with the applied voltage, and the aforementioned inspection object is electrostatically held and held. 23. If the sheet beam inspection device of item 22 of the patent application scope, the paper size is applicable to the Chinese National Standard (CNS) M specification (21〇 > < 297) 312766 539845 中刚述電極被分割為:由其中央部分及周邊部分之— 部分所形成的第1電極;及由剩餘部分所形成的第 極’並具備有:先對該第1電極施加電壓,接著讓前述 檢查對象物變為低電位乃至接地電位,之後,再對該第 2電極施加電壓的靜電夾盤。 / 24.如申明專利範圍第22項之片狀光束^查& f q 中’刖述靜電夹盤,係由電極,絕緣體重疊構成,前述 檢查對象物藉由-^之電阻及接觸子被施加以電壓,而 前述接觸子係|前端與前述檢查對象物内面接觸的形 狀。 乂 25·如申請專利範圍第i項之片狀光束式檢查裝置,其中, 具備有··工作台的控制機構;而該機構具備有··為決定 對應前述電子光學系的前述檢查對象的位置,而觀察該 檢查對象物以控制校準的校準控制裝置;及用以檢出前 述工作台上的前述檢查對象物的座標的雷射干涉式測 距裝置,係藉由前述校準控制裝置,利用存在於前述檢 查對象物的圖案來決定該檢查對象物的座標。 經濟部中央標準局員工福利委員會印製 26·如申請專利範圍第1項之片狀光束式檢查裝置,其中, 具有工作台;至少可對應前述電子光學系,並以2以上 的自由度保持前述檢查對象物,該工作台上設置有:運 用靜壓軸承的非接觸指示機構;及運用差動排氣的真空 密封機構,接受前述檢查對象物的光束照射的位置與前 述工作台的靜壓軸承支撐部之間,則設有使電感變小的 分隔裝置,可產生壓力差。 本紙張尺度適用中國國家標準(CNS) A4規格(210 x 297公釐) 6 312766 84 9 3 5 ______________H3 _ 27_如申請專利範圍第26項之片狀光束式檢查裝置,其 中,前述分隔裝置内藏有差動排氣構造。 28·如申請專利範圍第26項之片狀光束式檢查裝置,其 中,前述分隔裝置中内藏有冷陷波機能。 29·如申請專利範圍第26項之片狀光束式檢查裝置,其 中,前述分隔裝置至少被設置在片狀光束發生裝置附近 及靜壓軸承附近兩處。 30·如申請專利範圍第26項之片狀光束式檢查裝置,其 中,被供給至前述靜壓軸承的氣體,係乾氮氣或惰性氣 31·如申請專利範圍第26項之片狀光束式檢查裝置,其 中,被供給至前述靜壓軸承中的氣體,由收容前述工作 台的殼體排出後,被加壓並再度被供給至前述靜壓軸 承。 32·如申請專利範圍第26項之片狀光束式檢查裝置,其 中,至少在面對前述靜壓軸承的零件表面上實施降低放 出氣體的表面處理。 經濟部中央標準局員工福利委員會印製 33·如申請專利範圍第1項之片狀光束式檢查裝置,其中, 具備有·電子光學系;該電子光學系,具有:對前述檢 查對象物施加延遲電壓的延遲電壓施加裝置;及施加最 適當的延遲電壓的控制機構,此外,尚具有在絕緣物表 面敷層金屬的透鏡。 34.如申請專利轉圍第33項之片狀光束式檢查裝置,其 中,前述透鏡上加工有一體之絕緣物,以做成軸對稱透 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) " "~~ 7 312766 539845 Η3 鏡。 35·如申請專利範圍第33項之片狀光束式檢查裝置,其 中,用以施加前述最適當之延遲電壓的控制機構,具備 有:可計測前述檢查對象物的充電狀態的充電調查機能 部;可根據該充電調查機能部的輸出資訊決定最適當的 延遲電壓’而將該延遲電壓施加在檢查對象物上,或變 更為最適當的光束電流。 36·如申請專利範圍第33項之片狀光束式檢查裝置,其 中,前述充電調查機能部,在檢出二次帶電粒子線並形 成圖像時’係根據前述檢查對象物的特定部位的圖像偏 移或圖像模糊的大小,來評價該檢查對象物的充電狀 態。 37·如申請專利範圍第33項之片狀光束式檢查裝置,其 中,前述充電調查機能部,可以可變更方式,對前述檢 查對象物施加延遲電壓,並在施加複數的延遲電壓的狀 悲下’進行該檢查對象之圖像密度變化較大的境界附近 的圖像形成。 經濟部中央標準局員工福利委員會印製 38.如申請專利範圍第37項之片狀光束式檢查裝置,其 中,具備有:圖像顯示裝置;可進行顯示,使運算器得 以評價前述所形成之圖像。 39·如申請專利範圍第1項之片狀光束式檢查裝置,其中, 具備有:擁有可各自取得前述檢查對象物的複數的檢查 區域的圖像的圖像取得裝置;及用以儲存基準圖像的圖 像處理系; 本紙張尺細中國 312766 539845 H3 ^及藉由比較該檢查區域的圖像與基準圖像,以判斷 前述檢查對象物的狀態的資訊 桃如申請專利範圍第39項之片狀光束式檢查裝置,其 中,由刖述圖像處理裝置取得的檢查區域的圖像,係在 前述檢查對象物上-面進行部分重疊—面相互變Μ 取得。 41.如申2專利範圍第39項之片狀光束式檢查裝置,其 中,前述圖像處理系,係用以檢出二次帶電粒子並依序 取得圖像,並具備有螢光幕與微路板。 42·如申請專利範圍第41項之片狀光束式檢查裝置,其 中,前述圖像處理系,係藉由固體攝像元件(CCD )照 相機取得螢光圖像。 43.如申請專利範圍第i項之片狀光束式檢查裝置,其中, 具備有·可形成一次照射光束,或偏向該一次照射光束 而使之依序照射前述檢查對象物的光束偏向裝置。 44·如申請專利範圍第!項之片狀光束式檢查裝置,其中, 前述檢查對象物係晶圓乃至半導體元件,而該裝置係用 以檢查其缺陷。 經濟部中央標準局員工福利委員會印制衣 45.如申請專利範圍第1項之片狀光束式檢查裝置,其中, 檢查標的,係由回路配線的缺陷,線寬測定,校準精度 測定,及電位對比測定所選出之至少一項。 46·—種半導體裝置製造裝置,其特徵為具備有:用以進行 晶圓乃至半導體元件的評價的申請專利範圍第1項之 片狀光束式檢查裝置。 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 9 312766 539845The electrode just described is divided into: the first electrode formed by the central part and the peripheral part of the-part; and the first electrode formed by the remaining part 'and having: applying a voltage to the first electrode, and then letting The electrostatic chuck that applies a voltage to the second electrode after the inspection object becomes a low potential or a ground potential. / 24. As stated in the claim 22 of the patent for the sheet-shaped beam ^ check & fq 'The electrostatic chuck described above is composed of electrodes and insulators overlapping, the aforementioned inspection object is applied through the-^ resistance and contactor A shape in which the front end of the contact sub-system is in contact with the inner surface of the inspection object at a voltage.乂 25 · If there is a sheet-beam type inspection device according to item i of the patent application scope, which includes: a control mechanism of a table; and the mechanism includes a position for determining the inspection object corresponding to the aforementioned electro-optical system And a calibration control device that observes the inspection object to control the calibration; and a laser interference type ranging device that detects the coordinates of the inspection object on the workbench, using the calibration control device, The coordinates of the inspection object are determined based on the pattern of the inspection object. Printed by the Staff Welfare Committee of the Central Bureau of Standards of the Ministry of Economic Affairs. 26. For example, the sheet-beam type inspection device in the scope of patent application No. 1 has a worktable; it can correspond to at least the above-mentioned electronic optics department, and maintain the above with 2 or more degrees of freedom The object to be inspected is provided with a non-contact indicating mechanism using a static pressure bearing and a vacuum sealing mechanism using a differential exhaust gas. The position where the beam of the object to be inspected is irradiated and the static pressure bearing of the table are provided. Between the support parts, a separating device for reducing inductance is provided, and a pressure difference can be generated. This paper size is in accordance with Chinese National Standard (CNS) A4 (210 x 297 mm) 6 312766 84 9 3 5 ______________H3 _ 27_ If the sheet beam inspection device in the scope of the patent application No. 26, where the aforementioned partition device Hidden differential exhaust structure. 28. The sheet-shaped beam inspection device according to item 26 of the patent application, wherein a cold trap function is built into the aforementioned partition device. 29. The sheet-shaped beam inspection device according to item 26 of the patent application, wherein the aforementioned partitioning device is provided at least in the vicinity of the sheet-shaped beam generating device and near the static pressure bearing. 30. The sheet beam inspection device according to item 26 of the patent application, wherein the gas supplied to the aforementioned static pressure bearing is dry nitrogen or inert gas 31. The sheet beam inspection device according to item 26 of the patent application The device in which the gas supplied to the static pressure bearing is discharged from a housing that houses the table, is pressurized, and is supplied to the static pressure bearing again. 32. The sheet-shaped beam inspection device according to item 26 of the patent application, wherein at least the surface of the part facing the aforementioned static pressure bearing is subjected to a surface treatment to reduce outgassing. Printed by the Staff Welfare Committee of the Central Bureau of Standards of the Ministry of Economic Affairs 33. The sheet beam type inspection device according to item 1 of the scope of patent application, which includes an electronic optics system; the electronic optics system has the following characteristics: A voltage delay voltage application device; a control mechanism for applying the most appropriate delay voltage; and a lens having a metal layer on the surface of the insulator. 34. For example, the sheet-shaped beam inspection device of item 33 in the patent application, wherein the aforementioned lens is processed with an integral insulator to make it axisymmetrically transparent. This paper is compliant with China National Standard (CNS) A4 specifications (210 X 297 mm) " " ~~ 7 312766 539845 Η3 mirrors. 35. The sheet-shaped beam inspection device according to item 33 of the patent application scope, wherein the control mechanism for applying the aforementioned most appropriate delay voltage includes a charging investigation function unit capable of measuring the state of charge of the inspection object; Based on the output information of the charging investigation function unit, the most appropriate delay voltage can be determined, and the delay voltage can be applied to the inspection object or changed to the most appropriate beam current. 36. The sheet beam type inspection device according to item 33 of the patent application scope, wherein the charging investigation function unit is based on a map of a specific part of the inspection object when a secondary charged particle beam is detected and an image is formed. The magnitude of image shift or image blur is used to evaluate the state of charge of the inspection object. 37. According to the sheet beam type inspection device according to item 33 of the patent application scope, the charging investigation function unit may change the method to apply a delay voltage to the inspection object, and a plurality of delay voltages are applied. 'Perform image formation near a realm where the image density of the inspection object varies greatly. Printed by the Staff Welfare Committee of the Central Standards Bureau of the Ministry of Economic Affairs 38. For example, the sheet beam type inspection device of the 37th scope of the patent application, which includes: an image display device; can display, so that the calculator can evaluate the formation of the aforementioned image. 39. The sheet-shaped beam inspection device according to item 1 of the patent application scope, further comprising: an image acquisition device having an image of each of a plurality of inspection areas of the inspection object; and an image acquisition device for storing the reference image. Image processing system of this image; This paper ruler China 312766 539845 H3 ^ and the information of judging the state of the aforementioned inspection object by comparing the image of the inspection area with the reference image. The sheet-shaped beam type inspection device obtains an image of an inspection area obtained by the image processing device described above, and obtains the inspection object by partially overlapping the surfaces and changing the surfaces. 41. The sheet-shaped beam type inspection device according to item 39 in the patent scope of claim 2, wherein the aforementioned image processing system is used to detect secondary charged particles and sequentially obtain images, and has a screen and a microcomputer. Road boards. 42. The sheet-type beam inspection apparatus according to item 41 of the application, wherein the image processing system obtains a fluorescent image by a solid-state imaging device (CCD) camera. 43. The sheet-beam type inspection device according to item i of the patent application, further comprising a beam deflection device that can form a single irradiation beam or deviate to the single irradiation beam to sequentially irradiate the inspection object. 44 · If the scope of patent application is the first! In the sheet-shaped beam inspection device according to the item, the inspection object is a wafer or a semiconductor element, and the device is used to inspect its defects. Printed clothing by the Staff Welfare Committee of the Central Standards Bureau of the Ministry of Economic Affairs 45. For example, the sheet beam type inspection device of the scope of application for patents, where the inspection target is a loop wiring defect, line width measurement, calibration accuracy measurement, and potential Comparative determination of at least one selected. 46 · A semiconductor device manufacturing device, which is provided with a chip-beam type inspection device for applying for the evaluation of the wafer and even the evaluation of semiconductor elements in the first patent application scope. This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) 9 312766 539845 經濟部中央標準局員工福利委員會印製 47·如申請專利範圍第1項之片狀光束式檢查裝置,其中, 前述帶電粒子線係一種電子線。 48. 如申請專利範圍第1項之片狀光束式檢查裝置,其中, 具備有:藉由減速電場型對物透鏡將二次帶電粒子予以 加速的電子光學系;及用以投影該圖像的圖像處理系。 49. 如申請專利範圍第!項之片狀光束式檢查裝置,其中, 系取代i述片狀一-人照射光束,而由複數的線源照射一 次帶電粒子線,並以複數的檢測器檢出二次帶電粒子 50. 種半導體裝置製造方法,係藉由進行晶圓乃至半導體 元件的評價的申請專利範圍第丨項之片狀光束式檢查 裝置進行晶圓乃至半導體元件的加工,製造,觀測或 檢查。 5 1 · —種曝光方法,係藉由申請專利範園第i項之片狀光束 式檢查裝置,在晶圓或光栅上描繪半導體裝置的電路圖 案0 本紙張尺度適用中國國家標準(CNS) A4規袼(210 X 297公釐) 10 312766 539845 # (if iE J}( 本年爲* 2015 2004 2001Printed by the Staff Welfare Committee of the Central Bureau of Standards of the Ministry of Economic Affairs 47. For example, the sheet-type beam inspection device of the scope of patent application, wherein the aforementioned charged particle line is an electronic wire. 48. The sheet-shaped beam inspection device according to item 1 of the patent application scope, comprising: an electron optics system for accelerating secondary charged particles by a decelerating electric field type object lens; and an electron optical system for projecting the image Department of Image Processing. 49. If the scope of patent application is the first! Item of sheet-shaped beam type inspection device, which replaces the sheet-shaped one-person irradiation beam, and irradiates primary charged particle beams by a plurality of line sources, and detects secondary charged particles by a plurality of detectors. 50 species The manufacturing method of a semiconductor device is to process, manufacture, observe, or inspect a wafer or a semiconductor element by using a sheet-beam type inspection device of the patent application scope item 丨 for evaluating a wafer or a semiconductor element. 5 1 · — An exposure method, which uses a sheet-beam inspection device of the i-type patent application to trace the circuit pattern of a semiconductor device on a wafer or a grating. 0 This paper is in accordance with China National Standard (CNS) A4 Regulations (210 X 297 mm) 10 312766 539845 # (if iE J} (this year is * 2015 2004 2001 Χ/"""7Τ/ 2018 二次筒體, 5制裝置| 2019Χ / " " " 7Τ / 2018 secondary cylinder, 5-system device | 2019 3 2 1 一~ ^—**^ ο ο ο 2 2 2 …控制裝置 2002 2014 2010 20093 2 1 1 ~ ^ — ** ^ ο ο ο 2 2 2… control device 2002 2014 2010 2009 20162016 2007 2003 ); I ^ 2006 I r :工作台 驅動機構 CPU ^ 2020 ^2017 第/圖2007 2003); I ^ 2006 I r: table driving mechanism CPU ^ 2020 ^ 2017
TW90115565A 2000-07-27 2001-06-27 Sheet beam-type inspection device TW539845B (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2000227132 2000-07-27
JP2000335756 2000-11-02
JP2000374164 2000-12-08
JP2001022931 2001-01-31
JP2001031901 2001-02-08

Publications (1)

Publication Number Publication Date
TW539845B true TW539845B (en) 2003-07-01

Family

ID=29587878

Family Applications (1)

Application Number Title Priority Date Filing Date
TW90115565A TW539845B (en) 2000-07-27 2001-06-27 Sheet beam-type inspection device

Country Status (1)

Country Link
TW (1) TW539845B (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI415162B (en) * 2005-03-03 2013-11-11 Toshiba Kk Mapping projection type electron beam apparatus and defects inspection system using such apparatus
TWI459430B (en) * 2010-05-28 2014-11-01 Kla Tencor Corp Reflection electron beam projection lithography using an exb separator
TWI630453B (en) * 2017-11-22 2018-07-21 牧德科技股份有限公司 Projection-type recheck machine and compensation method thereof
TWI673749B (en) * 2014-12-22 2019-10-01 德商Ict積體電路測試股份有限公司 High resolution charged particle beam device and method of operating the same
TWI683102B (en) * 2015-05-08 2020-01-21 美商克萊譚克公司 Systems and methods for oblique incidence scanning with 2d array of spots
TWI738876B (en) * 2016-10-06 2021-09-11 瑞士商通用電氣技術公司 System, method and apparatus for locating the position of a component for use in a manufacturing operation
TWI771172B (en) * 2020-09-18 2022-07-11 日商日立全球先端科技股份有限公司 Charged particle beam device and sample observation method
TWI776053B (en) * 2018-05-03 2022-09-01 美商帕斯馬舍門有限責任公司 Method of correcting asymmetry during a wafer etching process
US11521822B2 (en) 2020-07-22 2022-12-06 Canon Anelva Corporation Ion gun and vacuum processing apparatus
US11810748B2 (en) 2020-07-22 2023-11-07 Canon Anelva Corporation Ion gun and vacuum processing apparatus

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI415162B (en) * 2005-03-03 2013-11-11 Toshiba Kk Mapping projection type electron beam apparatus and defects inspection system using such apparatus
TWI459430B (en) * 2010-05-28 2014-11-01 Kla Tencor Corp Reflection electron beam projection lithography using an exb separator
US9355818B2 (en) 2010-05-28 2016-05-31 Kla-Tencor Corporation Reflection electron beam projection lithography using an ExB separator
TWI673749B (en) * 2014-12-22 2019-10-01 德商Ict積體電路測試股份有限公司 High resolution charged particle beam device and method of operating the same
TWI683102B (en) * 2015-05-08 2020-01-21 美商克萊譚克公司 Systems and methods for oblique incidence scanning with 2d array of spots
TWI738876B (en) * 2016-10-06 2021-09-11 瑞士商通用電氣技術公司 System, method and apparatus for locating the position of a component for use in a manufacturing operation
TWI630453B (en) * 2017-11-22 2018-07-21 牧德科技股份有限公司 Projection-type recheck machine and compensation method thereof
TWI776053B (en) * 2018-05-03 2022-09-01 美商帕斯馬舍門有限責任公司 Method of correcting asymmetry during a wafer etching process
US11521822B2 (en) 2020-07-22 2022-12-06 Canon Anelva Corporation Ion gun and vacuum processing apparatus
TWI793656B (en) * 2020-07-22 2023-02-21 日商佳能安內華股份有限公司 Ion gun and vacuum treatment device
US11810748B2 (en) 2020-07-22 2023-11-07 Canon Anelva Corporation Ion gun and vacuum processing apparatus
TWI771172B (en) * 2020-09-18 2022-07-11 日商日立全球先端科技股份有限公司 Charged particle beam device and sample observation method

Similar Documents

Publication Publication Date Title
JP3993094B2 (en) Sheet beam inspection system
JP6220423B2 (en) Inspection device
KR100885940B1 (en) Charged particle beam inspection apparatus and method for fabricating device using that inspection apparatus
KR100875230B1 (en) Inspection device by charged particle beam and device manufacturing method using the inspection device
KR102145469B1 (en) Inspection apparatus
US7157703B2 (en) Electron beam system
WO2002040980A1 (en) Wafer inspecting method, wafer inspecting instrument, and electron beam apparatus
TW539845B (en) Sheet beam-type inspection device
JP2007206050A (en) Substrate inspection method, substrate inspection device, and electron beam unit
JP2003173756A (en) Electron beam device and manufacturing method of device using the same
JP4939235B2 (en) Sheet beam inspection system
JP2006153871A (en) Substrate inspection method, substrate inspecting device, and electron beam system
TWI288424B (en) Inspection apparatus and inspection method
JP4221428B2 (en) Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus
JP2008193119A (en) Inspection system by charged particle beam and method of manufacturing devices using system
JP4224089B2 (en) Imaging apparatus, defect inspection apparatus, defect inspection method, and electron beam inspection apparatus
JP3890015B2 (en) Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus
TWI286776B (en) Inspecting device using electron beam and method for making semiconductor devices with such inspection device
JPWO2002040980A1 (en) Substrate inspection method, substrate inspection device, and electron beam device

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent