TWI247796B - Chemical-mechanical planarization composition having PVNO and associated method for use - Google Patents

Chemical-mechanical planarization composition having PVNO and associated method for use Download PDF

Info

Publication number
TWI247796B
TWI247796B TW093130132A TW93130132A TWI247796B TW I247796 B TWI247796 B TW I247796B TW 093130132 A TW093130132 A TW 093130132A TW 93130132 A TW93130132 A TW 93130132A TW I247796 B TWI247796 B TW I247796B
Authority
TW
Taiwan
Prior art keywords
composition
weight
copper
cmp
abrasive
Prior art date
Application number
TW093130132A
Other languages
Chinese (zh)
Other versions
TW200513525A (en
Inventor
Junaid Ahmed Siddiqui
Bin Hu
Original Assignee
Dupont Air Prod Nanomaterials
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dupont Air Prod Nanomaterials filed Critical Dupont Air Prod Nanomaterials
Publication of TW200513525A publication Critical patent/TW200513525A/en
Application granted granted Critical
Publication of TWI247796B publication Critical patent/TWI247796B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Manufacture Of Macromolecular Shaped Articles (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

A composition and associated method for chemical mechanical planarization (or other polishing) are described. The composition comprises an abrasive and a polyvinylpyridine-N-oxide polymer. The composition possesses high selectivities for metal and barrier material removal in metal CMP. The composition may further comprise and oxidizing agent in which case the composition is particularly useful in conjunction with the associated method for metal CMP applications (e.g., copper CMP).

Description

1247796 九、發明說明: 發明所屬之技術領域 本發明大體上係關於在半導體晶圓上的金屬基材之化 學機械研磨方法(CMP)及用於該方法之漿料組合物。具體而 言,本發明係關於CMP漿料組合物,其特徵為含金屬、阻 障材料及介電材料之基材在CMP加工期間,用於金屬和阻 障材料之移除時,相對於介電材料具有高度選擇性。本發 明對於銅的CMP特別有用,而且對於銅的CMP之步驟2 最有用。 先前技術 現在用於半導體基材之平坦化的化學機械平坦化方法 (化學機械研磨法,CMP)已廣為熟於此藝之士所知,而且在 許多專利與公開文獻刊物中皆曾經述及。底下有一些關於 CMP的介紹性參考資料:chemtech,1988年,二月,第 38 至 46 頁,由 B. L· Mueller 與 J. S· Steckenrider 所著的 「用於積體電路的研磨面」;以及Η· Landis等人所著,固 體薄膜,第220卷(1992年),第!頁。 在典型的CMP方法中,係放置使基材(例如,晶圓) 與黏貼於托盤的旋轉研磨墊接觸。CMP漿料,一般都屬於 研磨性且化學反應性混合物,係於基材的CMP加工期間供 應至研磨塾。在CMP方法期間,使墊子(固定於托盤)與基 材旋轉,同時以晶圓承载系統或研磨頭部對基材施壓(向下 的作用力)。該漿料以化學及機械的方式與要平坦化的基材 1247796 膜基於墊子相對於基材的轉動位移,產生交互作用而完成 平坦化(研磨)程序。依此方法持續進行研磨直到移除基材 上的期望膜為止’而通常的目的在於使基材有效地平坦 化。典型的金屬CMP漿料包含懸浮於氧化性、水性媒介中 的研磨材料,例如二氧化矽或氧化鋁。 以矽為主的半導體裝置,例如積體電路(ICs),一般都 包括二氧化矽介電層。在該二氧化矽基材上形成多層電路 跡線,一般都由鋁或鋁合金或銅構成,的圖案。 CMP加工經常用以移除並且在半導體製造的不同階段 中使過多的金屬平坦化。舉例來說,製造多層銅互連件或 二氧化矽基材上的平面銅電路跡線之一方法稱之為金屬鑲 嵌法。一般運用半導體製造方法都藉由電化學金屬沈積, 接著銅的CMP加工而形成多層銅互連件、金屬化的銅線或 銅導孔。在典型的方法中,以習知的乾式蝕刻法使中間層 介電質(ILD)表面圖案化而形成垂直與水平互連件的導孔 與溝槽,並且連結至次層互連件結構。圖案化的ild表面 以鈦或钽等黏著促進層及/或氮化鈦或氮化鈕等擴散阻障 層塗覆於ILD表面上及經蝕刻的溝槽與導孔内。然後利用 以下的方式以銅覆蓋該黏著促進層及/或擴散阻障層,例 如,利用銅晶種層,接著利用以電化學的方式沈積的鋼層。 持續進行電沈積直到該結構填滿沈積金屬為止。最後,使 用CMP加工移除鋼頂蓋層、黏著促進層及/或擴散阻障層, 直到暴露出該介電質(二氧化石夕及/或低k材料)表面架高部 分的平坦化表面為止。利用形成電路互連件的導電銅填滿 1247796 導孔與溝槽剩餘的部分。 /若欲進行單步驟銅的CMP加工時,為了避免或使金屬 特徵圖案之凹狀扭曲或介電質之浸蝕降至最低,所以金屬 與阻障層材料的移除速率要顯著地高於介電材料的移除速 率通常都很重要。或者,可使用多步驟之銅的方法, 該方法涉及最初銅超載物的移除及平坦化,指的就是步驟 1之銅的CMP方法,接著阻障層的CMP方法。該阻障層的 CMP方法經常指阻障層或步驟2之銅的cMp方法。以前咸 相信銅與黏著促進層及/或擴散阻障層的移除速率都必需 大幅地超過介電質的移除速率,以便在該介電質的架高部 分暴露出來時,有效地停止研磨。銅的移除速率對介電基 底的移除速率之比率稱之為含銅、鈕及介電材料之基材進 行CMP加工期間,銅之移除相對於介電質的「選擇性」。 鈕的移除速率對介電基底的移除速率之比率稱之為CMp加 工期間鈕之移除相對於介電質的「選擇性」。若使用對於銅 和钽之移除相對於介電質具高度選擇性的CMp漿料,銅層 容易過度研磨而在銅導孔與溝槽中產生凹陷或「凹狀扭曲」 效應。此特徵圖案扭曲基於半導體製造之微影限制與其^ 限制的理由而無法接受。 另一不適用於半導體製造的特徵圖案扭曲稱之為「浸 餘」。浸蚀係介電質場與銅導孔或溝槽的緻密陣列之間的形 貌差異。在CMP時,緻密陣列中的材料可以比介電質周圍 場更快的速率移除或浸#。這將造成介電質場與緻密銅陣 列之間的形貌差異。 1247796 一般使用的CMP漿料有兩種作用,化學成分與機械成 分。聚料選擇的重要考量係「純態姓刻速率」。純態钱刻速 率係銅單單被化學成分溶解的速率,並且必須要明顯低於 同時涉及化學成分與機械成分時的移除速率。大的純態餘 刻速率會導致銅溝槽與銅導孔凹狀扭曲,因此,較佳地, 鈍態蝕刻速率係低於每分鐘1 0奈米。 現在已經揭示許多用於銅的CMP之系統。接下來列舉 一些例示性的實施例。Kumar等人在名為「在甘油為主的 漿料中化學機械研磨銅」(材料研究協會座談會議程,1996 年)的文章中揭示包含甘油與研磨性氧化鋁粒子的製料。 Gutmann等人在名為「利用氧化物與聚合物中間層介電質 化學機械研磨銅」(固體薄膜,i995年)的文章中揭示以氫 氧化鋁或硝酸為主的漿料,該漿料包含充當銅分解抑制劑 的苯并三唑(BTA)。Luo等人在名為r用於化學機械研磨銅 的氧化鋁之安定化」(藍米爾(Langmuir),1996年)的文章中 揭示氧化鋁-硝酸鐵漿料,該漿料包含聚合性界面活性劑與 BTA。Carpio等人在名為r對銅的CMp漿料化學之初步研 究」(固體薄膜,1995年)的文章中揭示包含氧化鋁或二氧 化矽粒子、硝酸或氫氧化鋁,以及充當氧化劑的過氧化氫 或過經酸卸之漿料。 關於銅的CMP,此技術的現況涉及使用二步驟方法完 成在製造ic晶片時的局部與全面平坦化。在銅的CMp方 法之步驟1期間,移除超載的銅。然後在銅的CMP方法之 步驟2中接著移除阻障層並且同時完成局部與全面平坦 1247796 大體而σ,在移除步驟1中超载的銅之後,經研磨的 曰曰圓表面會因為在晶圓表面不同位置處的步階高度差異而 有不均勻的局部與全面平坦度。低密度特徵圖案傾向於具 有較同的鋼步階高度,而高密度特徵圖案傾向於具有低步 驟高度。由於步驟i之後的步階高度差異,所以步驟2銅 的CMP中非常需要對於鈕相對於銅之移除速率及銅相對於 氧化物之移除速率具有選擇性之漿料。鈕的移除速率對銅 的移除速率之比率稱之為含銅、钽及介電材料之基材進行 CMP加工期間,鈕之移除相對於銅的「選擇性」。 關於銅的化學機械研磨機構有許多的理論。Zeidiu等 人所者的文章(微電子工程,1997年)提出在銅上形成鈍態 層的化學成分,該化學成分可使銅轉變成氧化銅。氧化銅 具有與金屬鋼不同的機械性質,例如密度與硬度,而且鈍 化會改變研磨部分的研磨速率。以上由Gutmann等人所著 的文章揭示以機械成分研磨銅架高的部分,然後再以化學 成分溶解經研磨的材料。該化學成分也會使凹陷的銅區域 鈍化’使該部分的分解降至最低。 這是二種可研磨層的一般類型。第一層係中間層介電 質(ILD),例如二氧化矽及氮化矽。第二層係鎢、銅、鋁等 等金屬層,用以連結主動元件。 在金屬的CMP之例子中,化學作用—般都會考慮採取 二形式其中之一。在第一機構中,溶液中的化學物質與金 屬層起反應而在金屬表面上連續地形成氧化層。這一般都 需要添加過氧化氫、硝酸鐵等等之氧化劑至該溶液。粒子 1247796 京機械研磨作用會連續地並同時地移除此氧化層。均衡考 ^ 方法可獲得移除速率與研磨面品質的最佳結果。 第一機構中,並未形成保護性氧化層。而是該溶液 中的、、且成成分以化學的方式攻擊並且使該金屬溶解,同時 “機械作用大^分都是藉由以下的方法以機械方式增進分 解速率的方法,例如使更多表面積連續地暴露於化學攻擊 底下,藉由粒子與金屬之間的摩擦提高局部溫度(可提高分 解速率),以及藉由降低混合與降低邊界層的厚度而增進反 應物與產物到達與離開表面的擴散現象。 儘管先前技藝的CMP系統可自二氧化矽基材上移除銅 頂蓋層,但該系統並不符合半導體工業的嚴格要求。這些 需求町總歸如下。第一,需要高的銅移除速率以符合生產 量的要求。第二,橫跨整個基材都必須要有優異的形貌均 勻度。最後,CMP方法必須使局部凹狀扭曲及浸蝕效應減 至最小以符合迄今漸増的微影需求。 發明内容 有一具體例中,本發明係研磨組合物,其包含: a) 研磨劑;以及 b) 聚乙烯°比ϋ定_N_氧化物聚合物。 該研磨組合物可用於化學機械平坦化(CMP),特別是金 屬的CMP ° 另一具體例中’本發明係包含以下步驟的研磨方法· A)使基材與研磨墊接觸; 1247796 B) 遞送研磨組合物,其包含研磨劑;以及聚乙浠°比ϋ定 _Ν-氧化物聚合物; C) 以該研磨組合物研磨基材。 實施方式 已有人發現在CMP加工期間,包含研磨劑及聚乙烯吡 咬-Ν_氧化物之CMP研磨組合物用於金屬和阻障材料(例 如’組)之移除時,相對於介電質(例如,PETEOS或TEOS) 之移除,具有高度選擇性。 本發明之於金屬相對於介電材料及/或阻障材料相對 於介電材料具有高度選擇性的CMP漿料包含a)研磨劑及 b)聚乙烯吡啶氧化物聚合物。用於金屬的CMp應用 夺該女疋的CMP漿料復包含c)氧化劑。視情況需要地, 也可包括其他添加物。 標準的(未經改質的)研磨劑及經有機金屬改質的研磨 劑二者皆可用於本發明。適合之未經改 ^不限於’二氧化石夕、氧化銘、氧化欽、氧化錐、氧化括錯、 一化鈽及其共形成產物, 土 及其混合物。未經改質的研磨劑 ,二氧化矽)利用有機金屬化合物 機金屬改質的研磨劑也可用於本發明1二有 機金屬化人“化 μ月用於改質的適當有 劑包括,但不限於,膠體產物、…:1呂3"合的研磨 -备儿 /體屋物細煙產物及其混合物。 —氧化矽或經有機金屬改質的二氧化 明之較佳研磨材料。該二氧化矽可為" 本發 乳化矽可為,例如,矽膠、矽煙 1247796 及其他二氧化矽分散物;然而,較佳的二氧化矽係矽膠。 該研磨劑以漿料總重量之約〇·5重量%至約2〇重量〇/〇 的濃度存在於漿料中。更明確地說,該研磨劑係以漿料總 重量之約4重量%至約17重量%的濃度存在。最佳地,該 研磨劑係以漿料總重量之約4重量%至約15重量%的濃度 存在。 在本發明中的聚乙烯啦啶_N_氧化物聚合物可為未經 取代(亦即,現在這個母聚合物本身),或經一或更多取代 基取代。適當的取代基包括,但不限於,經基、氣、氯、 漠、破、胺基、緩基、醯胺基、酯和烧氧官能基。除了均 聚物聚乙稀吼咬-N•氧化物以外,本發明中的聚合物也可為 共聚物或聚乙稀吼唆氧化物與另一聚合物,例如聚乙稀 口比咬,的礙段共聚物。本發明的組合物中之聚乙稀〇比咬_N_ 氧化物聚合物的含量可較佳地介於約0·02重量%至約i重 量%,更佳地介於約0·05重量%至〇·35重量%,又最佳地 介於約0·1重量%至約0.35重量0/〇。 本發明中的$乙烯吼咬·Ν_氧化物聚合物之重量分子 量並沒有限定’但以數量平均分子量來看,一般都介於約 ⑽0至約1百萬。較佳地’該數量平均分子量介於約25 _ 道爾頓至約25〇,_道爾頓,又更佳地約5〇’_道爾頓。 :本發明中,聚乙婦终小氧化物聚合物之廣義定義涵括 暴聚物和低分子量聚合物。 在本發明含氧化狀具體針,該氧化劑可為任 合的氧化劑。適合的氧化劑包括,例如,一或更多過氧化 12 1^4/ /y() σ物’其包含至少一過氧基 括,例如,過^^ 。適合的過氧化合物包 硫酸鹽)、過# * 過硫酸鹽(例如,過一硫酸鹽及過二 酸鹽,及其酸 適合的氧化劑包括,例如:類及其混合物。其他 溴酸鹽、操缺乳化的鹵化物(例如,氯酸鹽、 、夂鹽、過氣酿臨 類及其混合物等)、過:酸:過::酸鹽、綱鹽及其酸 (例如過醋酸、過策甲龄硼馱鹽、過碳酸鹽、過氧酸 合物等鄰-氯過笨甲酸、其鹽類、其混 )過錳酸鹽、過鉻酸g| 如,鐵氰化却)、1、、日人Μ 飾化合物、鐵氛化物(例 過氣ρ 一合物4。較佳的氧化劑包括,例如, 氧=、尿素-過氧化氧、過氧化納、過氧化苯曱醯、過 其睡:基、過醋酸、過—硫酸、過二硫酸、蛾酸及 丹瓜類,及其混合物。 ^ 、本發月關於金屬CMP的組合物中,以Η202 (過氧化 飞)乍為較佳的氧化劑。過氧化氫的濃度較佳係漿料總重量 之約〇·2重量❶/〇至約6重量%。 可加入CMP漿料組合物的其他化學物質包括,例如, 界面活性劑、pH調整劑、酸類、腐蝕抑制劑、含氟化合物、 螯合劑、含氮化合物及鹽類。 可加入漿料組合物的適合界面活性劑包括,例如,任 何熟於此藝之士熟知的多數非離子型、陰離子型、陽離子 型或兩性界面活性劑。該界面活性劑化合物可以聚料總重 里之約0重篁%至約1重量%之濃度存在漿料組合物中,較 佳地約0.0005重量%至約1重量。/❶,更佳地以漿料總重量 之約0.001重量。/。至約〇·5重量%的濃度存在。較佳的界面 13 1247796 活性劑類型係非離子型、陰離子型或其混合物,且最佳地 以漿料總重$之約10 ppm至約1〇〇〇 ppm之濃度存在。以 非離子型界面活性劑為最佳。較佳的非離子型界面活性劑 係Surfyn〇l%〇4E,其係2,4,7,9四甲基i癸炔_4,7_二醇(賓 夕凡尼亞州,亞林鎮,Air Pr〇duets and Chemicals公司卜 pH調整劑係用以改良研磨組合物的安定性,改良在處 理及使用時的安全性,或符合不同規定的要求。可用以降 低本發明之研磨組合物的pH之pH調整劑可使用氫氯酸、 硝酸、硫酸、氯醋酸、酒石酸、丁二酸、檸檬酸、蘋果酸、 丙二酸、不同的脂肪酸、不同的聚羧酸。另一方面,可用 以提高本發明之研磨組合物的pH為目的之pH調整劑可使 用風氧化卸、氫氧化納、氨水、氳氧化四曱按、乙二胺、 旅嗪、聚乙烯亞胺、改質的聚乙烯亞胺等等。本發明之研 磨組合物對於pH並無特別限制,但通常調整到pH 3至1 〇。 在金屬CMP的應用中,根據本發明,一般都以含酸性 或中性pH值的組合物為宜。在此例中,適合的漿料pH約 3至約1 〇,較佳地約5至約9 · 8,又更佳地約7 · 5至約9。 可添加(代替或除了上述的pH調節性酸以外)至該聚料 組合物之其他適合的酸化合物包括,但不限於,甲酸、乙 酸、丙酸、丁酸、戊酸、己酸、庚酸、辛酸、壬酸、乳酸、 氫氣酸、硝酸、磷酸、硫酸、氫氟酸、蘋果酸、酒石酸、 葡萄糖醛酸、檸檬酸、苯二曱酸、焦兒茶酸、焦掊酚羧酸、 沒食子酸、單寧酸及其混合物。這些酸化合物皆可以聚料 總重量之約0重量%至約5重量%的濃度存在於漿料組合物 14 1247796 中。 可添加至該漿料組合物之適合的腐蝕抑制劑包括,例 如,苯并三唑、6_甲苯基三唑、甲苯基三唑衍生物、 一致丙基)本并二ϋ坐、N-醯基-N·烴氧燒基天門冬酸化合物 及其混合物。該腐蝕抑制劑可以漿料總重量之約〇 ppm至 約4000 ppm的濃度存在於該漿料中,較佳地約1〇卯瓜至 約4000 PPm,更佳地約50 ppm至約2〇〇 ppm。較佳的腐蝕 抑制劑係 CDX2128 與 CDX2165,二者皆由 KingIndustries 公司供應,其較佳係以漿料總重量之約5〇 ppm至約1〇〇〇 ΡΡηι的濃度存在。 若有添加的話,羧酸類也可賦予該漿料組合物以腐蝕 抑制性。 為了提高漿料之钽與钽化合物相對於二氧化石夕的移除 速率,可添加含氣化合物至該衆料組合物。適合的含氟化 合物包括,舉例,氟化氫、過氟酸、鹼金屬氟化物鹽、鹼 土金屬氟化物鹽、氟化銨、氟化四甲基銨、二氟化銨、二 氟化乙一知、二氟化二乙二鈹及其混合物。含I化合物可 以襞料總重量之約〇重量%至約5重量%的濃度存在於該漿 料中,較佳地以約〇·65重量%至約5重量。的濃度存在, 更佳地約0.5重量%至約2.0重量%。較佳的含氟化合物係 氣化銨’其最佳係以漿料總重量之約〇.45重量%至約1〇 重量。/〇的濃度存在。 可添加至該漿料組合物之適合的螯合劑包括,例如, 乙二胺四醋酸(EDTA)、N-羥乙基乙二胺三醋酸 15 1247796 (丽EDTA)、硝基三醋酸(NTA)、二乙三胺五醋酸(DpTA)、 乙醇二甘胺酸及其混合物。該螯合劑可以漿料總重量之約 〇重量%至約3重量%的濃度存在於該漿料中,較佳地約 〇·02重量%至約3重量。/❶的濃度存在,又更佳地約〇.〇5重 量%至約2·〇重量%。 可添加至該漿料組合物之適合的非聚合性含氮化合物 (胺類氫氧化物等等)包括,例如,氫氧化銨、羥基胺、 醇胺一乙醇胺、二乙醇胺、二乙二醇胺、Ν-經乙基 哌嗪及其混合物。該含氮化合物可以漿料總重量之約〇重 畺至約1重量〇/〇的濃度存在於該漿料中,若存在的話,正 、約0.01重量❻/。至約〇·2〇重量ο/。的濃度存在。較佳的 含氮化合物係氫氧化銨,且最佳係以漿料總重量之約0.01 重篁%至約ο·1重量%的濃度存在。 視清况需要添加至該衆料組合物之適合的鹽類包 =,例如,過硫酸銨、過硫酸鉀、亞硫酸鉀、碳酸鉀、硝 酉文銨、氫笨二甲酸鉀、硫酸羥胺及其混合物。該鹽類可以 二料總重量之約G重量%至約1G重量%的濃度存在於該浆 料中,若存在的話,正常都以約0 02重量%至約5重量% 的濃度存在。 I還有其他可添加至該漿料組合物的化學物質係生物靠 劑,例如殺菌劑、生物殺滅劑及殺黴菌劑,特別是pH在麥 6至9左右時。適合的生物殺滅劑包括,例如,丨,:苯名 異噻唑啉酮;2_(羥曱基)胺基乙醇;1,3-二羥曱基巧,5 二甲基乙内醯脲"羥甲基_5,5_二曱基乙内醯脲;碘:3 1247796 丙炔基丁基胺基甲酸酯;1,2-二溴_2,4_二氰基丁烷;5-氯-2- 甲基-4_異噻唑啉_3_酮;2_甲基異噻唑啉-3_酮及其混合 物。 相關方法 本發明的相關方法必須使用上述用於基材之化學機械 平坦化的組合物(如先前揭示的),該基材包含金屬與介電 材料。此方法中,基材(例如,晶圓)面向下放在研磨墊上, 該研磨墊固定地黏貼於CMP研磨機之可旋轉托盤上。依此 方式’放置使要研磨並且平坦化的基材與研磨墊直接接 觸。使用晶圓承载系統或研磨頭部將基材固持於定位,並 且在CMP加工期間對基材背側施加向下的壓力,同時使托 盤與基材旋轉。在CMP加工基間在研磨墊上施加(通常連 續地)研磨組合物(漿料)以有效移除材料而使基材平坦化。 本發明的組合物及相關的方法可有效用於各式各樣基 材之CMP,該基材包括含介電部分的基材,該介電部分包 含介電常數低於3.3的材料(低k材料)。基材中適合的低匕 膜包括’例如’有機聚合物、摻碳的氧化物 '經氟化的矽 玻璃(FSG)、無機多孔性氧化物類材料及有機無機混成材 料。代表性的低k材料及用於此等材料之沈積方法總歸如 下。 17 1247796 販售廠商 註冊名稱 沈積方法 材料 Air Products and Chemicals MesoElk® 旋塗法 有機無機混傭料 Applied Materials Black Diamond CVD 魏的氧錄 Dow Chemical SiIX™PoiOusSiLK™ 旋塗法 有機聚合物 Honeywell Electronic Materials NANOGLASS㊣ E 旋塗法 無機氧似勿類 Novellus Systems CORAL® PECVD #«炭的氧似勿 PECVD =電漿強化化學氣相沈積法 0 CVD =化學氣相沈積法 同樣地,本發明的組合物與相關的方法可有效用於含 不同金屬之基材的CMP,該金屬包括,但不限於,钽、鈦、 鎢及銅。本發明的組合物及相關方法特別地有用,較佳用 於鎢的CMP,並可提供極高鎢相對於介電質(如實施例所示) 的選擇性。 儘管不受限於任何特定的理論,但本發明者相信以下 的考量可解釋為何包含a)研磨劑及b)聚乙烯η比啶-N-氧 _ 化物,縮寫為PVNO,聚合物的研磨組合物具有高金屬相 對於介電質的選擇性。在步驟2的漿料之鹼性研磨條件作 用之下,介電層(例如,PETEOS、TEOS或低Κ介電質)會 產生負電荷,驅使相反的正電性離子(例如,以下利用氫氧 化銨調整pH的實施例中的銨離子)自動結合於介電質表 面。在PVNO分子的六員環當中接到芳香氮的氧將會自動 接到介電層的離子雙層,藉由保護介電性氧化物層。 18 1247796 本發明將進一步藉由以下的實施例加以證實。 詞彙 組成成分 BA CS 經硼酸改質的矽膠 CS Syton® OX-K (DuPont Air Products NanoMaterials L.L.C·)石夕膠 CDX2128 腐蝕抑制劑·水溶性胺基酸衍生物(康乃 狄格州,諾瓦克市,King Industries股份有 限公司) CDX2165 腐蝕抑制劑-三唑衍生物(康乃狄格州,諾 瓦克市,King Industries股份有限公司) S104E Surfynol® 1 04E - 2,4,7,9-四曱基-5-癸炔 -4,7-二醇及乙二醇(溶劑)之50: 50重量百 分比混合物,由賓夕凡尼亞州,亞林鎮,Air Products and Chemicals 公司販售。 PETEOS 四乙氧基矽烷的電漿強化沈積;介電質氧化 物層。1247796 IX. INSTRUCTIONS OF THE INVENTION: FIELD OF THE INVENTION The present invention relates generally to chemical mechanical polishing methods (CMP) for metal substrates on semiconductor wafers and to slurry compositions therefor. In particular, the present invention relates to a CMP slurry composition characterized in that a substrate containing a metal, a barrier material, and a dielectric material is used for the removal of a metal and a barrier material during CMP processing. Electrical materials are highly selective. The present invention is particularly useful for copper CMP and is most useful for step 2 of copper CMP. Prior Art Chemical mechanical planarization methods (Chemical Mechanical Polishing, CMP), which are now used for the planarization of semiconductor substrates, are well known to those skilled in the art and have been described in many patents and publications. . There are some introductory references on CMP underneath: chemtech, 1988, February, pp. 38-46, "Blank Surfaces for Integrated Circuits" by B. L. Mueller and J. S. Steckenrider And Η· Landis et al., Solid Films, Vol. 220 (1992), pp. page. In a typical CMP process, the substrate is placed in contact with a rotating polishing pad that is adhered to the tray. The CMP slurry, which is generally an abrasive and chemically reactive mixture, is supplied to the polishing crucible during CMP processing of the substrate. During the CMP process, the mat (fixed to the tray) is rotated with the substrate while the substrate is pressed (downward force) with the wafer carrying system or the grinding head. The slurry chemically and mechanically interacts with the substrate to be planarized 1247796 based on the rotational displacement of the mat relative to the substrate to complete the planarization (grinding) process. The grinding is continued in this manner until the desired film on the substrate is removed' and the general purpose is to effectively planarize the substrate. A typical metal CMP slurry comprises an abrasive material suspended in an oxidizing, aqueous medium, such as ceria or alumina. Semiconductor devices based on germanium, such as integrated circuits (ICs), generally include a germanium dioxide dielectric layer. A multilayer circuit trace is formed on the ceria substrate, typically of aluminum or aluminum alloy or copper. CMP processing is often used to remove and planarize excess metal during different stages of semiconductor fabrication. For example, one method of making a multilayer copper interconnect or a planar copper circuit trace on a ceria substrate is referred to as a metal inlay. Semiconductor fabrication methods are generally used to form multilayer copper interconnects, metallized copper lines or copper vias by electrochemical metal deposition followed by copper CMP processing. In a typical method, an intermediate layer dielectric (ILD) surface is patterned by conventional dry etching to form vias and trenches of vertical and horizontal interconnects, and to the sub-layer interconnect structure. The patterned ild surface is coated on the surface of the ILD and the etched trenches and via holes with an adhesion promoting layer such as titanium or tantalum and/or a diffusion barrier layer such as titanium nitride or a nitride button. The adhesion promoting layer and/or diffusion barrier layer is then covered with copper by, for example, utilizing a copper seed layer followed by an electrochemically deposited steel layer. Electrodeposition is continued until the structure fills the deposited metal. Finally, the steel cap layer, the adhesion promoting layer and/or the diffusion barrier layer are removed using CMP processing until the planarized surface of the surface of the dielectric (the dioxide dioxide and/or low-k material) is exposed. until. The 1247796 via hole and the remaining portion of the trench are filled with conductive copper forming a circuit interconnect. / In order to avoid single-step copper CMP processing, in order to avoid or minimize the concave distortion of the metal pattern or the dielectric etch, the metal and barrier layer removal rate is significantly higher than The rate of removal of electrical materials is usually very important. Alternatively, a multi-step copper process can be used which involves the removal and planarization of the initial copper overload, referring to the CMP process of copper in step 1, followed by the CMP process of the barrier layer. The CMP method of the barrier layer is often referred to as the barrier layer or the cMp method of copper in step 2. It has been previously believed that the removal rate of the copper and adhesion promoting layer and/or the diffusion barrier layer must substantially exceed the removal rate of the dielectric so as to effectively stop the grinding when the elevated portion of the dielectric is exposed. . The ratio of the removal rate of copper to the rate of removal of the dielectric substrate is referred to as the "selectivity" of copper removal relative to the dielectric during CMP processing of substrates containing copper, button and dielectric materials. The ratio of the removal rate of the button to the removal rate of the dielectric substrate is referred to as the "selectivity" of the removal of the button during the CMp process relative to the dielectric. If a CMp paste is used which is highly selective for the removal of copper and tantalum relative to the dielectric material, the copper layer is susceptible to over-grinding and creating a concave or "concave twist" effect in the copper vias and trenches. This feature pattern distortion is unacceptable based on the lithography limitations of semiconductor fabrication and its limitations. Another characteristic pattern distortion that is not suitable for semiconductor manufacturing is called "dip". The difference in morphology between the dielectric field of the etched system and the dense array of copper vias or trenches. At CMP, the material in the dense array can be removed or immersed at a faster rate than the surrounding field of the dielectric. This will result in a topographical difference between the dielectric field and the dense copper array. 1247796 The commonly used CMP slurry has two functions, chemical composition and mechanical composition. An important consideration in the selection of aggregates is the "pure state of engraving rate." Pure state rate is the rate at which copper is simply dissolved by chemical components and must be significantly lower than the rate of removal involving both chemical and mechanical components. A large pure state residual rate causes the copper trench and the copper via to be concavely distorted, and therefore, preferably, the passivation etch rate is less than 10 nm per minute. Many systems for copper CMP have now been disclosed. Some illustrative embodiments are listed next. Kumar et al. disclose a process comprising glycerin and abrasive alumina particles in an article entitled "Chemical Mechanical Polishing of Copper in a Glycerin-Based Slurry" (Materials of the Society for Materials Research Symposium, 1996). Gutmann et al., in an article entitled "Using Oxide and Polymer Interlayer Dielectric Chemical Mechanically Grinding Copper" (Solid Film, i995), discloses a slurry based on aluminum hydroxide or nitric acid, the slurry comprising A benzotriazole (BTA) that acts as a copper decomposition inhibitor. Luo et al., in an article entitled "Stabilization of Alumina for Chemical Mechanical Polishing of Copper" (Langmuir, 1996), discloses an alumina-iron nitrate slurry containing polymerizable interfacial activity. Agent with BTA. Carpio et al., in a preliminary study of CMp slurry chemistry called r for copper (solid film, 1995), discloses the inclusion of alumina or cerium oxide particles, nitric acid or aluminum hydroxide, and peroxidation as an oxidant. Hydrogen or a slurry that has been subjected to acid removal. With regard to copper CMP, the current state of the art involves the use of a two-step process to accomplish partial and full planarization in the fabrication of ic wafers. During step 1 of the copper CMp method, the overloaded copper is removed. The barrier layer is then removed in step 2 of the copper CMP process and at the same time partial and full flat 1247796 is substantially and σ is achieved. After removing the copper overloaded in step 1, the ground dome surface will be in the crystal The difference in step height at different positions on the circular surface has uneven local and full flatness. Low density feature patterns tend to have the same steel step height, while high density feature patterns tend to have low step heights. Due to the difference in step height after step i, the CMP in step 2 copper requires a slurry that is selective for the removal rate of the button relative to copper and the removal rate of copper relative to the oxide. The ratio of button removal rate to copper removal rate is referred to as the "selectivity" of button removal relative to copper during CMP processing of substrates containing copper, tantalum and dielectric materials. There are many theories about chemical mechanical polishing mechanisms for copper. An article by Zeidiu et al. (Microelectronics Engineering, 1997) proposes the formation of a chemical composition of a passivation layer on copper that converts copper into copper oxide. Copper oxide has different mechanical properties than metal steel, such as density and hardness, and passivation changes the polishing rate of the abrasive portion. The above article by Gutmann et al. discloses the mechanical component grinding of the copper frame and then dissolving the ground material with a chemical composition. This chemical composition also deactivates the depressed copper regions to minimize decomposition of the portion. This is the general type of two abrasive layers. The first layer is an intermediate layer dielectric (ILD) such as hafnium oxide and tantalum nitride. The second layer is a metal layer such as tungsten, copper, aluminum or the like for connecting the active elements. In the case of metal CMP, chemistry is generally considered to take one of two forms. In the first mechanism, the chemical in the solution reacts with the metal layer to continuously form an oxide layer on the metal surface. This generally requires the addition of an oxidizing agent such as hydrogen peroxide, ferric nitrate or the like to the solution. Particle 1247796 Beijing mechanical grinding will remove this oxide layer continuously and simultaneously. The equalization test method can obtain the best results of removal rate and quality of the polished surface. In the first mechanism, no protective oxide layer was formed. Rather, the composition of the solution chemically attacks and dissolves the metal, while "mechanical action is a method that mechanically enhances the rate of decomposition by, for example, making more surface area Continuous exposure to chemical attack, increased local temperature (which increases decomposition rate) by friction between particles and metal, and enhanced diffusion of reactants and products to and from the surface by reducing mixing and reducing the thickness of the boundary layer Phenomenon. Although the prior art CMP system can remove the copper cap layer from the ceria substrate, the system does not meet the stringent requirements of the semiconductor industry. These demand are always as follows. First, high copper removal is required. The rate is in line with the throughput requirements. Second, it must have excellent topography uniformity across the entire substrate. Finally, the CMP method must minimize localized concave distortion and etch effects to meet the fading lithography to date. SUMMARY OF THE INVENTION In one embodiment, the present invention is an abrasive composition comprising: a) an abrasive; and b) a polyethylene ratio _ N_Oxide polymer. The polishing composition can be used for chemical mechanical planarization (CMP), especially metal CMP °. In another specific example, the present invention includes a polishing method of the following steps. Pad contact; 1247796 B) delivery of an abrasive composition comprising an abrasive; and a polyethylation ratio Ν Ν-oxide polymer; C) grinding the substrate with the abrasive composition. Embodiments have been found in CMP CMP abrasive compositions containing abrasives and polyvinylpyrazine-oxides are used during processing to remove metals and barrier materials (eg, 'groups) relative to dielectrics (eg, PETEOS or TEOS) during processing. The removal is highly selective. The CMP slurry of the present invention having a high selectivity to the metal relative to the dielectric material and/or the barrier material relative to the dielectric material comprises a) an abrasive and b) polyvinylpyridine oxidation. Polymer CMp for metal applications The CMP slurry of the virgin oxime contains c) oxidant. Other additives may be included as needed. Standard (unmodified) abrasives and organic Metal modified abrasives It can be used in the present invention. It is not limited to 'the dioxide dioxide, oxidized, oxidized, oxidized, oxidized, sputum, and co-formed products, soil and mixtures thereof. A fine abrasive, cerium oxide) an organic metal compound metal modified abrasive can also be used in the present invention. The second organic metallization is suitable for the modification of the medium including, but not limited to, colloid The product, ...: 1 Lu 3 " combined grinding - preparation / body house fine smoke products and mixtures thereof. - a preferred abrasive material for cerium oxide or an organic metal modified oxidized dioxide. The cerium oxide may be, for example, silicone rubber, smoky tobacco 1247796 and other cerium oxide dispersions; however, preferred cerium oxide silicone rubber. The abrasive is present in the slurry at a concentration of from about 5% by weight to about 2 Torr by weight based on the total weight of the slurry. More specifically, the abrasive is present at a concentration of from about 4% to about 17% by weight based on the total weight of the slurry. Most preferably, the abrasive is present at a concentration of from about 4% to about 15% by weight based on the total weight of the slurry. The polyethylene pyridine-N-oxide polymer in the present invention may be unsubstituted (i.e., now the parent polymer itself) or substituted with one or more substituents. Suitable substituents include, but are not limited to, thio, chloro, chloro, bromo, amine, sulfhydryl, decyl, ester, and oxy-functional groups. In addition to the homopolymer polyethylene nitrile-N•oxide, the polymer of the present invention may also be a copolymer or a polyethylene cerium oxide and another polymer, such as a polyethylene bite. Block copolymer. The content of the polyethylene terephthalate in the composition of the present invention may preferably be from about 0. 02% by weight to about i% by weight, more preferably about 0.05% by weight. Up to 35 wt%, and most preferably between about 0.1 wt% to about 0.35 wt. The molecular weight of the ethylene vinyl niobium oxide polymer in the present invention is not limited to 'but in the case of the number average molecular weight, it is generally from about (10) 0 to about 1 million. Preferably, the number average molecular weight is between about 25 Å Daltons to about 25 Å, _ Daltons, and more preferably about 5 Å ' Da Daltons. In the present invention, the broad definition of polyethoxylate small oxide polymer encompasses both macromers and low molecular weight polymers. In the present invention, a specific needle containing an oxidizing agent, which may be any oxidizing agent. Suitable oxidizing agents include, for example, one or more peroxy 12 1^4 / /y() σ species which comprise at least one peroxy group, for example, over. Suitable peroxy compound-coated sulfates, over-peroxides (eg, persulfates and peroxyacid salts, and acid-compatible oxidizing agents thereof include, for example, classes and mixtures thereof. Other bromates, Emulsified halides (eg, chlorates, strontium salts, over-gassings, mixtures, etc.), over: acid: over: acid salts, salts, and acids (eg, peracetic acid, over-the-counter) An ortho-boric acid such as borax salt, percarbonate or peroxy acid complex, a salt thereof, a mixture thereof, a permanganate or a perchromic acid g; for example, ferricyanide), 1, Japanese Μ 化合物 化合物 compound, iron condensate (such as gas ρ conjugate 4. Preferred oxidants include, for example, oxygen =, urea - peroxide, sodium peroxide, benzoquinone, and sleep: Base, peracetic acid, per-sulfuric acid, peroxodisulfuric acid, molybdic acid and squash, and mixtures thereof. ^. In the composition of metal CMP in this month, Η202 (peroxidized fly) 乍 is the preferred oxidant. The concentration of hydrogen peroxide is preferably from about 〇·2 wt❶/〇 to about 6% by weight based on the total weight of the slurry. It can be added to the CMP slurry composition. Chemical substances include, for example, surfactants, pH adjusters, acids, corrosion inhibitors, fluorochemicals, chelating agents, nitrogen-containing compounds, and salts. Suitable surfactants that can be added to the slurry composition include, for example, any Most nonionic, anionic, cationic or amphoteric surfactants well known to those skilled in the art. The surfactant compound may be present in a concentration of from about 0% by weight to about 1% by weight of the total weight of the polymer. Preferably, the composition is present in an amount of from about 0.0005% by weight to about 1% by weight, more preferably from about 0.001% by weight based on the total weight of the slurry to a concentration of about 5% by weight. 1247796 The active agent type is nonionic, anionic or a mixture thereof, and is preferably present at a concentration of from about 10 ppm to about 1 ppm of the total weight of the slurry. The preferred nonionic surfactant is preferred. A preferred nonionic surfactant is Surfyn〇l% 〇4E, which is a 2,4,7,9 tetramethyl i acetylene _4,7-diol (Pennsylvania, Yalin) Town, Air Pr〇duets and Chemicals company pH adjuster for improvement The stability of the composition is improved, the safety during handling and use is improved, or the requirements of different regulations are met. The pH adjuster which can be used to lower the pH of the abrasive composition of the present invention can use hydrochloric acid, nitric acid, sulfuric acid, chlorine Acetic acid, tartaric acid, succinic acid, citric acid, malic acid, malonic acid, different fatty acids, different polycarboxylic acids. On the other hand, a pH adjusting agent can be used for the purpose of increasing the pH of the abrasive composition of the present invention. Use of wind oxidation, sodium hydroxide, ammonia, hydrazine, ethylenediamine, carbazine, polyethyleneimine, modified polyethyleneimine, etc. The abrasive composition of the present invention has no special pH Limit, but usually adjust to pH 3 to 1 〇. In the application of metal CMP, it is preferred in accordance with the present invention to comprise a composition having an acidic or neutral pH. In this case, a suitable slurry has a pH of from about 3 to about 1 Torr, preferably from about 5 to about 9 · 8, and more preferably from about 7.5 to about 9. Other suitable acid compounds which may be added (instead of or in addition to the pH adjusting acids described above) to the polymeric composition include, but are not limited to, formic acid, acetic acid, propionic acid, butyric acid, valeric acid, caproic acid, heptanoic acid , octanoic acid, citric acid, lactic acid, hydrogen acid, nitric acid, phosphoric acid, sulfuric acid, hydrofluoric acid, malic acid, tartaric acid, glucuronic acid, citric acid, benzoic acid, pyrocatechin, pyrogallol carboxylic acid, no Gallic acid, tannic acid and mixtures thereof. These acid compounds may be present in the slurry composition 14 1247796 at a concentration of from about 0% by weight to about 5% by weight based on the total weight of the polymer. Suitable corrosion inhibitors that can be added to the slurry composition include, for example, benzotriazole, 6-tolyltriazole, tolyltriazole derivatives, consistent propyl), bismuth, N-oxime Base-N-hydrocarbyl aspartic acid compounds and mixtures thereof. The corrosion inhibitor may be present in the slurry at a concentration of from about 〇ppm to about 4000 ppm, based on the total weight of the slurry, preferably from about 1 ounce to about 4000 ppm, more preferably from about 50 ppm to about 2 Torr. Ppm. Preferred corrosion inhibitors are CDX2128 and CDX2165, both supplied by King Industries, preferably in a concentration of from about 5 〇 ppm to about 1 ΡΡ η of the total weight of the slurry. The carboxylic acid may also impart corrosion inhibition to the slurry composition if added. In order to increase the removal rate of the ruthenium and ruthenium compound relative to the ruthenium oxide, a gas-containing compound may be added to the batch composition. Suitable fluorine-containing compounds include, for example, hydrogen fluoride, perfluoric acid, alkali metal fluoride salts, alkaline earth metal fluoride salts, ammonium fluoride, tetramethylammonium fluoride, ammonium difluoride, difluoride, and Difluorinated fluorinated and mixtures thereof. The I-containing compound may be present in the slurry at a concentration of from about 5% by weight to about 5% by weight based on the total weight of the mash, preferably from about 〇65 wt% to about 5 wt%. The concentration is present, more preferably from about 0.5% to about 2.0% by weight. The preferred fluorine-containing compound is ammonium sulfate, which is preferably from about 5% by weight to about 1% by weight based on the total weight of the slurry. /〇 concentration exists. Suitable chelating agents which may be added to the syrup composition include, for example, ethylenediaminetetraacetic acid (EDTA), N-hydroxyethylethylenediamine triacetate 15 1247796 (EDTA), nitrotriacetic acid (NTA) , diethylenetriamine pentaacetic acid (DpTA), ethanol diglycolic acid and mixtures thereof. The chelating agent may be present in the slurry in a concentration of from about 5% by weight to about 3% by weight based on the total weight of the slurry, preferably from about 0.2% by weight to about 3 parts by weight. The concentration of /❶ is present, and more preferably about 5% by weight to about 2% by weight. Suitable non-polymerizable nitrogen-containing compounds (amine hydroxides, etc.) which may be added to the slurry composition include, for example, ammonium hydroxide, hydroxylamine, alcoholamine monoethanolamine, diethanolamine, diethylene glycol amine , hydrazine-ethylpiperazine and mixtures thereof. The nitrogen-containing compound may be present in the slurry at a concentration of from about 总 畺 to about 1 weight 〇 / 〇, based on the total weight of the slurry, if present, about 0.01 weight ❻ /. To about 〇·2〇 weight ο/. The concentration exists. The preferred nitrogen-containing compound is ammonium hydroxide, and is preferably present at a concentration of from about 0.01% by weight to about ο% by weight based on the total weight of the slurry. Suitable salt packages to be added to the batch composition, such as ammonium persulfate, potassium persulfate, potassium sulfite, potassium carbonate, guanidinium, potassium hydrogen succinate, hydroxylamine sulfate, and Its mixture. The salt may be present in the slurry at a concentration of from about G% by weight to about 1% by weight, based on the total weight of the two materials, and if present, is normally present at a concentration of from about 0.02% by weight to about 5% by weight. There are other chemical agents which can be added to the slurry composition, such as fungicides, biocides and fungicides, especially when the pH is around 6 to 9 mg. Suitable biocides include, for example, hydrazine: phenylisothiazolinone; 2-(hydroxyhydrazinyl)aminoethanol; 1,3-dihydroxyindole, 5 dimethyl carbendazim " Hydroxymethyl _5,5-didecyl carbendazim; iodine: 3 1247796 propynyl butyl urethane; 1,2-dibromo-2,4-dicyanobutane; 5- Chloro-2-methyl-4_isothiazolin-3-enone; 2-methylisothiazolin-3-one and mixtures thereof. Related Methods The related methods of the present invention must utilize the above-described composition for chemical mechanical planarization of a substrate (as previously disclosed) comprising a metal and a dielectric material. In this method, a substrate (eg, a wafer) is placed face down on a polishing pad that is fixedly attached to a rotatable tray of a CMP grinder. In this way, the substrate to be ground and planarized is placed in direct contact with the polishing pad. The substrate is held in place using a wafer carrying system or a grinding head, and downward pressure is applied to the back side of the substrate during CMP processing while rotating the tray and substrate. The composition (slurry) is applied (usually continuously) on the polishing pad between the CMP processing substrates to effectively remove the material to planarize the substrate. The compositions of the present invention and related methods are effective for CMP of a wide variety of substrates comprising a dielectric containing substrate having a dielectric constant less than 3.3 (low k material). Suitable low ruthenium films in the substrate include, for example, 'organic polymers, carbon-doped oxides' fluorinated bismuth glass (FSG), inorganic porous oxide-based materials, and organic-inorganic hybrid materials. Representative low-k materials and deposition methods for such materials are always the same. 17 1247796 Vendor's Registered Name Deposition Method Materials Air Products and Chemicals MesoElk® Spin-on Organic and Inorganic Mixed Materials Black Diamond CVD Wei's Oxygen Dow Chemical SiIXTMPoiOusSiLKTM Spin-on Organic Polymer Honeywell Electronic Materials NANOGLASS E spin coating method inorganic oxygen like Novellus Systems CORAL® PECVD #«carbon oxygen like PECVD = plasma enhanced chemical vapor deposition method 0 CVD = chemical vapor deposition method Similarly, the composition of the present invention is related The method is effective for CMP of substrates containing different metals including, but not limited to, tantalum, titanium, tungsten, and copper. The compositions and associated methods of the present invention are particularly useful, preferably for CMP of tungsten, and can provide very high selectivity of tungsten relative to dielectric (as shown in the examples). While not being bound by any particular theory, the inventors believe that the following considerations may explain why a) an abrasive and b) a polyethylene η-pyridyl-N-oxygenate, abbreviated as PVNO, a ground milling combination of polymers The material has a high metal selectivity relative to the dielectric. Under the alkaline grinding conditions of the slurry of step 2, the dielectric layer (eg, PETEOS, TEOS, or low-lying dielectric) generates a negative charge that drives the opposite positively charged ions (eg, using hydroxide below) The ammonium ion in the ammonium modified pH embodiment is automatically bonded to the dielectric surface. The oxygen that receives the aromatic nitrogen in the six-membered ring of the PVNO molecule will automatically be connected to the ion double layer of the dielectric layer by protecting the dielectric oxide layer. 18 1247796 The invention will be further confirmed by the following examples. Glossary of components BA CS Modified by Boric Acid CS Syton® OX-K (DuPont Air Products NanoMaterials LLC), Shixi Gum CDX2128 Corrosion Inhibitor · Water Soluble Amino Acid Derivative (Free State, Connecticut) City, King Industries Co., Ltd.) CDX2165 Corrosion Inhibitor - Triazole Derivative (King Industries, Inc., Novak, Inc.) S104E Surfynol® 1 04E - 2,4,7,9-F A 50:50 weight percent mixture of mercapto-5-decyne-4,7-diol and ethylene glycol (solvent), sold by Air Products and Chemicals, Arlington, Pennsylvania. Plasma enhanced deposition of PETEOS tetraethoxy decane; dielectric oxide layer.

Politex®研磨墊CMP期間使用的研磨墊,由德拉威州,紐 PVNO 華克市的Rodel股份有限公司供應。 聚乙烯吡啶_N_氧化物(印第安那州, 46204,印第安納波里,休斯頓市,北美里 達衔,300號的Reilly Industries股份有限 公司) 19 1247796 CAS # 58984-27-3 TEOS 四乙基正矽酸鹽 參數 總論 A:埃-長度的单位 BP :背壓,以psi為單位 CMP:化學機械平坦化=化學機械研磨 CS :載具速度 DF :向下作用力:化學機械平坦化期間施加的壓力,單位psi min :分鐘 ml :毫升 mV :毫伏特 psi :每平方叶碎 PS ··研磨機具的托盤轉動速度,單位rpm (每分鐘轉數) SF :漿料流速,毫升/分鐘 移除速率及選擇性Politex® Abrasive Pads are used during CMP and are supplied by Rodel Corporation of Walker, Delaware, Delaware. Polyvinylpyridine_N_Oxide (Indiana, 46204, Indianapolis, Houston, USA, Rida, North America, Reilly Industries, Inc., 300) 19 1247796 CAS # 58984-27-3 TEOS Tetraethyl orthoquinone Acidity Parameter General A: angstrom-length unit BP: back pressure in psi CMP: chemical mechanical planarization = chemical mechanical polishing CS: vehicle speed DF: downward force: applied during chemical mechanical planarization Pressure, in psi min: min ml: ml mV: millivolt psi: per square leaf broken PS ··grinding tool tray rotation speed in rpm (revolutions per minute) SF: slurry flow rate, ml/min removal rate And selectivity

Cu RR 4.5 psi CMP機具以4.5 psi向下施壓時測量到的 銅移除速率Cu RR 4.5 psi CMP machine measured copper removal rate at 4.5 psi downward pressure

Cu RR 2 psi CMP機具以2 psi向下施壓時測量到的 銅移除速率 PETEOS RR 2 psi CMP機具以2 psi向下施壓時測量到的 PETEOS移除速率 1247796Copper removal rate measured with Cu RR 2 psi CMP machine with 2 psi downward pressure PETEOS RR 2 psi CMP machine measured PETEOS removal rate at 2 psi downward pressure 1247796

Ta RR 2 psi CMP機具以2 psi向下施壓時測量到的 钽移除速率 TEOS RR 2 psi CMP機具以2 psi向下施壓時測量到的 TEOS移除速率Ta RR 2 psi CMP machine measured at 2 psi downward pressure 钽 removal rate TEOS RR 2 psi CMP machine measured at 2 psi downward pressure TEOS removal rate

Ta:Cu Sel 鈕:銅選擇性-在相同條件作用下,在 CMP實驗期間之钽的移除量對銅的移除量之比率。 TEOS(或 PETEOS):Cu Sel TEOS :銅選擇性-在相同條件作用 下,在CMP實驗期間之TEOS(或PETEOS)(介電材料)的移 除量對銅的移除量之比率。 實施例 總論 除非另行指明,否則所有的百分比皆為重量百分比, 而且所有的溫度皆為攝氏度。 化學機械平坦化(CMP)方法論 在以下所示的實施例中,使用以下提供的的步驟與實 驗條件進行CMP實驗。 度量衡學 以加州,95035-7418,苗比達市,七葉樹路1550號之 Nanometrics 有限公司製造的 Nanometrics,# 9200 型,測 量PETEOS的厚度。金屬膜利用加州,95014,庫比蒂諾市 1247796 歐安利博士路 20565 號之 Creative Design Engineering 有限 公司製造的ResMap CDE,168型,加以測量。此ResMap 機具係四點探針型面電阻機具。利用個別機具在距離邊緣 3毫米處進行二十五及四十九點極性掃描。 CMP機具 所用的CMP機具係由加州,95054,聖塔克拉克市, 布拉耳士大道3050號之Applied Materials公司製造的 Mirra⑧。在托盤上使用亞利桑那州,85034,鳳凰城,東威 肯士街3804號之有限公司供應的Rodel Politex®浮凸研磨 墊研究毯覆式晶圓研磨。研磨二十五片仿氧化物(藉由 TEOS前驅物、PETEOS之電漿強化CVD沈積)晶圓以侵入 研磨墊。為了改變機具設定與研磨墊侵入,以基準條件利 用 DuPont Air Products NanoMaterials L.L.C·供應的 Syton® OX_K矽膠研磨二PETEOS監視器。 在研究毯覆式晶圓時,分數組模擬連續膜移除:先銅、 再钽,最後是PETEOS。機具中間點條件如下:工作台速 度:123rpm;頭部速度:112rpm;膜壓:2.0psi;管内壓 力·· 0.0 psi ;漿料流速:200毫升/分鐘。 晶圓 使用以電化學方式沈積銅、钽及PETEOS的晶圓進行 研磨實驗。這些毯覆式晶圓係由加州,19126,坎貝爾大道 1150 號之 Silicon Valley Microelectronics 公司購得。膜厚 22 1247796 度規格總歸如下: PETEOS : 15,000 A,在矽上。Ta: Cu Sel button: Copper selectivity - the ratio of the amount of ruthenium removed to the amount of copper removed during the CMP experiment under the same conditions. TEOS (or PETEOS): Cu Sel TEOS: Copper Selectivity - The ratio of the amount of TEOS (or PETEOS) (dielectric material) removed to the amount of copper removed during the CMP experiment under the same conditions. EXAMPLES General Unless otherwise indicated, all percentages are by weight and all temperatures are in degrees Celsius. Chemical Mechanical Planarization (CMP) Methodology In the examples shown below, CMP experiments were carried out using the procedures and experimental conditions provided below. Metrology The thickness of PETEOS was measured by Nanometrics, #9200, manufactured by Nanometrics Co., Ltd., No. 1550, Jupiter Road, California, 95035-7418. The metal film was measured using ResMap CDE, Model 168, manufactured by Creative Design Engineering Co., Ltd., No. 20565, Dr. O'Brien, Curtin, 1950, 796. This ResMap machine is a four-point probe profile resistor. Twenty-five and forty-nine-point polarity scans were performed at 3 mm from the edge using individual implements. The CMP machine used in the CMP machine is Mirra8 manufactured by Applied Materials, Inc., 3050 Brads Avenue, Santa Clak, California. Rust-coated wafer grinding was studied on a pallet using a Rodel Politex® embossed abrasive pad supplied by Co., Ltd., 3804 Kensington Street, Phoenix, Arizona, 85034. Twenty-five pieces of imitation oxide (by TEOS precursor, plasma enhanced CVD deposition of PETEOS) were ground to invade the polishing pad. In order to change the tool setting and the intrusion of the polishing pad, the two PETEOS monitors were ground using Syton® OX_K silicone supplied by DuPont Air Products NanoMaterials L.L.C. In the study of blanket wafers, the fractional set simulates continuous film removal: copper, then sputum, and finally PETEOS. The intermediate point conditions of the machine were as follows: table speed: 123 rpm; head speed: 112 rpm; membrane pressure: 2.0 psi; tube pressure · 0.0 psi; slurry flow rate: 200 ml/min. Wafers Grinding experiments were performed using wafers that electrochemically deposited copper, tantalum, and PETEOS. These blanket wafers were purchased from Silicon Valley Microelectronics, Inc., 1150 Campbell Avenue, California, 19126. Film thickness 22 1247796 Degrees are as follows: PETEOS: 15,000 A, on the raft.

銅·· 10,000 A 電鐘銅/1 〇〇〇 A# B 电艰剿/Α,υυϋ A銅晶種/250 A钽,在矽 上。 钽:2000 A/5,000 A熱氧化物,在矽上。 ζ電位測量 ς電位測量使用羅德島州02886,瓦立克市,別楝,耐 德街11號之Colloidal Dynamics股份有限公司製造的膠體 動力學儀器進行。此儀器可測量膠體粒子,例如經表面改 質的矽膠粒子,之ζ電位(表面變化)。 經硼酸改質的矽膠(BA CS)之製備 使用以下的步驟製備平均粒徑40至55奈米之經硼酸 改質的矽膠: 以1公升20%的硫酸溶液清洗將近i公斤的 Amberlite⑧IR-120離子交換樹脂(賓夕凡尼亞州,費城, Rohm and Hass公司)。攪拌該混合物,並且使樹脂沈澱。 倒掉水層,並且以10公升去離子水清洗。再使該混合物沈 澱,然後倒掉水層。重複此步驟直到倒出來的水變成無色 為止。以此步驟提供酸態的樹脂。 將Syton® HT-50 (12公斤,將近2·27加侖,加州,喀 絲巴市,DuPont Air Products NanoMaterials L.L.C.)置於配 備攪動器的5加侖混合槽中,將2·5〇2公斤的去離子水加 23 1247796 入槽中’並且使該溶液混合數分鐘。測量該溶液的pH為將 近1〇·2。配合持續的pH監測,分數次小量添加酸態樹脂, 同時使每次添加時的pH安定化。分數次添加額外的樹脂, 直到PH降到pH 1.90至2.20為止。一旦達到pH極限並在 此範圍内保持穩定,就不再添加樹脂並攪拌該混合物1至 1 ·5小時。接下來使該混合物通過500目篩網以移除樹脂並 提供去離子的Syton® HT-50。 緩慢地添加將硼酸粉末直到全都加入水中為止,然後 攪動該混合物15小時並將該混合物的溫度提高到55至65 C而在配置攪動器和加熱器的1〇加侖混合槽中製備5.55 公斤的去離子水中含268克的硼酸粉末(賓夕凡尼州 15275,匹茲堡,公園巷2〇〇〇號的Fisher〜。以出。公司) 的溶液。然後以將近200毫升/分鐘的速率添加經去離子且 經稀釋的Syton® HT-50,並且使溫度維持在52。〇以上同時 攪動混合物,將經去離子的稀釋Syton⑧ ΗΤ_5〇 (14·5公斤) 緩慢地加至硼酸溶液中,歷時約12小時。完成添加之後, 在60°C時加熱並持續攪拌混合物5·5小時。接著以1微米 過濾器過濾最後得到的溶液以提供經硼表面改質的矽膠。 使用Collcndal Dynamics儀器(羅德島州〇2886,瓦立 克市,E8楝,耐德街丨丨號),歷經丨5天,為此經硼表面改 質的矽膠的膠質安定性建立特徵。經發現纟15天測試期 間,此經硼酸改質的矽膠都具有固定的1^({)11 = 6.6)及〔電 位(zp)(zp約-58毫伏特)。此經表面改質的矽膠表面的基 點被含硼的化合物占據之百分比為將近98%。 24 1247796 實施例1至4 在這些實施例中,使用如前文說明的方法、裝置及加 工過程製備並測試表1中所示的CMp漿料組合物。這些 CMP漿料組合物包含存於水性媒介中之pvN〇、經硼酸: 質的矽膠、氟化銨、SUrfynol®104E、過氧化氫、cdx2i28 及氫氧化銨。將這些實施例的CMP漿料成分用量示於表工 中。在各例中,組合物的其餘部分皆為去離子水。 在這些實施例中,PVNO的濃度變化如下(及表: 實施例1_表1,PVNO含量=0·25重量% 實施例2-表1,PVN0含量=0.125重量% 實施例3-表1,PVNO含量=〇.〇5重量% 實施例4-表1,控制組,PVNO含量=〇重量% 如表1所示,隨著PVNO濃度在〇至〇·25重量% PVNO 的範圍内提昇,可見到钽相對於PETEOS的選擇性由ι·5 提到到24.6。隨著PVNO濃度跨越〇至〇.25重量%的範圍 提昇,並未見到钽相對於銅的選擇性顯著地改變。 25 1247796 表1 ··聚乙烯吡啶-N-氧化物含量對於鈕相對於PETE0S的 選擇性之影響 1 2 3 4 BA CS 5 5 5 5 NH4F(重量%) 0.22 0.22 0.22 0.22 8104£(重量 %) 0.07 0.07 0.07 0.07 CDX 2128(重量 %) 0.1 0.1 0.1 0.1 卩乂>10(重量%) 0.25 0.125 0.05 0 h2o2(重量 %) 1.3 1.3 1.3 1.3 h2o(重量%) 92.56 92.68 92.76 92.81 pH(以氣水調整) 8 8 8 8 Cu RR 2 psi(埃/分鐘) 127 112 117 115 PETEOS RR 2 psi(埃/分鐘) 20 130 279 341 Ta RR 2 psi(埃 /分鐘) 493 495 526 516 Ta:PETEOS Sel 2 psi 24.6 3.8 1.89 1.51 Ta:Cu Sel 2 psi 3.88 4.42 4.5 4.49Copper ·· 10,000 A electric clock copper / 1 〇〇〇 A# B Electric hard Α / Α, υυϋ A copper seed crystal / 250 A 钽, on 矽.钽: 2000 A/5,000 A thermal oxide, on the crucible. Zeta potential measurement The zeta potential measurement was performed using a colloidal dynamics instrument manufactured by Colloidal Dynamics, Inc., No. 11 Nide Street, Warwick, OR 02886. This instrument measures colloidal particles, such as surface-modified cerium particles, with a zeta potential (surface change). Preparation of Boric Acid Modified Tannin (BA CS) The following procedure was used to prepare a boric acid modified tannin with an average particle size of 40 to 55 nm: A kiloliter of Amberlite 8 IR-120 ion was washed with 1 liter of 20% sulfuric acid solution. Exchange resin (Pennsylvania, Philadelphia, Rohm and Hass). The mixture was stirred and the resin was precipitated. The water layer was poured off and washed with 10 liters of deionized water. The mixture was allowed to settle and the aqueous layer was poured off. Repeat this step until the poured water becomes colorless. This step provides an acid resin. Place Syton® HT-50 (12 kg, nearly 2.27 gallons, DuPont Air Products NanoMaterials LLC in California, Casbah) in a 5 gallon mixing tank equipped with an agitator, which will be 2·5〇2 kg Add ionic water to 23 1247796 into the tank and mix the solution for a few minutes. The pH of the solution was measured to be approximately 1 〇2. In combination with continuous pH monitoring, the acid resin was added in small portions and the pH at each addition was stabilized. Add additional resin in fractions until the pH drops to pH 1.90 to 2.20. Once the pH limit was reached and remained stable within this range, the resin was no longer added and the mixture was stirred for 1 to 1.5 hours. The mixture was then passed through a 500 mesh screen to remove the resin and provide deionized Syton® HT-50. The boric acid powder was slowly added until all were added to the water, then the mixture was agitated for 15 hours and the temperature of the mixture was raised to 55 to 65 C. 5.55 kg was prepared in a 1 〇 gallon mixing tank equipped with an agitator and a heater. Ionic water contains 268 grams of boric acid powder (Pennsylvania 15275, Pittsburgh, Park Lane 2 nickname Fisher ~. to out. Company) solution. The deionized and diluted Syton® HT-50 was then added at a rate of approximately 200 ml/min and the temperature was maintained at 52. The mixture was agitated while stirring, and the deionized diluted Syton 8 ΗΤ _5 〇 (14·5 kg) was slowly added to the boric acid solution for about 12 hours. After the addition was completed, the mixture was heated at 60 ° C and the mixture was continuously stirred for 5 hours. The resulting solution was then filtered through a 1 micron filter to provide a boron surface modified tannin. The Colcndal Dynamics instrument (〇2886, Warwick, E8楝, Ned Street nickname) was used for 5 days, and the gel stability of the boron-modified silicone was established. The boric acid modified tannins were found to have a fixed 1^({)11 = 6.6) and [potential (zp) (zp about -58 millivolts) during the 15 day test period. The percentage of the surface of the surface modified tannin surface occupied by the boron-containing compound is nearly 98%. 24 1247796 Examples 1 to 4 In these examples, the CMp slurry compositions shown in Table 1 were prepared and tested using the methods, apparatus, and processing procedures previously described. These CMP slurry compositions comprise pvN(R), boric acid: tantalum, ammonium fluoride, SUrfynol® 104E, hydrogen peroxide, cdx2i28 and ammonium hydroxide in an aqueous medium. The amount of the CMP slurry component of these examples is shown in the table. In each case, the remainder of the composition was deionized water. In these examples, the concentration of PVNO was changed as follows (and Table: Example 1 - Table 1, PVNO content = 0.25% by weight Example 2 - Table 1, PVN0 content = 0.125% by weight Example 3 - Table 1, PVNO content = 〇. 〇 5 wt% Example 4 - Table 1, control group, PVNO content = 〇 weight % As shown in Table 1, as the PVNO concentration increases within the range of 〇·25 wt% PVNO, it can be seen The selectivity to PET relative to PETEOS was mentioned by ι·5 to 24.6. As the PVNO concentration increased from 〇 to 〇25.5% by weight, no significant change in the selectivity of 钽 relative to copper was observed. 25 1247796 Table 1 · · Polyvinylpyridine - N-oxide content on the selectivity of the button relative to PETE0S 1 2 3 4 BA CS 5 5 5 5 NH4F (% by weight) 0.22 0.22 0.22 0.22 8104 £ (% by weight) 0.07 0.07 0.07 0.07 CDX 2128 (% by weight) 0.1 0.1 0.1 0.1 卩乂 > 10 (% by weight) 0.25 0.125 0.05 0 h2o2 (% by weight) 1.3 1.3 1.3 1.3 h2o (% by weight) 92.56 92.68 92.76 92.81 pH (adjusted with gas and water) 8 8 8 8 Cu RR 2 psi (Angstrom/minute) 127 112 117 115 PETEOS RR 2 psi (Angstrom/minute) 20 130 279 341 Ta RR 2 psi (Ang / min) 493 495 526 516 Ta: PETEOS Sel 2 psi 24.6 3.8 1.89 1.51 Ta: Cu Sel 2 psi 3.88 4.42 4.5 4.49

如表1所示,當配方中PVNO濃度跨越0至0·25重量 %的範圍提昇時’組相對於ρΕΤ刪的選擇性由ΐ 5ι增加 到24.6。此實施例也證明藉由配方中的濃度可以在 寬廣的耗圍内’將㈣目對於pETEQs的選擇性調整為任何 想要的選擇性數值。 26As shown in Table 1, the selectivity of the group relative to ρΕΤ was increased from ΐ 5ι to 24.6 when the PVNO concentration in the formulation increased across the range of 0 to 0.25% by weight. This example also demonstrates that the selectivity of (4) for pETEQs can be adjusted to any desired selectivity value within a wide range of consumption by the concentration in the formulation. 26

Claims (1)

1247796 十、申請專利範圍: 1· 一種研磨組合物,包含: a) 研磨劑;以及 b) 聚乙烯吡淀氧化物聚合物。 2·如申請專利範圍第1項之組合物,其中該研磨劑係膠體 研磨劑。 3.如申請專利範圍第1項之組合物,其中該組合物復包含 c) 氧化劑。 4·如申請專利範圍第1項之組合物,其中該研磨劑係二氧 化矽。 5·如申請專利範圍第1項之組合物,其中該組合物中的聚 乙烯吡啶氧化物聚合物含量介於〇 〇2重量%至丄重 量%。 6·如申請專利範圍第5項之組合物,其中該組合物中的聚 乙烯吡啶-N-氧化物聚合物含量介於〇 〇5重量%至 重量%。 ' 7.如申請專利範圍第6項之組合物,其中該組合物中的聚 乙烯吡啶_N-氧化物聚合物含量介於〇1重量%至 重量%。 8· —種研磨方法,其包含以下的步驟: A) 使基材與研磨墊接觸; B) 遞送研磨組合物,其包含a)研磨劑;以及y聚 乙烯吡啶_N-氧化物聚合物;以及 C) 以該研磨組合物研磨基材。 27 1247796 9.如申請專利範圍第8項之方法,其中該研磨劑係膠體研 磨劑。 10·如申請專利範圍第8項之方法,其中該組合物復包含c) 氧化劑。 U.如申請專利範圍第8項之方法,其中該研磨劑係二氧化 石夕。 12.如申請專利㈣第8項之方法,其中用於該方法的組合 物中之聚乙烯吼啶-N-氧化物聚合物含量介於〇〇2重量 °/〇至1重量〇/0。 13·如申請專利範圍冑12項之方法,其中用於該方法的組 ^物中之聚乙烯吡啶-N-氧化物聚合物含量介於〇〇5重 量〇/。至0.35重量0/〇。 14·如申請專利範圍第13項之方法,其中用於該方法的組 =物中之聚乙稀吼咬-N-氧化物聚合物含量介於〇1重 量%至0.35重量%。 281247796 X. Patent Application Range: 1. An abrasive composition comprising: a) an abrasive; and b) a polyvinylpyroxylate polymer. 2. The composition of claim 1, wherein the abrasive is a colloidal abrasive. 3. The composition of claim 1, wherein the composition further comprises c) an oxidizing agent. 4. The composition of claim 1, wherein the abrasive is cerium oxide. 5. The composition of claim 1, wherein the polyvinylpyridine oxide polymer content of the composition is from 〇2% by weight to 丄% by weight. 6. The composition of claim 5, wherein the composition has a polyvinylpyridine-N-oxide polymer content of from 5% by weight to 5% by weight. 7. The composition of claim 6, wherein the polyvinylpyridine_N-oxide polymer content of the composition is from 〇1% by weight to 3% by weight. 8. A method of grinding comprising the steps of: A) contacting a substrate with a polishing pad; B) delivering an abrasive composition comprising a) an abrasive; and y a polyvinylpyridine-N-oxide polymer; And C) grinding the substrate with the abrasive composition. The method of claim 8, wherein the abrasive is a colloidal abrasive. 10. The method of claim 8, wherein the composition further comprises c) an oxidizing agent. U. The method of claim 8, wherein the abrasive is a sulphur dioxide. 12. The method of claim 8, wherein the polyethylene acridine-N-oxide polymer content of the composition used in the method is from 〇〇2 wt/〇 to 1 wt〇/0. 13. The method of claim 12, wherein the polyvinylpyridine-N-oxide polymer content of the composition used in the method is between 〇〇5 and 〇/. To 0.35 weight 0 / 〇. 14. The method of claim 13, wherein the content of the polyethylene bite-N-oxide polymer in the group of the method is from 〇1% by weight to 0.35% by weight. 28
TW093130132A 2003-10-10 2004-10-05 Chemical-mechanical planarization composition having PVNO and associated method for use TWI247796B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/683,231 US20050079803A1 (en) 2003-10-10 2003-10-10 Chemical-mechanical planarization composition having PVNO and associated method for use

Publications (2)

Publication Number Publication Date
TW200513525A TW200513525A (en) 2005-04-16
TWI247796B true TWI247796B (en) 2006-01-21

Family

ID=34422691

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093130132A TWI247796B (en) 2003-10-10 2004-10-05 Chemical-mechanical planarization composition having PVNO and associated method for use

Country Status (3)

Country Link
US (2) US20050079803A1 (en)
JP (1) JP2005175437A (en)
TW (1) TWI247796B (en)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US7323416B2 (en) * 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US7128825B2 (en) * 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7582564B2 (en) * 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
CN101371339A (en) * 2003-05-12 2009-02-18 高级技术材料公司 Chemical mechanical polishing compositions for step-ii copper liner and other associated materials and method of using same
US7390429B2 (en) * 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US7247567B2 (en) * 2004-06-16 2007-07-24 Cabot Microelectronics Corporation Method of polishing a tungsten-containing substrate
US20070037892A1 (en) * 2004-09-08 2007-02-15 Irina Belov Aqueous slurry containing metallate-modified silica particles
US7531105B2 (en) * 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
TWI622639B (en) * 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
JPWO2007015551A1 (en) * 2005-08-04 2009-02-19 旭硝子株式会社 Abrasive composition and polishing method
JP2007059128A (en) * 2005-08-23 2007-03-08 Canon Inc Organic electroluminescent display device and manufacturing method thereof
JP2007095713A (en) * 2005-09-26 2007-04-12 Fujifilm Corp Polishing slurry for barrier layer
JP2007088379A (en) * 2005-09-26 2007-04-05 Fujifilm Corp Aqueous polishing slurry and chemical mechanical polishing method
US7585340B2 (en) * 2006-04-27 2009-09-08 Cabot Microelectronics Corporation Polishing composition containing polyether amine
JP2007299942A (en) * 2006-04-28 2007-11-15 Fujifilm Corp Metal polishing composition, and chemical-mechanical polishing method using it
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
JP2008091569A (en) * 2006-09-29 2008-04-17 Fujifilm Corp Polishing composition and polishing method
US20080105652A1 (en) * 2006-11-02 2008-05-08 Cabot Microelectronics Corporation CMP of copper/ruthenium/tantalum substrates
US9343330B2 (en) * 2006-12-06 2016-05-17 Cabot Microelectronics Corporation Compositions for polishing aluminum/copper and titanium in damascene structures
US20080148652A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Compositions for chemical mechanical planarization of copper
US20080149884A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Method and slurry for tuning low-k versus copper removal rates during chemical mechanical polishing
US7915169B2 (en) * 2007-11-02 2011-03-29 Spansion Llc Processes for forming electronic devices including polishing metal-containing layers
US20090124173A1 (en) * 2007-11-09 2009-05-14 Cabot Microelectronics Corporation Compositions and methods for ruthenium and tantalum barrier cmp
US10144849B2 (en) 2008-02-01 2018-12-04 Fujimi Incorporated Polishing composition and polishing method using the same
CN101821058A (en) * 2008-06-11 2010-09-01 信越化学工业株式会社 Polishing agent for synthetic quartz glass substrate
US8247326B2 (en) * 2008-07-10 2012-08-21 Cabot Microelectronics Corporation Method of polishing nickel-phosphorous
US8506831B2 (en) 2008-12-23 2013-08-13 Air Products And Chemicals, Inc. Combination, method, and composition for chemical mechanical planarization of a tungsten-containing substrate
TWI465556B (en) * 2010-09-14 2014-12-21 Everlight Chem Ind Corp Polishing composition for primary polishing of wafer
TWI456013B (en) * 2012-04-10 2014-10-11 Uwiz Technology Co Ltd Polishing slurry composition
US9039914B2 (en) 2012-05-23 2015-05-26 Cabot Microelectronics Corporation Polishing composition for nickel-phosphorous-coated memory disks
WO2014184703A2 (en) * 2013-05-15 2014-11-20 Basf Se Chemical-mechanical polishing compositions comprising polyethylene imine
US11085011B2 (en) 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles
CN117659393A (en) * 2022-08-31 2024-03-08 华为技术有限公司 Leveling agent, composition and application thereof

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5939513A (en) * 1994-01-19 1999-08-17 The Procter & Gamble Company Methods of removing pigment stain using detergent compositions containing polyamine N-oxide polymers
KR970042941A (en) * 1995-12-29 1997-07-26 베일리 웨인 피 Polishing Compounds for Mechanical and Chemical Polishing Processes
US5656767A (en) * 1996-03-08 1997-08-12 Computational Systems, Inc. Automatic determination of moisture content and lubricant type
US6648733B2 (en) * 1997-04-04 2003-11-18 Rodel Holdings, Inc. Polishing pads and methods relating thereto
US6585574B1 (en) * 1998-06-02 2003-07-01 Brian Lombardo Polishing pad with reduced moisture absorption
US6039633A (en) * 1998-10-01 2000-03-21 Micron Technology, Inc. Method and apparatus for mechanical and chemical-mechanical planarization of microelectronic-device substrate assemblies
JP4366735B2 (en) * 1998-11-05 2009-11-18 Jsr株式会社 Abrasives containing polymer particles
KR100472882B1 (en) * 1999-01-18 2005-03-07 가부시끼가이샤 도시바 Aqueous Dispersion, Chemical Mechanical Polishing Aqueous Dispersion Composition, Wafer Surface Polishing Process and Manufacturing Process of a Semiconductor Apparatus
IL128920A0 (en) * 1999-03-10 2000-02-17 Nova Measuring Instr Ltd Method for monitoring metal cmp
US6716805B1 (en) * 1999-09-27 2004-04-06 The Procter & Gamble Company Hard surface cleaning compositions, premoistened wipes, methods of use, and articles comprising said compositions or wipes and instructions for use resulting in easier cleaning and maintenance, improved surface appearance and/or hygiene under stress conditions such as no-rinse
US6348076B1 (en) * 1999-10-08 2002-02-19 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof
US6435944B1 (en) * 1999-10-27 2002-08-20 Applied Materials, Inc. CMP slurry for planarizing metals
US6953388B2 (en) * 1999-12-22 2005-10-11 Toray Industries, Inc. Polishing pad, and method and apparatus for polishing
WO2001053040A1 (en) * 2000-01-19 2001-07-26 Rodel Holdings, Inc. Printing of polishing pads
US6736992B2 (en) * 2000-04-11 2004-05-18 Honeywell International Inc. Chemical mechanical planarization of low dielectric constant materials
EP1170356A1 (en) * 2000-07-06 2002-01-09 The Procter & Gamble Company Laundry additive sachet
US6743267B2 (en) * 2001-10-15 2004-06-01 Dupont Air Products Nanomaterials Llc Gel-free colloidal abrasive polishing compositions and associated methods
US6803353B2 (en) * 2002-11-12 2004-10-12 Atofina Chemicals, Inc. Copper chemical mechanical polishing solutions using sulfonated amphiprotic agents

Also Published As

Publication number Publication date
US20050079803A1 (en) 2005-04-14
JP2005175437A (en) 2005-06-30
TW200513525A (en) 2005-04-16
US20050215183A1 (en) 2005-09-29

Similar Documents

Publication Publication Date Title
TWI247796B (en) Chemical-mechanical planarization composition having PVNO and associated method for use
TWI286157B (en) Bicine/tricine containing composition and method for chemical-mechanical planarization
TWI279434B (en) Tunable composition and method for chemical-mechanical planarization with aspartic acid/tolyltriazole
EP1098948B1 (en) Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6432828B2 (en) Chemical mechanical polishing slurry useful for copper substrates
US7022255B2 (en) Chemical-mechanical planarization composition with nitrogen containing polymer and method for use
EP1211717B1 (en) Method for chemical-mechanical polishing of a substrate
US8858819B2 (en) Method for chemical mechanical planarization of a tungsten-containing substrate
TWI452099B (en) Method and composition for chemical mechanical planarization of a metal-containing substrate
US7678702B2 (en) CMP composition of boron surface-modified abrasive and nitro-substituted sulfonic acid and method of use
KR100956216B1 (en) Compositions for chemical mechanical planarization of copper
JP2007318152A (en) Chemical mechanical polishing slurry useful for cooper/tantalum substrate
WO2003036705A1 (en) Polishing compound, method for production thereof and polishing method
EP1909312A1 (en) Abrasive and process for producing semiconductor integrated-circuit unit
TW200910445A (en) Method for chemical mechanical planarization of chalcogenide materials
US8841216B2 (en) Method and composition for chemical mechanical planarization of a metal
JP4206233B2 (en) Abrasive and polishing method
US8551887B2 (en) Method for chemical mechanical planarization of a copper-containing substrate

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees