TW577145B - Method of fabricating a dual damascene structure - Google Patents

Method of fabricating a dual damascene structure Download PDF

Info

Publication number
TW577145B
TW577145B TW92102070A TW92102070A TW577145B TW 577145 B TW577145 B TW 577145B TW 92102070 A TW92102070 A TW 92102070A TW 92102070 A TW92102070 A TW 92102070A TW 577145 B TW577145 B TW 577145B
Authority
TW
Taiwan
Prior art keywords
layer
hard mask
dielectric layer
dielectric
patent application
Prior art date
Application number
TW92102070A
Other languages
Chinese (zh)
Other versions
TW200414422A (en
Inventor
Hsueh-Chung Chen
Teng-Chun Tsai
Yi-Min Huang
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW92102070A priority Critical patent/TW577145B/en
Application granted granted Critical
Publication of TW577145B publication Critical patent/TW577145B/en
Publication of TW200414422A publication Critical patent/TW200414422A/en

Links

Abstract

A first dielectric layer, a second dielectric layer, a first hard mask, and a second hard mask are formed, in order, on a semiconductor wafer. A first photoresist layer with patterns of a trench is formed on the second hard mask. An exposed region of the second hard mask is then removed down to the surface of the first hard mask. A second photoresist layer with patterns of a via hole is formed on the semiconductor wafer. Exposed regions of both the first hard mask and the second dielectric layer are removed down to the surface of the first dielectric layer. After removing the second photoresist layer, exposed regions of the first hard mask are removed, exposed regions of the second dielectric layer are then removed down to a predetermined depth, and the whole second hard mask and exposed regions of the first dielectric layer are also removed.

Description

577145 五、發明說明(1) 發明所屬之技術領域 本發明係提供一種製作雙鑲嵌結構(d u a 1 d a m a s c e n e structure)的方法,尤指一種可以應用於超低介電常數 (ul tra-low-k)材料之雙鑲嵌結構的製作方法。 先前技術 雙鑲嵌製程是一種能同時形成一金屬導線以及一插 塞(Plug)之上下堆疊結構的方法,以用來連接半導體晶 片中各層間的不同元件與導線,並利用其周圍的内層介 電材料(inter-layer dielectrics)與其他元件相隔離。 因此隨著積體電路的發展日趨精密與複雜,如何提昇雙 鑲嵌結構的良率,是目前積體電路製程中重要的課題。 請參考圖一至圖四,圖一至圖四為習知於一半導體 ^底10上製作一雙鑲嵌結構的方法示意圖。如圖一所 不丄半導體基底1〇上包含有一介電層12,以及一導電層 又於介電層丨2中。習知之雙鑲嵌製程是先於半導體基 一 ^0上依序开> 成一保護層(cap 一介電層I% 一分止層2 0以及一介電層2 2。其中介電層1 2係由二氧化 :所=成,用來作為導電層1 4與其他電子元件之間的隔 你致夕Ϊ層1 4係為一銅導線,用來電連接至M〇S電晶體或 •夕金屬間的内連線,而保護層1 6與停止層2 0均係577145 V. Description of the invention (1) Technical field to which the invention belongs The present invention provides a method for making a dua 1 damascene structure, especially a method that can be applied to ultra-low dielectric constant (ul tra-low-k) Manufacturing method of double mosaic structure of materials. In the prior art, a dual damascene process is a method capable of forming a metal wire and a plug stack structure at the same time, which is used to connect different components and wires between various layers in a semiconductor wafer, and uses the inner layer dielectric around it. Materials (inter-layer dielectrics) are isolated from other components. Therefore, as the development of integrated circuits becomes more sophisticated and complex, how to improve the yield of dual-mosaic structures is an important issue in the current integrated circuit manufacturing process. Please refer to FIGS. 1 to 4. FIGS. 1 to 4 are schematic diagrams of a method for fabricating a dual damascene structure on a semiconductor substrate 10. As shown in FIG. 1, the semiconductor substrate 10 includes a dielectric layer 12, and a conductive layer is in the dielectric layer. The conventional dual-damascene process is to sequentially open the semiconductor substrate ^ 0 into a protective layer (cap a dielectric layer I%, a stop layer 20, and a dielectric layer 22. Among them, the dielectric layer 1 2 It is composed of dioxide: it is used as a barrier between the conductive layer 14 and other electronic components. The layer 14 is a copper wire for electrical connection to a MOS transistor or a metal. Between the inner layer and the protective layer 16 and the stop layer 20 are both

577145 五、發明說明(2) ' 由氮化矽構成,用來保護其下方結構免於受到蝕刻 害,至於介電層1 8與介電層2 2則係由旋轉塗佈 (sPln-on-coating)之低介電常數(l〇w k)材料,例 或FLARET辦構成,是形成雙鑲嵌結構之主要結構。 ^在形成上述之堆疊結構之後,仍然如圖一所示 ,再於介電層22表面形成一硬罩幕層24,例如氮氧 oxy_nitride)層。隨後進行一第一黃光 a^ography)製程,以於硬罩幕層24上方形成一; u Μ 層2时形成一通達至硬罩幕層24表面 ,來定義雙鑲嵌結構之上層溝槽(trench)的 累0 芦? R夕阁:所二,然後進行—第一蝕刻製程,依用 4 i ΐ ί著開口 27向下蝕刻,以將上層溝槽^577145 V. Description of the invention (2) 'It is composed of silicon nitride to protect the underlying structure from being damaged by etching. As for the dielectric layer 18 and the dielectric layer 22, they are spin-coated (sPln-on- Coating) of low dielectric constant (10wk) materials, such as FLARET, is the main structure to form a dual damascene structure. ^ After forming the above-mentioned stacked structure, as shown in FIG. 1, a hard mask layer 24 such as an oxy-nitride layer is formed on the surface of the dielectric layer 22. Subsequently, a first yellow light (a ^ ography) process is performed to form a layer above the hard mask layer 24; u layer 2 is formed to reach the surface of the hard mask layer 24 to define the upper trench of the dual damascene structure ( tired of the trench)? R XI Pavilion: So the second, and then-the first etching process, using 4 i ΐ ί 27 to etch down to open the upper trench ^

i车ίΐΐ光阻層26之後,•著進行-第二黃光靠 1 _ . 、土底1 0表面形成另一光阻層3 0,並於光PJ 4 j址一通達至介電層2 2表面之開口 31,用來定I 甘入、、、〇構之下層接觸洞的圖案。 如圖二所示,接著進行一第二蝕刻製程,依照 9 〇之圖案沿著開口 3 1向下蝕刻介電層2 2、停止層 及’I電層1 8,直至保護層丨6的表面,以形成一貫穿After the car photoresist layer 26, proceed to the second yellow light on the surface of 1_. The other photoresist layer 30 is formed on the surface of the soil bottom 10, and it reaches the dielectric layer 2 at the photo PJ 4 j site. The opening 31 on the 2 surface is used to set the pattern of the contact holes in the lower layer of the I structure. As shown in FIG. 2, a second etching process is then performed, and the dielectric layer 2 2, the stop layer and the 'I electric layer 1 8 are etched down to the surface of the protective layer 丨 6 along the opening 3 1 according to the pattern of 90. To form a through

損 如HSQ ,接 化矽 L阻層 之開 圖 光阻 圖案 D 程, 層30 雙鑲 光阻 2 0以 介電Loss such as HSQ, connected to the opening of the silicon L resist layer Photoresist pattern D process, layer 30 double inlaid photoresistor 20 to dielectric

577145 五、發明說明(3) 層22、停止層20以及介電層18之開口,作為下層接觸洞 32。去除光阻層3 0後,如圖四所示,接著再進行一第二 餘刻製程,利用硬罩幕層24作為蝕刻罩幕,向下去除^ 電層22,並以停止層20作為一蝕刻終點(end —p〇int),以 形成一上層溝槽3 4,完成習知之雙鑲嵌結構之製作。 由於在形成雙鑲嵌結構之後,便會於雙鑲嵌結構内 填入導電層、,以作為金屬間的内連線。而為了避免雙鑲 肷結構内之導電層與其他金屬層間產生電容效應,影響 雙鑲後結構之電性表現,在目前的雙鑲嵌製程中多是使 用有機低介電常數材料(介電常數k<3· 〇),例如芳香族執 固性聚合物(aromatic thermosets p〇lymers),來形成 介電f 18和介電層20,並藉由有機低介電常數材料中的 碳含量(carbon contains)來降低介電層18和介電層2〇之 介電书數。然而隨著有機低介電常數材料中的含碳量兪 高,介電層18與介電層20的應力常數(stress c〇nsta;;G 亦愈大,亦即當有機低介電常數材料中的含碳量愈 則介電層18和介電層20之承受機械應力(mechani=al strength)性質愈脆弱,尤其在利用超低介電常數 =<2·^材料來製作雙鑲嵌結構時,環繞在雙鑲嵌結構 圍之;丨電層18、2 0極容易在備製雙鑲嵌結構之過程 生脆裂(fragile)情形,而引發漏電流等問題。 霞 發明内容577145 V. Description of the invention (3) The openings of the layer 22, the stop layer 20 and the dielectric layer 18 are used as the lower contact holes 32. After the photoresist layer 30 is removed, as shown in FIG. 4, a second post-etching process is performed, using the hard mask layer 24 as an etching mask, removing the electric layer 22 downward, and using the stop layer 20 as a End etching (end-point) to form an upper-layer trench 3 4 to complete the fabrication of the conventional dual-damascene structure. After the dual damascene structure is formed, a conductive layer is filled in the dual damascene structure to serve as an interconnection between the metals. In order to avoid the capacitive effect between the conductive layer and other metal layers in the dual damascene structure, which affects the electrical performance of the dual damascene structure, organic low dielectric constant materials (dielectric constant k < 3 · 〇), such as aromatic thermosets (aromatic thermosets p0lymers), to form the dielectric f 18 and the dielectric layer 20, and the carbon content of the organic low dielectric constant material (carbon contains ) To reduce the number of dielectric books of the dielectric layer 18 and the dielectric layer 20. However, as the carbon content of the organic low-dielectric constant material increases, the stress constant of the dielectric layer 18 and the dielectric layer 20 (stress consta; G becomes larger, that is, when the organic low-dielectric constant material is larger. The more carbon content in the material, the more fragile the mechanical stress (mechani = al strength) property of the dielectric layer 18 and the dielectric layer 20, especially in the use of ultra-low dielectric constant = < 2 · ^ materials to make dual mosaic structures. When it is surrounded by the dual mosaic structure, the electrical layers 18 and 20 are very likely to cause a fragile situation during the preparation of the dual mosaic structure, causing problems such as leakage current.

介結 低嵌 超鑲 於雙 用化 應強 可以。 種,現 一法表 供方性 提的電 在構的 即結構 的嵌結 目鑲嵌 之雙鑲 明作雙 發製善 本之改 ,料而 此材進 因數並 常, 電構 577145 i、發明說明(4) 在本發明之最佳實施例中,首先係提供一半導體晶 片 且5亥半導體晶片包含有一基底以及一導電層設於該 基底上。接著於該半導體晶片表面依序形成一第一介電 層、一第二介電層、一第一硬罩幕層以及一第二硬罩幕 層’並覆蓋於該導電層之上。然後進行一第一黃光製 程’於該第二硬罩幕層表面形成一第一光阻層,以定義 該雙鑲嵌結構之一上層溝槽的圖案。隨後進行一第一蝕 刻製程’沿著該第一光阻層之圖案去除未被該第一光阻 印覆盡之该第二硬罩幕層’直至該第一硬罩幕層表面。 去除該第一光阻層後,再進行一第二黃光製程,於該半 導體晶片表面形成一第二光阻層,以定義該雙鑲嵌結構 =一下層接觸洞的圖案。接著進行一第二蝕刻製程,沿 著該第二光阻層之圖案去除未被該第二光阻層覆蓋之該 第一硬罩幕層以及該第二介電層,直至該第一介電層表 面。在去除該第二光阻層之後,進行一第三蝕刻製程, 先去除未被該第二硬罩幕層所覆蓋之該第一硬罩幕層, 然後去除未被該第一硬罩幕層所覆蓋之該第二介電層至 一預定深度並去除該第二硬罩幕層以及未被該第一硬罩 幕層所覆蓋之該第一介電層,直至該導電層表面,以完Intercalation Low embedding Super inlay for dual use should be strong. In the present method, the power supply of the electric power in the structure is the structure of the inlaid mesh. The double inlay is a modified version of the double-engineering system. It is expected that the factor of this material is not the same. Electric structure 577145 i. Description of the invention (4) In a preferred embodiment of the present invention, a semiconductor wafer is first provided, and the semiconductor wafer includes a substrate and a conductive layer disposed on the substrate. Then, a first dielectric layer, a second dielectric layer, a first hard mask layer and a second hard mask layer are sequentially formed on the surface of the semiconductor wafer and covered on the conductive layer. Then, a first yellow light process is performed to form a first photoresist layer on the surface of the second hard cover curtain layer to define a pattern of an upper trench of the dual damascene structure. Subsequently, a first etching process is performed along the pattern of the first photoresist layer to remove the second hard mask layer not covered by the first photoresist layer, up to the surface of the first hard mask layer. After removing the first photoresist layer, a second yellow light process is performed to form a second photoresist layer on the surface of the semiconductor wafer to define the dual damascene structure = the pattern of the lower contact hole. Then, a second etching process is performed to remove the first hard mask layer and the second dielectric layer which are not covered by the second photoresist layer along the pattern of the second photoresist layer, until the first dielectric Layer surface. After the second photoresist layer is removed, a third etching process is performed. First, the first hard mask layer not covered by the second hard mask layer is removed, and then the first hard mask layer is not removed. The covered second dielectric layer reaches a predetermined depth and the second hard mask layer and the first dielectric layer not covered by the first hard mask layer are removed to the surface of the conductive layer to complete the process.

第10頁 577145 五、發明說明(5) 成本發明之雙鑲嵌結構之製作。 由於本發明之下層接觸洞係貫穿部份之第二介電層 以及第一介電層,而且由第二介電層以及第一介電層所 構成之複合結構可以提供雙鑲嵌結構底部良好的支撐 力,因此可以有效避免習知環繞在雙鑲嵌結構周圍之介 電層產生脆裂的情形,以抑止漏電流等問題。 實施方式 請參考圖五至圖十,圖五至圖十為本發明於一半導 體基底4 0上製作一雙鑲嵌結構的方法示意圖。如圖五所 示,半導體基底40上包含有一介電層42,一導電層44設 於介電層42中,且導電層44之表面係約略與介電層42相 切齊。介電層4 2係由二氧化矽所形成,用來作為導電層 4 4與其他電子元件之間的隔離,而導電層4 4係為一銅、 鋁或鋁銅合金導線,其可電連接至MOS電晶體或作為多重 金屬間的内連線。接下來依序於半導體基底40表面形成 一保護層46、一介電層4 8以及一介電層50,覆蓋於導電 層4 4以及介電層42上,且介電層50中更包含有一停止層 5 2。其中,保護層4 6與停止層5 2均係由氮化矽所構成, 而其他較為緻密之材料亦可以用來製作保護層4 6或停止 層5 2 〇Page 10 577145 V. Description of the invention (5) Production of double mosaic structure of cost invention. Because the lower contact hole of the present invention is a second dielectric layer and a first dielectric layer penetrating a part, and the composite structure composed of the second dielectric layer and the first dielectric layer can provide a good bottom of the dual damascene structure. The supporting force can effectively avoid the occurrence of brittle cracks in the dielectric layer surrounding the dual damascene structure, so as to suppress problems such as leakage current. Embodiments Please refer to FIGS. 5 to 10, which are schematic diagrams of a method for fabricating a double mosaic structure on a half of the substrate 40 of the present invention. As shown in FIG. 5, the semiconductor substrate 40 includes a dielectric layer 42, and a conductive layer 44 is disposed in the dielectric layer 42. The surface of the conductive layer 44 is approximately tangent to the dielectric layer 42. The dielectric layer 4 2 is formed of silicon dioxide and serves as an isolation between the conductive layer 44 and other electronic components. The conductive layer 44 is a copper, aluminum or aluminum-copper wire, which can be electrically connected. To the MOS transistor or as an interconnect between multiple metals. Next, a protective layer 46, a dielectric layer 48, and a dielectric layer 50 are sequentially formed on the surface of the semiconductor substrate 40, covering the conductive layer 44 and the dielectric layer 42, and the dielectric layer 50 further includes a dielectric layer 50. Stop layer 5 2. Among them, the protective layer 46 and the stop layer 52 are both composed of silicon nitride, and other dense materials can also be used to make the protective layer 46 or the stop layer 5 2.

577145 五、發明說明(6) 介電層4 8係由氧化層、氟矽玻璃({11101^1131^(1 silicate glass,FSG)層或其他化學氣相沉積(chemical vapor deposition,CVD)介電層所構成,其沉積厚度約 介於30 0至100 0埃(angstrom,A )之間。至於介電層5〇之 厚度則約為數千埃,其係利用旋轉塗佈 (spin-on-coating)之低介電常數材料所構成,包含 FLARETM、SiLKTM、亞方香基類聚合物(p〇iy(aryiene ether) polymer)、parylene類化合物、聚醯亞胺 (polyimide)系高分子、氟化聚醯亞胺(f lu〇rinated polyimide)、HSQ、氟矽玻璃(FSG)、二氧化石夕、多孔石夕 玻璃(nanoporous Silica)或鐵氟龍等低介電常數材料均 可以用來形成介電層5 0。此外,為了降低介電層4 8和介 電層5 0之總介電常數’在本發明之較佳實施例中亦可以 省略設置在介電層50中的停止層52,而改以控制蝕刻 間來決定雙鑲嵌結構之上層溝槽之輪麻。 在形成上述之堆疊結構之後,仍然如圖五所示,接 著再於介電層5 0表面依序形成一硬罩幕層54以及一硬 幕層56,以形成一雙層硬罩幕(duai hard mask)。1中 硬罩幕層54係由氮氧化矽、氮化矽或碳化矽(siHc^ carbide)所構成,而硬罩幕層56則係由與介電層“相 之材料所構成。隨後進行一第一黃光製程,以於硬罩 層5 6上方形成一光阻層58,並於光阻層58中形成一通 至硬罩幕層56表面之開口 59,用來定義雙鑲嵌結構之上577145 V. Description of the invention (6) The dielectric layer 48 is made of an oxide layer, a fluorosilica glass ({11101 ^ 1131 ^ (1 silicate glass, FSG) layer or other chemical vapor deposition (CVD) dielectric) The thickness of the dielectric layer 50 is about several thousand angstroms, which is about 300-1000 angstroms (angstrom, A). It is spin-on- It is made of low dielectric constant material including coating, including FLARETM, SiLKTM, aryiene ether polymer, parylene compound, polyimide polymer, and fluorinated polymer. Low dielectric constant materials such as fluorinated polyimide, HSQ, fluorosilicate glass (FSG), stone dioxide, porous silica, or Teflon can be used to form the dielectric In addition, in order to reduce the total dielectric constant of the dielectric layer 48 and the dielectric layer 50, the stop layer 52 provided in the dielectric layer 50 may be omitted in the preferred embodiment of the present invention, and Instead, control the etching chamber to determine the ring hemp of the upper trench of the dual damascene structure. After stacking the structure, as shown in FIG. 5, a hard mask layer 54 and a hard mask layer 56 are sequentially formed on the surface of the dielectric layer 50 to form a double-layer hard mask. The hard cover curtain layer 54 is composed of silicon oxynitride, silicon nitride, or silicon carbide (siHc ^ carbide), and the hard cover curtain layer 56 is composed of a material "phase-compatible with the dielectric layer." In the first yellow light process, a photoresist layer 58 is formed above the hard mask layer 56 and an opening 59 is formed in the photoresist layer 58 to the surface of the hard mask layer 56 to define a dual damascene structure.

第12頁 577145 五、發明說明(7) 層溝槽的圖案。 之後如圖六所示,進行一第一蝕刻製程,依照光阻 層5 8之圖案沿著開口 5 9向下蝕刻,以使上層溝槽之圖案 轉移至硬罩幕層56中,並於硬罩幕層56中形成一開口 6 0。在去除光阻層5 8之後,接著進行一第二黃光製程, 於半導體基底4 0表面形成另一光阻層62,並於光阻層62 中形成一通達至硬罩幕層5 4表面之開口 63,用來定義雙 鑲嵌結構之下層接觸洞的圖案。 如圖七所示,接著進行一第二蝕刻製程,依照光阻 層6 2之圖案沿著開口 6 3向下蝕刻硬罩幕層5 4以及介電層 50,直至介電層48表面,以形成一貫穿硬罩幕層54以及 介電層5 0之開口 6 4。去除光阻層6 2後,如圖八所示,接 著再進行一第三蝕刻製程,先利用硬罩幕層5 6作為蝕刻 罩幕,去除硬罩幕層54直至介電層5 0表面,以將上層溝 槽之圖案轉移至硬罩幕層54中,然後再繼續向下蝕刻未 被硬罩幕層5 4覆蓋之介電層5 0至介電層5 0内之一預定深 度。其中,該預定深度係小於介電層5 0之沉積厚度,例 如以停止層5 2作為一蝕刻終點,以於介電層5 0中形成一 上層溝槽6 6。在去除介電層5 0之後,接著再調整蝕刻氣 體之選擇比,沿著開口 64向下去除未被硬罩幕層54覆蓋 之介電層4 8,直至保護層4 6表面,以形成一下層接觸洞 6 8,如圖九所示。Page 12 577145 V. Description of the invention (7) The pattern of the grooves. Then, as shown in FIG. 6, a first etching process is performed, and the etching is performed along the opening 5 9 according to the pattern of the photoresist layer 58 to transfer the pattern of the upper trench to the hard cover curtain layer 56 and hard An opening 60 is formed in the mask layer 56. After removing the photoresist layer 58, a second yellow light process is performed to form another photoresist layer 62 on the surface of the semiconductor substrate 40, and a photoresist layer 62 is formed to reach the surface of the hard cover curtain layer 54. The opening 63 is used to define a pattern of contact holes under the dual damascene structure. As shown in FIG. 7, a second etching process is then performed, and the hard mask layer 54 and the dielectric layer 50 are etched downward along the opening 63 according to the pattern of the photoresist layer 62 to the surface of the dielectric layer 48. An opening 64 is formed through the hard mask layer 54 and the dielectric layer 50. After removing the photoresist layer 62, as shown in FIG. 8, then a third etching process is performed. First, the hard mask layer 56 is used as an etching mask, and the hard mask layer 54 is removed to the surface of the dielectric layer 50. The pattern of the upper trench is transferred to the hard mask layer 54, and then the dielectric layer 50 not covered by the hard mask layer 54 is further etched to a predetermined depth within the dielectric layer 50. The predetermined depth is smaller than the deposition thickness of the dielectric layer 50. For example, the stop layer 52 is used as an etching end point to form an upper trench 66 in the dielectric layer 50. After the dielectric layer 50 is removed, the selection ratio of the etching gas is then adjusted, and the dielectric layer 48 not covered by the hard mask layer 54 is removed downward along the opening 64 to the surface of the protective layer 46 to form a layer. Layer contact holes 68, as shown in Figure IX.

577145577145

在本發明之較佳實施例 介電層4 8相同材質之材料所 係小於介電層4 8,因此在去 洞6 8時’硬罩幕層5 6亦會於 除,以避免雙層硬罩幕之上 的問題。 中,、由於硬罩幕層5 6係由與 構成,且硬罩幕層5 6之厚度 除介電層4 8並形成下層接觸 上述之餘刻製程中被完全去 層硬罩幕清除不完全所導致 46, 覆蓋 露出 屬化 屬所 層溝 停止 以及 障礙 明之 如圖十 暴露出 之停止 來。然 合物或 構成的 槽6 6以 層,進 障礙層 層7 0之 雙鑲嵌 所示, 導電層 層52, 後再依 鈕金屬 導電層 及下層 行一化 70,使 表面約 導線的 •心1夂方下 4 4的表面, 使上層溝槽 序於半導體 化合物所構 72,且導電 接觸洞6 8。 學機械研磨 殘留於雙鑲 略切齊於硬 製作。 層接觸洞6 8底部之保護層 以及去除未被硬罩幕層54 6 6内之介電層50表面被暴 基底4 0表面形成一由欽金 成的障礙層7 0以及一銅金 層72填滿雙鑲嵌結構之上 最後利用硬罩幕層5 4當作 製程去除部份之導電層72 嵌結構内之導電層7 2以及 罩幕層54表面,完成本發 在本發明之較佳實施例中,第三蝕刻製程係包含三 階段的蝕刻步驟·( 1 )去除部份之硬罩幕層5 4,將上層溝 槽之圖案轉移^硬罩幕層54中;(2)依照硬罩幕層54之圖 案去除部份之;丨電層5 0至停止層5 2表面,以於介電層5 〇In the preferred embodiment of the present invention, the material of the same material as the dielectric layer 48 is smaller than the dielectric layer 48. Therefore, when the hole 6 8 is removed, the 'hard cover curtain layer 5 6 will be removed to avoid double-layer hardening. The question above the curtain. In addition, since the hard cover curtain layer 56 is composed of and the thickness of the hard cover curtain layer 56 is divided by the dielectric layer 48 and the lower layer is formed to contact the above, the hard cover curtain is completely delayered during the above-mentioned process. The hard cover curtain is not completely removed. The resulting 46, covering the stoppage of the ditch of the affiliation and the obstruction is obvious as shown in the tenth stop of the exposure. The natural compound or the formation of the slot 66 is shown in the double inlay into the barrier layer 70. The conductive layer 52 is then formed by the button metal conductive layer and the lower layer 70 to make the surface approximately the center of the wire. The surface of 1 4 square 4 4 makes the upper trench sequenced by the semiconductor compound 72 and the conductive contact holes 6 8. Mechanical mechanical grinding Residual in double inlay Slightly cut in hard to make. The contact layer 6 at the bottom of the protective layer and removing the hard-layered curtain layer 54 6 6 The surface of the dielectric layer 50 is filled with the surface of the substrate 40 and a barrier layer 70 made of Jin Jin and a copper gold layer 72 are filled. On top of the full double mosaic structure, the hard mask layer 5 4 is finally used as a part of the conductive layer 72 in the manufacturing process. The conductive layer 72 and the mask layer 54 in the embedded structure are used to complete the preferred embodiment of the present invention. In the third etching process, there are three stages of etching steps: (1) removing part of the hard mask layer 54, and transferring the pattern of the upper trench into the hard mask layer 54; (2) according to the hard mask The part of the pattern of the layer 54 is removed; the surface of the electrical layer 50 to the stop layer 52 is used for the dielectric layer 50.

577145 五、發明說明(9) 中形成一上層溝槽66; 完全去除硬罩幕層56, 下方之介電層5 0以及介 之第三蝕刻製程中,步 替,亦即先形成下層接 本發明可同時適用於先 嵌製程以及先形成接觸 (3)同時去除部份之介 以形成貫穿停止層52、停層= 電層α之下層接觸洞68。土:? $ (2)與步驟(3)之順序亦可以交 68再形成上層溝槽66, 形成溝槽(trench first)之 洞(vla first)之雙鑲嵌製程。 相較於習知之製作雙鑲嵌 層接觸洞68係貫穿一由介電^ 4 8所構成的多層結構,而且^ 部周圍之介電層48是由化學氣 以提供良好的支撐力,因此可 鑲嵌結構周圍之介電層產生脆 等問題。此外,由於本發明之 層5 0的厚度比習知之有機低介 減少許多,因此本發明在利用 之圖案時,便可以減少光阻層 部曝光不足產生光阻殘留,而 現0 結構的 5 0、停 中環繞 相沉積 以有效 裂的情 有機低 電常數 黃光製 的厚度 影響雙 方法 止層 於下 介電 避免 形, 介電 材料 程定 ,以 鑲嵌 ,本 5 2以 層接 層所 習知 以抑 常數 介電 義雙 避免 結構 發明之下 及介電層 觸洞68底 構成,可 環繞在雙 止漏電流 材料介電 層1 8和2 2 鑲嵌結構 光卩且層底 之電性表 以上所述僅為本發明之較佳實施例,凡依本 請專利範圍所做之均等變化與修飾,皆應屬本發$】申 之涵蓋範圍。 寻利 577145 圖式簡單說明 圖式之簡單說明 圖一至圖四為習知製作一雙鑲嵌結構的方法示意 圖。 圖五至圖十為本發明之製作一雙鑲嵌結構的方法示 意圖。 圖式之符號說明577145 V. Description of the invention (9) An upper trench 66 is formed; the hard mask layer 56 is completely removed, the lower dielectric layer 50 and the third etching process are performed step by step, that is, the lower layer is formed first. The invention can be applied to both the first embedding process and the first contact formation (3) and the removal of a part of the intermediary to form a through-stop layer 52, which is a contact hole 68 below the electrical layer α. Soil:? $ (2) and the order of step (3) can also intersect 68 and then form the upper trench 66 to form a trench first (dual first) double damascene process. Compared with the conventional method of making a double damascene contact hole 68, which penetrates a multilayer structure composed of dielectric ^ 48, and the dielectric layer 48 around ^ is made of chemical gas to provide good support, it can be embedded The dielectric layer surrounding the structure causes problems such as brittleness. In addition, since the thickness of the layer 50 of the present invention is much smaller than that of the conventional organic low-medium, the pattern used in the present invention can reduce the photoresist residue caused by the underexposure of the photoresist layer, and the current structure of 50 The thickness of the organic low-constant yellow light system affected by the surrounding phase deposition to effectively crack during the stop affects the two-layer stop layer to prevent the lower dielectric avoidance. The dielectric material is determined by inlaying. This step is used in layers. It is known that the invention is composed of a dielectric constant and a double avoidance structure, and the bottom of the dielectric layer contact hole 68, which can surround the double-leakage current-resistant material dielectric layers 1 8 and 2 2 inlaid structure and the bottom of the electrical meter. The above description is only a preferred embodiment of the present invention. Any equivalent changes and modifications made in accordance with the scope of this patent should be covered by this application. Profit-seeking 577145 Simple illustration of the diagrams Simple illustration of the diagrams Figures 1 to 4 are schematic diagrams of the conventional method for making a double mosaic structure. Figures 5 to 10 are schematic diagrams of a method of making a double mosaic structure according to the present invention. Schematic symbol description

第16頁 10 半 導 體 基 底 12' 18^ 22 介 電 層 14 導 電 層 16 保 護 層 20 停 止 層 24 硬 罩 幕 層 26> 30 光 阻 層 2Ί、 28^ 31 開 π 32 下 層 接 觸 洞 34 上 層 溝 槽 40 半 導 體 基 底 42、 48> 50 介 電 層 4[ 72 導 電 層 46 保 護 層 52 停 止 層 54> 56 硬 罩 幕 層 58' 62 光 阻 層 59^ 60> 63> 64 開 Π 66 上 層 溝 槽 68 下 層 接 觸 洞 70 障 礙 層Page 16 10 Semiconductor substrate 12 '18 ^ 22 Dielectric layer 14 Conductive layer 16 Protective layer 20 Stop layer 24 Hard cover curtain layer 26 > 30 Photoresist layer 2 28, 28 ^ 31 Open π 32 Lower contact hole 34 Upper trench 40 Semiconductor substrate 42, 48 > 50 Dielectric layer 4 [72 Conductive layer 46 Protective layer 52 Stop layer 54> 56 Hard cover curtain layer 58 '62 Photoresist layer 59 ^ 60 > 63 > 64 Open Π 66 Upper trench 68 Lower contact Hole 70 obstacle layer

Claims (1)

577145 六、申請專利範圍 1 · 種裝作雙鑲嵌(d u a 1 d a m a s c e n e )結構的方法,該 方法包含有下列步驟: 提供一半導體晶片,且該半導體晶片包含有一基底 (substrate)以及一導電層設於該基底上; 於該半導體晶片表面依序形成一第一介電層、一第 二介電層、一第一硬罩幕(hard mask)層以及一第二硬罩 幕層,並覆蓋於該導電層之上; 進行一第一黃光(lithography)製程,於該第二硬罩 幕層表面形成一第一光阻(photoresist)層,以定義該雙 鑲嵌結構之一上層溝槽的圖案; 進行一第一蝕刻(etch)製程,沿著該第一光阻層之 圖案去除未被該第一光阻層覆蓋之該第二硬罩幕層,直 至該第一硬罩幕層表面; 去除該第一光阻層; 進行一第二黃光製程,於該半導體晶片表面形成一 第二光阻層,以定義該雙镶後結構之一下層接觸洞(v i a hole)的圖案; 進行一第二蝕刻製程,沿著該第二光阻層之圖案去 除未被該第二光阻層覆蓋之該第一硬罩幕層以及該第二 介電層,直至該第一介電層表面; 去除該第二光阻層;以及 進行一第三蝕刻製程,先去除未被該第二硬罩幕層 所覆蓋之該第一硬罩幕層,然後去除未被該第一硬罩幕 層所覆蓋之該第二介電層至一預定深度並去除該第二硬577145 VI. Scope of patent application 1. A method for mounting a dua 1 damascene structure. The method includes the following steps: A semiconductor wafer is provided, and the semiconductor wafer includes a substrate and a conductive layer provided on the substrate. On the substrate; a first dielectric layer, a second dielectric layer, a first hard mask layer and a second hard mask layer are sequentially formed on the surface of the semiconductor wafer and cover the On the conductive layer, a first lithography process is performed to form a first photoresist layer on the surface of the second hard cover curtain layer to define a pattern of an upper trench of the dual damascene structure; Performing a first etch process to remove the second hard mask curtain layer that is not covered by the first photoresist layer along the pattern of the first photoresist layer until the surface of the first hard mask curtain layer; The first photoresist layer; performing a second yellow light process to form a second photoresist layer on the surface of the semiconductor wafer to define a pattern of a via hole of one lower layer of the dual damascene structure; and performing a first two Engraving process, removing the first hard mask layer and the second dielectric layer not covered by the second photoresist layer along the pattern of the second photoresist layer, until the surface of the first dielectric layer; removing the A second photoresist layer; and a third etching process, first removing the first hard mask layer not covered by the second hard mask layer, and then removing the first hard mask layer not covered by the first hard mask layer The second dielectric layer to a predetermined depth and removing the second hard layer 577145 六、申請專利範圍 罩幕層以及未被該第一硬罩幕層所覆蓋之該第一介電 層,直至該導電層表面。 2 ·如申請專利範圍第1項之方法,其中該導電層係一銅 導線’且該導電層表面另包含有一保護層。 3 · 如申睛專利範圍第1項之方法,其中該第一介電層與 該第二硬罩幕層係由同一材質之材料所構成。 4. 如申請專利範圍第1項之方法,其中該第一介電層係 由一氟石夕玻璃(fluorinated silicate glass,FSG)所構 成’而該第二介電層係由一低介電常數(1 0 w — k)材料所構 成。 5. 如申請專利範圍第4項之方法,其中該低介電常數 料包含有FLARETM、SiLKTM、亞芳香基醚類聚合物 材 (poly(arylene ether) polymer)、parylene類化合物 聚醢亞胺(polyimide)系高分子、氟化聚醢亞胺 (fluorinated polyimide)、HSQ、氟矽玻璃(FSG)、二氧 化石夕、多孔石夕玻璃(nanoporous silica)或鐵氟龍。 6 ·如申請專利範圍第1項之方法,其中該預定深度係 於該第二介電層的沉積厚度。 '577145 6. Scope of patent application The mask layer and the first dielectric layer not covered by the first hard mask layer, up to the surface of the conductive layer. 2. The method according to item 1 of the scope of patent application, wherein the conductive layer is a copper wire 'and the surface of the conductive layer further includes a protective layer. 3. The method of claim 1 in the patent scope, wherein the first dielectric layer and the second hard cover layer are made of the same material. 4. The method according to item 1 of the patent application scope, wherein the first dielectric layer is composed of a fluorinated silicate glass (FSG) and the second dielectric layer is composed of a low dielectric constant (1 0 w — k). 5. The method according to item 4 of the scope of patent application, wherein the low dielectric constant material includes FLARETM, SiLKTM, poly (arylene ether) polymer, and parylene compound polyimide ( polyimide) polymers, fluorinated polyimide, HSQ, fluorosilicone glass (FSG), stone dioxide, porous silica, or Teflon. 6. The method of claim 1, wherein the predetermined depth is a thickness of the second dielectric layer. ' 577145 六、申請專利範圍 7. 如申請專利範圍第1項之方法,其中該第二介電層中 另包含有一触刻停止層(etch stop layer),以使該第三 蝕刻製程在蝕刻該第二介電層時,得以停止於該蝕刻停 止層表面,形成該雙鑲嵌結構之該上層溝槽。 8. 如申請專利範圍第1項之方法,其中在完成該第三蝕 刻製程之後,該方法包含有下列步驟: 於該半導體晶片表面依序形成一障礙層(barrier layer) 以及一金屬層,且該金屬層係填滿該雙鑲嵌結構;以及 利用該第一硬罩幕層當作停止層(stop layer)來進行一 化學機械研磨(chemical mechanical polishing,CMP) 製程,以去除部份之該金屬層以及該障礙層,形成一雙 镶後導線。 9. 一種製作雙鑲嵌結構的方法,該方法包含有下列步 驟: 提供一半導體晶片,且該半導體晶片包含有一基底 以及一導電層設於該基底上; 於該半導體晶片表面依序形成一第一介電層、一第 二介電層、一第一硬罩幕層以及一第二硬罩幕層,並覆 蓋於該導電層之上;以及 進行一雙鑲嵌結構的蝕刻製程,以於該第二介電層 中形成該雙鑲嵌結構之一上層溝槽並於該第一介電層中 形成該雙鑲嵌結構之一下層接觸洞;577145 6. Application for Patent Scope 7. The method of the first scope of patent application, wherein the second dielectric layer further includes an etch stop layer, so that the third etching process etches the first etch stop layer. When the two dielectric layers are stopped, they can be stopped on the surface of the etch stop layer to form the upper trench of the dual damascene structure. 8. The method of claim 1, wherein after the third etching process is completed, the method includes the following steps: sequentially forming a barrier layer and a metal layer on the surface of the semiconductor wafer, and The metal layer fills the dual damascene structure; and a chemical mechanical polishing (CMP) process is performed using the first hard cover curtain layer as a stop layer to remove a portion of the metal Layer and the barrier layer to form a pair of back-mounted wires. 9. A method of manufacturing a dual damascene structure, the method comprising the following steps: providing a semiconductor wafer, the semiconductor wafer including a substrate and a conductive layer provided on the substrate; and forming a first on the surface of the semiconductor wafer in sequence A dielectric layer, a second dielectric layer, a first hard cover curtain layer and a second hard cover curtain layer, and covering the conductive layer; and an etching process of a double damascene structure is performed on the first Forming an upper trench of the dual damascene structure in the two dielectric layers and forming a lower contact hole of the dual damascene structure in the first dielectric layer; 577145 六、申請專利範圍 其中該第二硬罩幕層會被完全去除於該蝕刻製程之 中 〇 1 0.如申請專利範圍第9項之方法,其中該導電層係一銅 導線,且該導電層表面另包含有一保護層。 1 1.如申請專利範圍第9項之方法,其中該第一介電層與 該第二硬罩幕層係由同一材質之材料所構成。 1 2 .如申請專利範圍第9項之方法,其中該蝕刻製程係為 一先形成接觸洞(v i a f i r s t)之雙鑲嵌製程。 1 3.如申請專利範圍第9項之方法,其中該蝕刻製程係為 一先形成溝槽(trench first)之雙鑲彼製程。 1 4.如申請專利範圍第9項之方法,其中該蝕刻製程係包 含有下列步驟: 進行一第一黃光製程,於該第二硬罩幕層表面形成一第 一光阻層,以定義該雙鑲嵌結構之一上層溝槽的圖案; 進行一第一蝕刻製程,沿著該第一光阻層之圖案去除未 被該第一光阻層覆蓋之該第二硬罩幕層,直至該第一硬 罩幕層表面; 去除該第一光阻層; 進行一第二黃光製程,於該半導體晶片表面形成一第二577145 6. The scope of the patent application where the second hard cover curtain layer is completely removed from the etching process. 0. The method according to item 9 of the patent scope, wherein the conductive layer is a copper wire and the conductive The surface of the layer further includes a protective layer. 1 1. The method according to item 9 of the scope of patent application, wherein the first dielectric layer and the second hard cover curtain layer are made of the same material. 12. The method according to item 9 of the scope of patent application, wherein the etching process is a dual damascene process in which a contact hole (v i a f i r s t) is formed first. 1 3. The method according to item 9 of the scope of patent application, wherein the etching process is a double-mounting process in which a trench first is formed. 14. The method according to item 9 of the scope of patent application, wherein the etching process includes the following steps: a first yellow light process is performed, and a first photoresist layer is formed on the surface of the second hard cover curtain layer to define A pattern of an upper trench of the dual damascene structure; performing a first etching process, removing the second hard mask curtain layer not covered by the first photoresist layer along the pattern of the first photoresist layer, until the The surface of the first hard cover curtain layer; removing the first photoresist layer; performing a second yellow light process to form a second on the surface of the semiconductor wafer 577145 六、申請專利範圍 光阻層,以定義該雙鑲嵌結構之一下層接觸洞的圖案; 進行一第二蝕刻製程,沿著該第二光阻層之圖案去除未 被該第二光阻層覆蓋之該第一硬罩幕層以及該第二介電 層,直至該第一介電層表面; 去除該第二光阻層;以及 進行一第三姓刻製程’先去除未被該第二硬罩幕層所覆 蓋之該第一硬罩幕層,然後去除未被該第一硬罩幕層所 覆蓋之該第二介電層至一預定深度並去除該第二硬罩幕 層以及未被該第一硬罩幕層所覆蓋之該第一介電層,直 至該導電層表面。 1 5 ·如申請專利範圍第1 4項之方法,其中該預定深度係 小於該第二介電層的沉積厚度。 1 6.如申請專利範圍第1 4項之方法,其中該第二介電層 中另包含有一餘刻停止層(etch stop layer),以使該第 三蝕刻製程在蝕刻該第二介電層時,得以停止於該蝕刻 停止層表面,形成該雙鑲嵌結構之該上層溝槽。 1 7.如申請專利範圍第9項之方法,其中該第一介電層係 由一氟矽玻璃(FSG)所構成,而該第二介電層係由一低介 電常數材料所構成。 1 8.如申請專利範圍第1 7項之方法,其中該低介電常數577145 VI. Patent application photoresist layer to define the pattern of one lower contact hole of the dual damascene structure; perform a second etching process to remove the second photoresist layer along the pattern of the second photoresist layer Cover the first hard mask curtain layer and the second dielectric layer up to the surface of the first dielectric layer; remove the second photoresist layer; and perform a third last engraving process to remove The first hard mask layer covered by the hard mask layer, and then the second dielectric layer not covered by the first hard mask layer is removed to a predetermined depth and the second hard mask layer and the second hard mask layer are removed. The first dielectric layer covered by the first hard mask curtain layer reaches the surface of the conductive layer. 15. The method according to item 14 of the scope of patent application, wherein the predetermined depth is smaller than the deposition thickness of the second dielectric layer. 16. The method according to item 14 of the scope of patent application, wherein the second dielectric layer further includes an etch stop layer, so that the third etching process etches the second dielectric layer. At this time, it can stop on the surface of the etch stop layer to form the upper trench of the dual damascene structure. 1 7. The method according to item 9 of the patent application, wherein the first dielectric layer is composed of a fluorosilicon glass (FSG), and the second dielectric layer is composed of a low dielectric constant material. 18. The method according to item 17 of the scope of patent application, wherein the low dielectric constant 第21頁 577145 六、申請專利範圍 材料包含有FLARETM、SiLKTM、亞芳香基醚類聚合物 (p〇ly(arylene ether) polymer)、parylene類化合物、 聚醢亞胺(polyimide)系高分子、氟化聚醯亞胺 (fluorinated polyimide)、HSQ、氟石夕玻璃(FSG)、二氧 化石夕、多孔石夕玻璃(nan〇p〇rous silica)或鐵氟龍。 19.如申請專利範圍第9項之方法’其中在完成該蝕刻 程之後’該方法包含有下列步驟: 於該半導體晶片表面依序形成一障礙層以及一金屬層, 且該金屬層係填滿該雙鑲嵌結構;以及 曰 利用該第一硬罩幕層當作停止層來進行一化學機械研磨 (CMP)製程,以去除部份之該金屬層以及該障礙声,Page 21 577145 VI. Patent application materials include FLARETM, SiLKTM, poly (arylene ether) polymer, parylene compounds, polyimide polymers, fluorine Fluorinated polyimide, HSQ, fluorspar glass (FSG), spar dioxide, nanoporous silica or teflon. 19. The method according to item 9 of the scope of patent application, wherein after the etching process is completed, the method includes the following steps: sequentially forming a barrier layer and a metal layer on the surface of the semiconductor wafer, and the metal layer is filled up The dual damascene structure; and a chemical mechanical polishing (CMP) process using the first hard cover curtain layer as a stop layer to remove a portion of the metal layer and the obstruction sound,
TW92102070A 2003-01-29 2003-01-29 Method of fabricating a dual damascene structure TW577145B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW92102070A TW577145B (en) 2003-01-29 2003-01-29 Method of fabricating a dual damascene structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW92102070A TW577145B (en) 2003-01-29 2003-01-29 Method of fabricating a dual damascene structure

Publications (2)

Publication Number Publication Date
TW577145B true TW577145B (en) 2004-02-21
TW200414422A TW200414422A (en) 2004-08-01

Family

ID=32847847

Family Applications (1)

Application Number Title Priority Date Filing Date
TW92102070A TW577145B (en) 2003-01-29 2003-01-29 Method of fabricating a dual damascene structure

Country Status (1)

Country Link
TW (1) TW577145B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7732326B2 (en) 2004-02-25 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7732326B2 (en) 2004-02-25 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
US8053359B2 (en) 2004-02-25 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method

Also Published As

Publication number Publication date
TW200414422A (en) 2004-08-01

Similar Documents

Publication Publication Date Title
US9673087B2 (en) Interconnect structures incorporating air-gap spacers
US9330974B2 (en) Through level vias and methods of formation thereof
US8519461B2 (en) Device with post-contact back end of line through-hole via integration
US7871923B2 (en) Self-aligned air-gap in interconnect structures
US7326650B2 (en) Method of etching dual damascene structure
KR100588373B1 (en) Method for forming semiconductor device
TWI290356B (en) Semiconductor device and method for fabricating the same
TW200415747A (en) Air gap dual damascene process and structure
KR20160044990A (en) Structure and formation method of damascene structure
JP2007221161A (en) Capacitor used in semiconductor device, and production method thereof
JP2007142421A (en) Semiconductor element and manufacturing method therefor
US20050233572A1 (en) Dual damascene structure formed of low-k dielectric materials
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
TW201635437A (en) Interconnection structure and manufacturing method thereof
JP2011009581A (en) Process of producing semiconductor device and the semiconductor device
KR101354126B1 (en) Semiconductor device and method for manufacturing semiconductor device
TWI323021B (en) Forming a dual damascene structure without ashing-damaged ultra-low-k intermetal dielectric
JP5285612B2 (en) Semiconductor device and method of forming interconnect structure
TW200529366A (en) Semiconductor device manufacturing method
TWI232481B (en) Manufacturing method for semiconductor device and the semiconductor device
JP2012134422A (en) Semiconductor device and manufacturing method of the same
TW577145B (en) Method of fabricating a dual damascene structure
CN103515308A (en) Copper interconnect structure and method for fabricating thereof
TWI269352B (en) Method for fabricating semiconductor device
TWI509740B (en) Dual damascene process