TW560000B - Method to fabricate contact via on the NiSix layer - Google Patents

Method to fabricate contact via on the NiSix layer Download PDF

Info

Publication number
TW560000B
TW560000B TW91125223A TW91125223A TW560000B TW 560000 B TW560000 B TW 560000B TW 91125223 A TW91125223 A TW 91125223A TW 91125223 A TW91125223 A TW 91125223A TW 560000 B TW560000 B TW 560000B
Authority
TW
Taiwan
Prior art keywords
layer
contact hole
item
making
nickel silicide
Prior art date
Application number
TW91125223A
Other languages
Chinese (zh)
Inventor
Chii-Ming Wu
Mei-Yun Wang
Chih-Wei Chang
Chin-Hwa Hsieh
Shau-Lin Shue
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW91125223A priority Critical patent/TW560000B/en
Application granted granted Critical
Publication of TW560000B publication Critical patent/TW560000B/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

The present invention discloses a method to fabricate contact via on the NiSix layer, whose feature is to utilize physical etching to resolve the problem of etchant reacting with highly active NiSix layer in the process of prior art. The first method is: do not remove the etch stop layer completely in one time, but remain a very thin etch stop layer, and remove the remaining etch stop layer in the way of physical etching, so that the etching gas will not react with the NiSix layer. The second method is: use a novel etch buffer layer between the etch stop layer and the NiSix layer, and use physical etching to remove the etch buffer layer. In the same way, the etchant gas will not react with the NiSix layer.

Description

560000 五、發明說明(1) 發明領域: 本發明係有關於一種製作接觸孔之方法,且特別是有 關於一種製作接觸孔於矽化鎳層上方之方法。 相關技術說明: 在半導體技術中,金屬氧化半導體 (metal-oxide-semiconductor ; MOS)電晶體(transistor) 係由閘極(gate)、源極(source)與汲極((^&丨11)等三個電 極所構成,其中M0S便是構成閘極結構的主體。早期的%〇S 係由金屬層(metal layer)、二氧化矽(Si02)與含矽基底 (silicon-based substrate)等三層材質所組成的〇但 是,由於大多數的金屬對於二氧化矽的附著能力 (adhesion)很差,所以對於二氧化矽具有較佳附著能力之 多晶矽(polys i 1 icon)便被提出以取代金屬層。然而,使 用多晶石夕卻有電阻值太高的問題存在。即使多晶石夕經過摻 雜,其電阻值還是太高,並不適合用來取代的金屬 層。於是,熟悉此技藝人士便提出一解決方法,也就是再 多加一層厚度與多晶矽層相當的金屬矽化物(metal s i 1 i c i d e )於多晶石夕的表面,利用導電性較佳的金屬矽化 物與多晶矽共同組成導電層。然後,在形成接觸孔以製作 内連線於整個閘極層上方。 習知之製作接觸孔於矽化物閘極層上方之方法簡述如 下。 首先’請參照第1 A圖,提供一石夕基底1 〇 〇,包含有··560000 V. Description of the invention (1) Field of the invention: The present invention relates to a method for making a contact hole, and in particular to a method for making a contact hole over a nickel silicide layer. Relevant technical description: In semiconductor technology, metal-oxide-semiconductor (MOS) transistors are composed of a gate, a source, and a drain ((^ & 丨 11) It is composed of three electrodes, among which M0S is the main body forming the gate structure. The early% 0S was composed of a metal layer, silicon dioxide (Si02), and a silicon-based substrate. Layer material. However, since most metals have poor adhesion to silicon dioxide, polys i 1 icon, which has better adhesion to silicon dioxide, has been proposed to replace metals. However, the use of polycrystalline stone has a problem that the resistance value is too high. Even if the polycrystalline stone is doped, its resistance value is still too high to be suitable for the metal layer to be replaced. Therefore, those familiar with this art A solution is proposed, that is, another layer of metal silicide (metal si 1 pesticide) with a thickness equivalent to that of the polycrystalline silicon layer is added to the surface of the polycrystalline silicon, and the metal silicide and polycrystalline having better conductivity are used. Together, they form a conductive layer. Then, contact holes are formed to form interconnects over the entire gate layer. The conventional method of making contact holes over the silicide gate layer is briefly described below. First, please refer to Figure 1A, Provide a Shi Xi base 100, including ...

560000560000

二,氧化層(gate 〇xide)102,形成於基底100表面上; :多晶石夕層104與一金屬矽化物層106所構成之一閘極導 電’”構形成於閘極氧化層1 0 2表面上,其中金屬石夕化物 可為石夕化鎮、矽化鈦或矽化鈷;形成於整個閘極導電結構 之侧壁上之一對氮化矽間隔物1〇8 ; 一對離子佈植區s、 D ’形成於具有氮化矽間隔物丨〇8之閘極導電結構兩側的基 底1〇〇表面上,是用來作為一源/汲極區(source/drain)。Second, an oxide layer (gate oxide) 102 is formed on the surface of the substrate 100; a gate conductive layer composed of a polycrystalline stone layer 104 and a metal silicide layer 106 is formed on the gate oxide layer 10 On the surface, the metal lithoxide can be Shixiuhua, titanium silicide, or cobalt silicide; a pair of silicon nitride spacers 108 formed on the sidewall of the entire gate conductive structure; a pair of ion implants The regions s, D 'are formed on the surface of the substrate 100 on both sides of the gate conductive structure with the silicon nitride spacer 08, and are used as a source / drain region.

接下來,順應性形成一蝕刻終止層丨丨〇於整個基底表 面上。此餘刻終止層11 〇的材質以氮化矽或氮氧化矽較 佳’其厚度約為250〜300 A。 接著’睛參見第1B圖,形成一内層介電層112於整個 基底表面。在整個基底表面沈積一種或一種以上的絕緣材 料’作為内層介電層(Inter Layer Dielectrics ; ILD)112,例如硼磷矽玻璃(BPSG)、四乙氧基矽烷氧化層 (TE0S)、旋塗式玻璃(S0G)等。例如,可在SiH4 , pij3 , 的環境下,使用常壓化學氣相沉積法(apcvd),形成蝴^ 6 矽玻璃,或者,可使用四乙氧基矽烷(TEOS ;Next, an etch stop layer is formed conformably on the entire surface of the substrate. At this moment, the material of the stop layer 11 is preferably silicon nitride or silicon oxynitride, and its thickness is about 250 to 300 A. Next, referring to FIG. 1B, an inner dielectric layer 112 is formed on the entire surface of the substrate. Deposit one or more insulating materials' on the entire substrate surface as inter layer dielectrics (ILD) 112, such as borophosphosilicate glass (BPSG), tetraethoxysilane oxide layer (TE0S), spin-on coating Glass (S0G) and so on. For example, under the environment of SiH4, pij3, using atmospheric pressure chemical vapor deposition (apcvd) to form butterfly silica glass, or tetraethoxysilane (TEOS;

tetraethyl - ortho - silicate)為反應氣體,利用低壓化學 氣相沉積法(L P C V D)以形成氧化石夕層。較佳者,内層介電 層112可藉由化學機械研磨法(chemical mechanical pol ishing ; CMP)進行平坦化處理,以得到一平坦的上表 面。 接著,請參見第1 C圖,例如利用旋塗法(s p i ^ coat ing)與適當微影顯像程序,形成具有預定圖案之—圖tetraethyl-ortho-silicate) is a reactive gas, and a low pressure chemical vapor deposition (L P C V D) method is used to form an oxide layer. Preferably, the inner dielectric layer 112 may be planarized by chemical mechanical polishing (CMP) to obtain a flat upper surface. Next, referring to FIG. 1C, for example, using a spin coating method (s p i ^ coat ing) and an appropriate lithography development program, a pattern having a predetermined pattern is formed.

560000 五、發明說明(3) 案化光阻114,覆蓋於内層介電層112表面,僅露出矽化鎳 層106上方預定形成接觸孔的區域。 接著’請參見第1D圖,以圖案化光阻114為罩幕,餘 刻内層介電層11 2 ’以形成一接觸孔I於石夕化錄閘極1 〇 6上 方之内層介電層112内,直到露出蝕刻終止層11〇表面為 止二内層介電層212之蝕刻可使用含有(:4ιγ8/(:2Η2Ι?2/Αγ之混 口氣體或疋含有Cs Fg / 〇2 / A r之混合氣體進行電聚姓刻。 最後’請參見第1E圖,去除圖案化光阻214後,再去 除部份接觸孔I内之蝕刻終止層1丨〇 ,便完成製作接觸外於 金屬矽化物上方。 、 然而 因此 常見的金屬矽化物有早期的矽化鎢(ws丨)與矽化鈦 (TiSD,而到了〇· 18 以下的製程則以矽化鈷(c〇Si)為 ,矽化鈷會有漏電流(current leakage)的問 ’石夕化錄(NiSix)的採用成了目前熱門研究課 然而,以矽化鎳為閘極層之材質在去除蝕刻終止層 時,由於鎳的活性極高,很容易與任何化學蝕刻劑例 如:〇2、F或C0發生反應,而形成氧化物之產物,嚴重降低 閘極層的導電率。 有鑑於此,為了解決上述問題,本發明主要目的在於 提供一種製作接觸孔於矽化鎳層上方之方法,以避免矽化 鎳與蝕刻劑發生反應。 發明概述: Ϊ^·Π8Β 〇503-8384TWF(N) : TSMC2002-0297 ; Felicia.ptd 第8頁 560000 五、發明說明(4) 本發明之目的在於提供第一種製作接觸孔於矽化鎳層 上方之方法,以避免蝕刻劑與矽化鎳發生反應,而降低矽 化鎳層之導電性。 為獲致上述之目的,本發明提出第一種製作接觸孔於 j化錄層上方之方法。此方法之主要待徵在於不一次完全 去除蝕刻終止^,而殘留一厚度極薄之蝕刻終止層,以物 理f生蝕刻方式去除殘留蝕刻終止層,蝕刻氣體便不會與矽 化鎳層發生反應。 ~ 此方法的步驟主要係包括·· 首先,提供一基底,其表面具有一矽化鎳層。接著, 形成一蝕刻終止層於上述矽化鎳層表面。接著,形成一内 層介電層於整個基底表面。接著,圖案化上述内層介電 層,以形成一接觸孔於上述矽化鎳層上方,露出上述蝕刻 終止層表面。接著,去除部份上述接觸孔内之蝕刻終止 層,僅留下厚度為50〜150A之一殘留蝕刻終止層。最後, 以物理性蝕刻法去除上述殘留蝕刻終止層,直到露出上述 碎化錄閘極表面為止。 根據本發明,於去除上述殘留蝕刻終止層之步驟中, 上述物理性#刻法可利用一例如為氬氣之惰性氣體電漿轟 擊上述殘留蝕刻終止層而進行。該步驟更可包括:利用含 量例如為卜10%之含氟氣體電漿以進行化學性蝕刻。 如前所述,上述蝕刻終止層之材質可為氮化矽或氮 化矽,而上述内層介電層之材質可為氧化石夕。 如前所述,圖案化上述内層介電層之方法可包括:首560000 V. Description of the invention (3) The patterned photoresist 114 covers the surface of the inner dielectric layer 112 and exposes only the area above the nickel silicide layer 106 where the contact hole is intended to be formed. Next, please refer to FIG. 1D, using the patterned photoresist 114 as a mask, and then etch the inner dielectric layer 11 2 ′ to form a contact hole I in the inner dielectric layer 112 above the Shixihua recording gate 106. Inside, until the surface of the etching stop layer 11 is exposed, the two inner dielectric layers 212 can be etched using a mixed gas containing (: 4ιγ8 / (: 2Η2Ι? 2 / Αγ) or a mixture containing Cs Fg / 〇 2 / A r The gas is electrically engraved. Finally, please refer to FIG. 1E. After removing the patterned photoresist 214, the etching stop layer 1 in the contact hole I is partially removed, and the contact outside the metal silicide is completed. However, common metal silicides include the early tungsten silicide (ws 丨) and titanium silicide (TiSD), and processes below 0.18 use cobalt silicide (coSi) as the cobalt silicide. The use of NiSix has become a popular research course. However, when nickel silicide is used as the gate layer material, the removal of the etching stop layer is very easy because of the high activity of nickel. Etchants such as: 02, F, or C0 react to form oxygen In view of this, in order to solve the above problems, the main purpose of the present invention is to provide a method for making a contact hole over a nickel silicide layer to avoid the reaction between the nickel silicide and the etchant. Summary of the invention: Ϊ ^ · Π8Β 〇503-8384TWF (N): TSMC2002-0297; Felicia.ptd page 8 560000 5. Description of the invention (4) The purpose of the present invention is to provide the first method for making contact holes above the nickel silicide layer. Method to avoid the reaction between the etchant and the nickel silicide and reduce the conductivity of the nickel silicide layer. In order to achieve the above-mentioned object, the present invention proposes the first method for making a contact hole over the j-silicon layer. The main purpose of this method The waiting point is that the etching stop layer is not completely removed at one time, and an extremely thin etch stop layer is left. The remaining etching stop layer is removed by physical etching, and the etching gas will not react with the nickel silicide layer. The steps mainly include: First, a substrate is provided with a nickel silicide layer on the surface. Next, an etching stop layer is formed on the surface of the nickel silicide layer. Next, an inner dielectric layer is formed on the entire surface of the substrate. Then, the inner dielectric layer is patterned to form a contact hole over the nickel silicide layer to expose the surface of the etching stop layer. Then, a part of the contact is removed. The etch stop layer in the hole leaves only one residual etch stop layer with a thickness of 50 to 150 A. Finally, the residual etch stop layer is removed by physical etching until the surface of the shattered gate is exposed. According to the present invention In the step of removing the residual etching stop layer, the physical #etching method may be performed by bombarding the residual etching stop layer with an inert gas plasma such as argon. This step may further include using a fluorine-containing gas plasma having a content of, for example, 10% for chemical etching. As mentioned above, the material of the etching stop layer may be silicon nitride or silicon nitride, and the material of the inner dielectric layer may be stone oxide. As mentioned above, the method for patterning the above-mentioned inner dielectric layer may include:

560000 五、發明說明(5) 先’形成一圖案化光阻,覆蓋於上述内層 露出上述矽化鎳層上方預定形成接觸孔的 上述圖案化光阻為罩幕,蝕刻上述内層介 接觸孔於上述矽化鎳閘極上方之内層介電 餘刻終止層表面為止。最後,去除上述圖 如前所述’去除上述圖案化光阻之步 伤上述接觸孔内之钱刻終止層之步驟之 為獲致上述之目的,本發明又提出第 於石夕化鎳層上方之方法。此方法新引用一 刻終止層與矽化鎳層之間,再利用第一方 刻去除蝕刻緩衝層,蝕刻氣體便不會與矽 應。 此方法的步驟主要係包括: 首先,提供一基底,其表面具有一石夕 依序形成一蝕刻緩衝層與一蝕刻終止層於 面。接著,形成一内層介電層於整個基底 案化上述内層介電層,以形成一接觸孔於 方’露出上述姓刻終止層表面,接著,去 之钱刻終止層。最後,以物理性蝕刻法去 之蝕刻緩衝層,直到露出上述矽化鎳閘極 如同本發明之第一方法,上述物理性 例如為氬氣之惰性氣體電漿轟擊上述蝕刻 該步驟更可包括:利用含量例如為〇· 1〜1〇Χ% 以進行化學性钱刻。 介電層 區域。 電層, 層内, 案化光 驟可施 前或之 二種製 姓刻緩 法中之 化鎳層 表面,僅 接著,以 以形成一 直到露出 阻。 行於去除 後。 作接觸孔 衝層於 物理性蝕 發生反 化鎳層。接著, 上述矽化鎳層表 表面。接著,圖 上述矽化鎳層上 除上述接觸孔内 除上述接觸孔内 表面為止β 蝕刻法可利用一 緩衝層而進行。 之含氟氣體電漿560000 V. Description of the invention (5) First, a patterned photoresist is formed, covering the inner layer to expose the above-mentioned patterned photoresist that is intended to form a contact hole over the nickel silicide layer as a mask, and the above-mentioned interlayer contact hole is etched on the silicide The inner dielectric layer above the nickel gate is etched until the surface of the termination layer is etched. Finally, as described above, the step of removing the step of removing the patterned photoresist from the step of damaging the money-engraved termination layer in the contact hole described above is to achieve the above purpose. method. This method newly quotes the moment between the stop layer and the nickel silicide layer, and then uses the first moment to remove the etching buffer layer, and the etching gas will not react with the silicon. The steps of this method mainly include: First, a substrate is provided, the surface of which has an etched layer and an etch buffer layer and an etch stop layer are sequentially formed on the surface. Next, an inner dielectric layer is formed on the entire substrate and the inner dielectric layer is patterned to form a contact hole at the square 'to expose the surface of the above-mentioned stop layer, and then the stop layer is etched. Finally, the etching buffer layer is removed by a physical etching method until the nickel silicide gate is exposed as in the first method of the present invention. The above physical etching, such as an inert gas plasma of argon, bombards the etching. This step may further include: The content is, for example, 0.1 to 10 ×% for chemical money engraving. Dielectric layer area. The electrical layer, the layer, and the photochemical step can be applied before or on the surface of the nickel layer in the method of engraving and slowing, and then only to form a resist until exposed. After removal. Used as a contact hole and punched in a physical corrosion layer to generate a nickel layer. Next, the surface of the nickel silicide layer is as described above. Next, the β-etching method on the nickel silicide layer except for the inside of the contact hole and the inside surface of the contact hole can be performed using a buffer layer. Fluorinated gas plasma

560000 五、發明說明(6) ^ 為使本發明之上述目的、特徵和優點能更明顯易懂, 下文特舉較佳實施例,並配合所附圖式,作詳細說明如 下: 實施例·· 實施例一 以下請參照第2A圖至第2H圖之製程剖面示意圖,詳細 說明根據本發明之一較佳實施例。 本實施例將以矽化鎳應用於閘極層上做為範例,以說 明本發明,然而,矽化鎳也可應用於汲極/源極 (source/drain),本發明之矽化鎳應用並不僅侷限於間極 層。 首先,請參照第2A圖,提供一矽基底200,包含有·· 一閘極氧化層(gate oxide) 202,形成於基底2〇〇表面上; 由一多晶矽層204與一矽化鎳層206所構成之一閘極導電結 構’形成於閘極氧化層2 〇 2表面上;形成於整個閘極導電 結構之側壁上之一對氮化矽間隔物2〇8 ; —對離子佈植區 S、D,形成於具有氮化矽間隔物2〇8之閘極導電結構兩侧 的基底200表面上,是用來作為一源/汲極區 (source/drain)。熟悉此技藝人士可藉由一多晶矽層與一 鎳金屬層以一快速熱處理程序(rapid thermal ; RTP)而相互反應形成矽化鎳層2〇6,即為習知之金屬矽化 物製程(sal icide),由於這些製程非關本發明之特徵,故 於此不多作贅述。560000 V. Description of the invention (6) ^ In order to make the above-mentioned objects, features, and advantages of the present invention more comprehensible, the following exemplifies the preferred embodiments and the accompanying drawings to make a detailed description as follows: Examples ·· First Embodiment Please refer to the cross-sectional schematic diagrams of the process in FIG. 2A to FIG. 2H to describe a preferred embodiment according to the present invention in detail. In this embodiment, nickel silicide is applied to the gate layer as an example to illustrate the present invention. However, nickel silicide can also be applied to the source / drain. The application of the nickel silicide of the present invention is not limited. In the interpolar layer. First, referring to FIG. 2A, a silicon substrate 200 is provided, which includes a gate oxide layer 202 formed on the surface of the substrate 200; a polycrystalline silicon layer 204 and a nickel silicide layer 206 One of the gate conductive structures is formed on the surface of the gate oxide layer 002; a pair of silicon nitride spacers 208 is formed on the side wall of the entire gate conductive structure;-the ion implantation area S, D, formed on the surface of the substrate 200 on both sides of the gate conductive structure with the silicon nitride spacer 208, is used as a source / drain region. Those skilled in this art can form a nickel silicide layer 206 by reacting a polycrystalline silicon layer and a nickel metal layer with a rapid thermal process (RTP), which is a conventional metal silicide process. Since these processes are not related to the features of the present invention, they are not described in detail here.

560000 五、發明說明(7) 接下來,請參見第2B圖,順應性形成一蝕刻終止層 2 1 0於整個基底表面上。此蝕刻終止層2 1 〇的材質以氮化矽 或氮氧化矽較佳,可利用低壓化學氣相沉積法(LPCVD), 以二氣矽烷(SiCl2H2)與氨氣(NH3)為反應氣體,在250〜400 °0:的操作溫度下沉積而成,其厚度約為250〜300A。 接著,請參見第2C圖,形成一内層介電層212於整個 基底表面。在整個基底表面沈積一種或一種以上的絕緣材 料’作為内層介電層(Inter Layer Dielectrics ; ILD)212,例如硼磷矽玻璃(BPSG)、四乙氧基矽烷氧化層 (TE0S)、旋塗式玻璃(SOG)等。例如,可在SiH4,PH3 , B2H6 的環境下,使用常壓化學氣相沉積法(apcvd),形成硼碟 石夕玻璃,或者,可使用四乙氧基矽烧(TE0S ; tetraethyl - ortho-silicate)為反應氣體,利用低壓化學 氣相>儿積法(LPCVD)以形成氧化碎層。較佳者,内層介電 層212可藉由化學機械研磨法(chemical mechanieal pol ishing ; CMP)進行平坦化處理,以得到一平坦的上表 面0 接著,請參見第2D圖,例如利用旋塗法(sp in coating)與適當微影顯像程序,形成具有預定圖案之一圖 案化光阻214,覆蓋於内層介電層212表面’僅露出矽化鎳 層206上方預定形成接觸孔的區域。 ^ 接著’請參見第2Ε圖’以圖案化光阻214為罩幕,餘 刻内層介電層212,以形成一接觸孔u於矽化鎳閘極2〇6上 方之内層介電層212内’直到露出|虫刻終止層表面為560000 V. Description of the invention (7) Next, referring to FIG. 2B, an etch stop layer 2 1 0 is formed conformably on the entire substrate surface. The material of this etch stop layer 2 1 0 is preferably silicon nitride or silicon oxynitride. Low pressure chemical vapor deposition (LPCVD) can be used. Two gas silanes (SiCl2H2) and ammonia (NH3) are used as reaction gases. 250 ~ 400 ° 0: Deposited at the operating temperature, its thickness is about 250 ~ 300A. Next, referring to FIG. 2C, an inner dielectric layer 212 is formed on the entire surface of the substrate. Deposit one or more insulating materials' on the entire substrate surface as inter layer dielectrics (ILD) 212, such as borophosphosilicate glass (BPSG), tetraethoxysilane oxide layer (TE0S), spin coating Glass (SOG), etc. For example, Boronite glass can be formed by using atmospheric pressure chemical vapor deposition (apcvd) under the environment of SiH4, PH3, B2H6, or tetraethyl-ortho-silicate can be used. ) Is a reaction gas, and a low-pressure chemical vapor phase < CVD (LPCVD) method is used to form an oxide fragment. Preferably, the inner dielectric layer 212 may be planarized by chemical mechanical polishing (CMP) to obtain a flat upper surface. Next, please refer to FIG. 2D, for example, using a spin coating method. (Sp in coating) and appropriate lithographic development procedures to form a patterned photoresist 214 having a predetermined pattern, covering the surface of the inner dielectric layer 212 ', exposing only the area where the contact hole is intended to be formed above the nickel silicide layer 206. ^ Then “see FIG. 2E” with the patterned photoresist 214 as a mask, and then the inner dielectric layer 212 is formed to form a contact hole u in the inner dielectric layer 212 above the nickel silicide gate 206 ' Until exposed

560000560000

11\2^〇 #/,j ^ f ^ ^C4F8/C2H2F2/Ar ^ >f, 接签枝 5 8/〇z/Ar之混合氣體進行電漿蝕刻。 除部份接觸Γ /τ見妨圖’去除圖案化光阻214後,再去 厚度:之餘刻終止層210,僅留下約1/3〜1/2原 化二t牛刻終止層⑽,例如:5°〜150入。去除圖案 層21 (1夕本步驟可施行於去除部份接觸孔内之蝕刻終止 (H Sf)彳沲驟,之刖或之後,不需加以限制。可利用硫酸 2 4 〇雙氧水(H2〇2)之混合溶液於溫度9〇〜13〇 t下去除 光阻214。部分蝕刻終止層21〇之蝕刻,採用具氮化 、氧化物高選擇比之蝕刻,可使為蝕刻 劑’以時間模式控制蝕刻終止點。 最後’請參見第2G圖,以物理性蝕刻法5〇〇,例如以 惰性氣體電漿轟擊,去除接觸孔丨〗内之殘留蝕刻終止層 10a 直到路出石夕化鎳閘極2 0表面為止,此為本發明之主 要特徵之一。並且,於物理性蝕刻5〇()過程中更可添加少 量化學性餘刻隨之進行,例如:利用含量約〇· i〜丨〇%之含氟 氣體電漿(NF3、HF)以進行蝕刻。如此一來,可完全打開 石夕化鎳層20 6上方之接觸孔開口 π,如第2H圖所示,但物 理性蝕刻5 0 0不會對矽化鎳層2 〇 6發生任何反應。其中,該 惰性氣體例如為氬氣(Ar),其流量約為100〜1 00000sccm。 電漿蝕刻溫度可介於〇〜300 °C,電漿蝕刻壓力可介於0.1〜 lOmTorr,電源功率約為1〇〇〜800W,偏壓功率約為1〇〇〜 800W。11 \ 2 ^ 〇 # /, j ^ f ^ ^ C4F8 / C2H2F2 / Ar ^ > f, grafting a mixed gas of 5 8 / 〇z / Ar for plasma etching. Except for a part of the contact Γ / τ, see the diagram 'Remove the patterned photoresist 214, and then go to thickness: in the rest of the termination layer 210, leaving only about 1/3 ~ 1/2 of the originalized t-trench stop layer ⑽ For example: 5 ° ~ 150 in. Removal of the pattern layer 21 (This step can be performed at the step of removing the etching termination (H Sf) in the contact hole, and after or after, there is no need to limit it. Sulfuric acid 2 4 0 hydrogen peroxide (H 2 0 2 ) Of the mixed solution to remove the photoresist 214 at a temperature of 90 to 130 t. The etching of the partial etching stop layer 21 is performed by etching with a high selectivity ratio of nitride and oxide, which can be used as an etchant to control in a time mode. Finally, please refer to Figure 2G, using physical etching method 500, such as inert gas plasma bombardment, to remove the remaining etching stop layer 10a in the contact hole 丨 until the nickel oxide gate 2 is formed. Up to 0 surface, this is one of the main features of the present invention. In addition, a small amount of chemical properties can be added during the physical etching process of 50 (). For example, the content is about 0 · i ~ 丨 〇% Fluoride gas plasma (NF3, HF) for etching. In this way, the contact hole opening π above the petrified nickel layer 20 6 can be completely opened, as shown in FIG. 2H, but the physical etching is 5 0 0 No reaction will occur to the nickel silicide layer 206. Among them, The inert gas is, for example, argon (Ar), and its flow rate is about 100 to 1 00000 sccm. The plasma etching temperature can be between 0 and 300 ° C, the plasma etching pressure can be between 0.1 and 10 mTorr, and the power of the power source is about 10%. 〇 ~ 800W, the bias power is about 100 ~ 800W.

560000 五、發明說明(9) 實施例二 以下請參照第3 A圖至第3 Η圖之製程剖面示意圖,詳細 說明根據本發明之另一較佳實施例。 同樣地,本實施例將以矽化鎳應用於閘極層上做為範 例,以說明本發明,然而,矽化鎳也可應用於汲極/源極 (source/drain),本發明之矽化鎳應用並不僅侷限於閘極 層。 首先,請參照第2A圖,提供一矽基底3〇〇,包含有: 一閘極氧化層(gate oxide)302,形成於基底300表面上 由一多晶矽層304與一矽化鎳層306所構成之一閘極導電結 構’形成於閘極氧化層302表面上;形成於整個閘極導電 結構之側壁上之一對氮化矽間隔物3〇8 ; —對離子佈植區 S、D,形成於具有氮化矽間隔物3〇8之閘極導電結構兩側 的基底300表面上,是用來作為一源/汲極區 (source/drain)。熟悉此技藝人士可藉由一多晶矽層與一 鎳金屬層以一快速熱處理程序(rapid thermal prc)CH ; RTP )而相互反應形成石夕化鎳層3〇 6,即為習知之金屬石夕化 物製程(sal icide),由於這些製程非關本發明之特徵,故 於此不多作贅述。 接下來,請參見第3B圖,順應性依序形成一蝕刻緩衝 層310與一钱刻終止層312於整個基底表面上。此蝕刻緩衝 層3 1 0為本發明之特徵之一,其材質較佳為氧化矽,可使 用四乙氧基石夕烧(TE0S ; tetraethy 1-orth〇-Siiicate)為 反應氣體,利用低壓化學氣相沉積法(LPCVD)或電聚辅助560000 V. Description of the invention (9) Second embodiment Please refer to the cross-sectional schematic diagrams of the processes in FIGS. 3A to 3 (a) to describe another preferred embodiment according to the present invention in detail. Similarly, in this embodiment, nickel silicide is applied to the gate layer as an example to illustrate the present invention. However, nickel silicide can also be applied to the source / drain. The nickel silicide of the present invention is applied It is not limited to the gate layer. First, referring to FIG. 2A, a silicon substrate 300 is provided, which includes: a gate oxide layer 302 formed on the surface of the substrate 300 and composed of a polycrystalline silicon layer 304 and a nickel silicide layer 306 A gate conductive structure is formed on the surface of the gate oxide layer 302; a pair of silicon nitride spacers 308 is formed on the entire side wall of the gate conductive structure;-the ion implantation regions S and D are formed on The surface of the substrate 300 on both sides of the gate conductive structure with the silicon nitride spacer 308 is used as a source / drain region. Those skilled in the art can react with each other to form a petrified nickel layer 306 by a rapid thermal process (rapt thermal prc) (CH; RTP) through a polycrystalline silicon layer and a nickel metal layer, which is a known metal petrified compound. Salicides. Since these processes are not related to the features of the present invention, they are not described in detail here. Next, referring to FIG. 3B, an etch buffer layer 310 and a etch stop layer 312 are sequentially formed on the entire substrate surface in compliance. The etching buffer layer 3 1 0 is one of the features of the present invention, and its material is preferably silicon oxide, and tetraethy 1-orth0-Siiicate can be used as a reaction gas, and a low-pressure chemical gas is used. Phase Deposition (LPCVD) or Electropolymerization

560000 五、發明說明(10) 氣相沉積法(PECVD)以形成氧化矽層,其厚度約為50〜150 A °氧化石夕餘刻緩衝層亦可利用高溫,例如:3〇〇〜5〇〇 〇c, 下通入一與SiH4氣體之混合氣體或一NH3、N2 *SH4之混 合氣體而反應形成。另外,蝕刻終止層3 1 2的材質以氮化 石夕或氣氧化矽較佳,可利用低壓化學氣相沉積法 (LPCVD),以二氣矽烷(SiCl2H2)與氨氣(〇3)為反應氣體, 在250〜40(TC的操作溫度下沉積而成,其厚度約為250〜3〇〇 A 〇 接著’請參見第3C圖,形成一内層介電層314於整個 基底表面。在整個基底表面沈積一種或一種以上的絕緣材 料’作為内層介電層(Inter Layer Dielectrics ; ILD)314,例如硼磷矽玻*(BPSG)、四乙氧基矽烷氧化層 (TEOS)、旋塗式玻璃(s〇G)等。例如,可在SiIj4,pjj3,^ 的環境下,使用常壓化學氣相沉積法(APCVD),形成硼磷 石夕玻璃,或者,可使用四乙氧基矽烷(TE0S ; tetraethyl-ortho-silicate)為反應氣體,利用低壓化學 氣相沉積法(LPCVD)以形成氧化矽層。較佳者,内層介電 層314可藉由化學機械研磨法(chemicai mechanical polishing ; CMP)進行平坦化處理,以得到一平坦的上表 面0 接著,請參見第3 D圖,例如利用旋塗法(s p i n coating)與適當微影顯像程序,形成具有預定圖案之一圖 案化光阻316,覆蓋於内層介電層314表面,僅露出矽化鎳 層306上方預定形成接觸孔的區域。560000 V. Description of the invention (10) Vapor deposition (PECVD) to form a silicon oxide layer, the thickness of which is about 50 ~ 150 A ° Oxidized stone, and the buffer layer can also use high temperature, for example: 300 ~ 5〇 〇c, a mixed gas with SiH4 gas or a mixed gas of NH3, N2 * SH4 is reacted to form. In addition, the material of the etch stop layer 3 1 2 is preferably nitride or silicon oxide. Low pressure chemical vapor deposition (LPCVD) can be used, and two gas silane (SiCl2H2) and ammonia gas (〇3) are used as reaction gases. It is deposited at an operating temperature of 250 ~ 40 ° C and has a thickness of about 250 ~ 300A. Then, 'see FIG. 3C, an inner dielectric layer 314 is formed on the entire substrate surface. On the entire substrate surface Deposit one or more insulating materials' as inter layer dielectrics (ILD) 314, such as borophosphosilicate glass (BPSG), tetraethoxysilane oxide layer (TEOS), spin-on glass (s 〇G), etc. For example, in the environment of SiIj4, pjj3, ^, atmospheric pressure chemical vapor deposition (APCVD) method can be used to form borophosphite glass, or tetraethoxysilane (TE0S; tetraethyl -ortho-silicate) is a reactive gas, and a low-pressure chemical vapor deposition (LPCVD) method is used to form a silicon oxide layer. Preferably, the inner dielectric layer 314 can be planarized by chemical mechanical polishing (CMP). Processing to get a flat upper table Surface 0 Next, referring to FIG. 3D, for example, a patterned photoresist 316 having a predetermined pattern is formed by using a spin coating method and an appropriate lithography development program to cover the surface of the inner dielectric layer 314. A region where a contact hole is intended to be formed above the nickel silicide layer 306 is exposed.

0503-8384TWF(N) : TSMC2002-0297 ; Felicia.ptd 560000 五、發明說明(11) 接著,請參見第3E圖,以圖案化光阻316為罩幕,蝕 刻内層介電層314,以形成一接觸flTTTi μ办风按觸札111於矽化鎳閘極3〇e 上方之内層介電層314内,直到露出#刻終止層312表 止二内層介電層314之蝕刻可使用含有C4F8/C2H2F2/Ar之混 合氣體或是含有QFjO^Ar之混合氣體進行電漿蝕刻。 接著’請參見第3F圖,去除圖案化光阻316後,再去 除接觸孔ill内之蝕刻終止層312。去除圖案化光阻316之 步驟可施行於去除部份接觸孔内之蝕刻終止層3丨2之步驟 之前或之後,不需加以限制。可利用硫酸(H2S〇4 )與雙氧丨水 (H2〇2)之混合溶液於溫度90〜130〇c下去除圓案化光阻214。 去除終止層21 0之蝕刻,採用具氮化物對氧化物高選擇比 之蝕刻,可使用Clh/OVAr為蝕刻劑,直到露出氧化物蝕 刻緩衝層為止。 最後,請參見第3G圖,以物理性蝕刻法6〇〇,例如以 惰性氣體電漿轟擊,去除接觸孔11 I内之蝕刻緩衝層3丨〇, 直到露出石夕化鎳閘極3 0 6表面為止,此為本發明之主要特 徵之一。遠情性氣體例如為氬氣(A r )。並且,於物理性飯 刻6 0 0過程中更可添加少量化學性蝕刻隨之進行,例如:利 用含量約0·;!〜10%之含氟氣體電漿(Νρ3、HF)以進行蝕刻。 如此一來,可完全打開矽化鎳層30 6上方之接觸孔開口 111,如第3H圖所示,但物理性蝕刻6〇 〇不會對矽化鎳層 306發生任何反應。其中,該惰性氣體例如為氬氣(Ar), 其流量約為1000〜lOOOOOsccm,電漿姓刻溫度可介於〇〜300 °C,電漿蝕刻壓力可介於〇· 1〜i〇mT〇rr,電源功率約為0503-8384TWF (N): TSMC2002-0297; Felicia.ptd 560000 V. Description of the invention (11) Next, referring to FIG. 3E, the patterned photoresist 316 is used as a mask, and the inner dielectric layer 314 is etched to form a Touch flTTTi μ to wind the contact 111 in the inner dielectric layer 314 above the nickel silicide gate 30e, until the #etch stop layer 312 is used to etch the second inner dielectric layer 314. Etching using C4F8 / C2H2F2 / Plasma etching is performed on a mixed gas of Ar or a mixed gas containing QFjO ^ Ar. Next, referring to FIG. 3F, after removing the patterned photoresist 316, the etching stop layer 312 in the contact hole ill is removed. The step of removing the patterned photoresist 316 may be performed before or after the step of removing the etch stop layer 3 丨 2 in a part of the contact hole, without limitation. The photoresist 214 can be removed by using a mixed solution of sulfuric acid (H2S04) and hydrogen peroxide (H2O2) at a temperature of 90 to 130 ° C. The etching of the stop layer 210 is removed, and etching with a high selectivity ratio of the nitride to the oxide can be used. Clh / OVAr can be used as an etchant until the oxide etch buffer layer is exposed. Finally, referring to FIG. 3G, the physical etching method 600, such as bombardment with an inert gas plasma, is used to remove the etching buffer layer 3 in the contact hole 11 I until the nickel oxide gate 3 06 is exposed. On the surface, this is one of the main features of the present invention. The far-reaching gas is, for example, argon (A r). In addition, a small amount of chemical etching can be performed during the physical cooking process of 600, for example, using a fluorine-containing gas plasma (Nρ3, HF) with a content of about 0 ·! ~ 10% for etching. In this way, the contact hole opening 111 above the nickel silicide layer 306 can be completely opened, as shown in FIG. 3H, but the physical etching of 600 will not cause any reaction to the nickel silicide layer 306. The inert gas is, for example, argon (Ar), and its flow rate is about 1000 to 1000 sccm. The plasma etching temperature can be between 0 and 300 ° C, and the plasma etching pressure can be between 0.1 and 10 mT. rr, power supply is about

0503-8384TW(N) ; TSMC2002-0297 ; Felicia.ptd0503-8384TW (N); TSMC2002-0297; Felicia.ptd

560000 五、發明說明(12) 100〜800W,偏壓功率約為100〜800W。 本發明雖以較佳貫施例揭露如上, 本發明的範圍,任何熟習此項技藝者, 精神和範圍内,當可做各種的更動與潤 保護範圍當視後附之申請專利範圍所界 在不脫離本發明之 飾,因此本發明之 定者為準。560000 V. Description of the invention (12) 100 ~ 800W, the bias power is about 100 ~ 800W. Although the present invention is disclosed in the preferred embodiments above, the scope of the present invention is within the spirit and scope of any person skilled in the art, and can be modified and protected within the scope of the patent. Without departing from the decoration of the present invention, the subject of the present invention shall prevail.

0503-8384TWF(N) *· TSMC2002-0297 · Felicia.ptd 第17頁 560000 圖式簡單說明 第1 A圖至第1 E圖係顯示習知形成接觸孔於金屬矽化物 閘極層上方之製程剖面示意圖。 第2 A圖至第2 Η圖係顯示根據本發明之一較佳實施例之 製程剖面示意圖。 第3 Α圖至第3 Η圖係顯示根據本發明之另一較佳實施例 之製程剖面示意圖。 符號說明: 100、200、300〜半導體基底; S〜源極; D〜汲極; 102、202、302〜閘極氧化層; 104、204、304〜多晶矽; 106、206、306〜金屬石夕化物; I 0 8、2 0 8、3 0 8〜間隔物; II 0、2 1 0、3 1 2〜餘刻終止層; 3 1 0〜餘刻緩衝層; 11 0 a、21 0 b、3 1 2 a〜圖案化蝕刻終止層; 210a〜殘留蝕刻終止層; 112、212、314〜内層介電層; 112a、212a、314a〜圖案化内層介電層; 114、214、316〜圖案化光阻; I、11、111〜接觸孔;3 1 〇 a〜圖案化蝕刻緩衝層; 5 0 0、6 0 0〜物理性钱刻程序。0503-8384TWF (N) * · TSMC2002-0297 · Felicia.ptd page 17 560000 Figures briefly explain Figures 1 A to 1 E are the cross-sections of the conventional process for forming contact holes above the metal silicide gate layer schematic diagram. 2A to 2D are schematic cross-sectional views of a process according to a preferred embodiment of the present invention. Figures 3A to 3D are schematic cross-sectional views showing a process according to another preferred embodiment of the present invention. Explanation of symbols: 100, 200, 300 ~ semiconductor substrate; S ~ source; D ~ drain; 102, 202, 302 ~ gate oxide layer; 104, 204, 304 ~ polycrystalline silicon; 106, 206, 306 ~ metal stone Compounds; I 0 8, 2 0 8, 3 0 8 ~ spacers; II 0, 2 1 0, 3 1 2 ~ remaining stop layer; 3 1 0 ~ remaining buffer layer; 11 0 a, 21 0 b, 3 1 2 a ~ patterned etch stop layer; 210a ~ residual etch stop layer; 112, 212, 314 ~ inner dielectric layer; 112a, 212a, 314a ~ patterned inner dielectric layer; 114, 214, 316 ~ patterned Photoresist; I, 11, 111 ~ contact hole; 3 10a ~ patterned etching buffer layer; 5 0, 6 0 0 ~ physical money engraving procedure.

0503-8384TWF(N) i TSMC2002-0297 : Felicia.ptd0503-8384TWF (N) i TSMC2002-0297: Felicia.ptd

Claims (1)

560000560000 1二一種製作接觸孔於矽化鎳層上方之方法,包括: 提供一基底’其表面具有一矽化鎳層; 依序形成一餘刻緩衝層與一蝕刻終止層於上述矽化錄 層表面; ' 形成一内層介電層於整個基底表面; 圖案化上述内層介電層,以形成一接觸孔於上述矽化 鎳層上方,露出上述蝕刻終止層表面; 去除上述接觸孔内之蝕刻終止層;以及 以物理性蝕刻法去除上述接觸孔内之蝕刻緩衝層,直 到露出上述矽化鎳閘極表面為止。 2 ·如申請專利範圍第1項所述之製作接觸孔於矽化鎳 層上方之方法,其中上述蝕刻緩衝層之材質包括氧化矽。 3 ·如申請專利範圍第1項所述之製作接觸孔於矽化鎳 層上方之方法,其中上述蝕刻緩衝層之厚度為50〜150A。 4 ·如申請專利範圍第2項所述之製作接觸孔於矽化鎳 層上方之方法,其中上述蝕刻緩衝層係利用化學氣相沉積 法(chemical vapor deposition)形成。 5 ·如申請專利範圍第2項所述之製作接觸孔於矽化鎳 層上方之方法,其中上述I虫刻緩衝層係利用高溫下通入一 10氣體與上述係矽化鎳反應而形成。 6 ·如申請專利範圍第1項所述之製作接觸孔於矽化鎳 層上方之方法,其中上述#刻終止層之材質包括氮化矽或 氮氧化矽。 7·如申請專利範圍第1項所述之製作接觸孔於矽化鎳A method for making a contact hole over a nickel silicide layer, comprising: providing a substrate having a nickel silicide layer on a surface thereof; and sequentially forming a buffer layer and an etching stop layer on the surface of the silicide layer in sequence; Forming an inner dielectric layer on the entire substrate surface; patterning the inner dielectric layer to form a contact hole over the nickel silicide layer to expose the surface of the etch stop layer; removing the etch stop layer in the contact hole; and The physical etching method removes the etching buffer layer in the contact hole until the surface of the nickel silicide gate is exposed. 2. The method for making a contact hole over a nickel silicide layer as described in item 1 of the scope of the patent application, wherein the material of the etching buffer layer includes silicon oxide. 3. The method for making a contact hole over a nickel silicide layer as described in item 1 of the scope of the patent application, wherein the thickness of the etching buffer layer is 50 to 150A. 4. The method for making a contact hole over a nickel silicide layer as described in item 2 of the scope of the patent application, wherein the above-mentioned etching buffer layer is formed by a chemical vapor deposition method. 5. The method for making a contact hole above the nickel silicide layer as described in item 2 of the scope of the patent application, wherein the above-mentioned insect-etching buffer layer is formed by injecting a 10 gas at high temperature to react with the above-mentioned nickel silicide. 6. The method for making a contact hole over a nickel silicide layer as described in item 1 of the scope of the patent application, wherein the material of the #etch stop layer includes silicon nitride or silicon oxynitride. 7. Make contact holes in nickel silicide as described in item 1 of the scope of patent application 560000 、申請專利範圍 層上方之方法,其中上述内層介電層之材質包括氧化矽。 8 ·如申晴專利範圍第1項所述之製作接觸孔於矽化鎳 f ^方之方法,其中上述物理性蝕刻法係以一惰性氣體電 漿轟擊上述餘刻緩衝層。 •如申清專利範圍第8項所述之製作接觸孔於石夕化鎳 層上方之方法,其中上述惰性氣體電漿包括氬氣。 1 0 ·如申請專利範圍第1項所述之製作接觸孔於矽化鎳 層上方之方法,其中去除上述接觸孔内之蝕刻緩衝層之步 驟更包括:利用少量含氟氣體之電漿進行化學性蝕刻。1 11·如申請專利範圍第1〇項所述之製作接觸孔於矽化 鎳層上方之方法,其中上述電漿含量係1〜10%。 1 2 ·如申請專利範圍第1項所述之製作接觸孔於矽化鎳 層上方之方法,其中圖案化上述内層介電層之方法包括: 形成一圖案化光阻,覆蓋於上述内層介電層表面,僅 露出上述矽化鎳層上方預定形成接觸孔的區域; 以上述圖案化光阻為罩幕,蝕刻上述内層介電層,以 形成一接觸孔於上述矽化鎳閘極上方之内層介電層内,直 到露出蝕刻終止層表面為止;以及 去除上述圖案化光阻。 1 3 ·如申請專利範圍第1 2項所述之製作接觸孔於矽化 鎳層上方之方法,其中去除上述圖案化光阻之步驟係施行 於去除上述接觸孔内之蝕刻終止層之步驟之前。 1 4 ·如申請專利範圍第1 2項所述之製作接觸孔於矽化 鎳層上方之方法,其中去除上述圖案化光阻之步驟係施行560,000 patent application method over the layer, wherein the material of the above-mentioned inner dielectric layer includes silicon oxide. 8. The method for making contact holes in nickel silicide as described in item 1 of Shen Qing's patent scope, wherein the physical etching method is to bombard the remaining buffer layer with an inert gas plasma. • The method of making contact holes above the nickel layer of Shixihua as described in item 8 of the patent claim, wherein the above inert gas plasma includes argon. 1 · The method for making a contact hole over a nickel silicide layer as described in item 1 of the scope of the patent application, wherein the step of removing the etching buffer layer in the contact hole further includes: using a small amount of fluorine-containing plasma for chemical properties Etching. 1 11. The method for making a contact hole over a nickel silicide layer as described in item 10 of the scope of the patent application, wherein the above-mentioned plasma content is 1 to 10%. 1 2 · The method for making a contact hole over a nickel silicide layer as described in item 1 of the scope of the patent application, wherein the method of patterning the above-mentioned inner dielectric layer includes: forming a patterned photoresist covering the above-mentioned inner dielectric layer On the surface, only the area where the contact hole is intended to be formed above the nickel silicide layer is exposed; using the patterned photoresist as a mask, the inner dielectric layer is etched to form a contact hole on the inner dielectric layer above the nickel silicide gate. Inside until the surface of the etch stop layer is exposed; and removing the patterned photoresist. 1 3 · The method for making a contact hole over a nickel silicide layer as described in item 12 of the scope of the patent application, wherein the step of removing the patterned photoresist is performed before the step of removing the etch stop layer in the contact hole. 1 4 · The method for making a contact hole over a silicided nickel layer as described in item 12 of the scope of the patent application, wherein the step of removing the patterned photoresist is performed 0503-8384TWF(N) ; TSMC2002-0297 ; Felicia.ptd 第 20 頁 5600000503-8384TWF (N); TSMC2002-0297; Felicia.ptd page 20 560000 於去除上述接觸孔内之蝕刻終止層之步驟之後。 \5. —種製作接觸孔於矽化鎳層上方之方法,包括·· 提供一基底,其表面具有一矽化鎳層; 形成一蝕刻終止層於上述矽化鎳層表面; 形成一内層介電層於整個基底表面; 圖案化上述内層介電層,以形成一接觸孔於上述矽化 錄層上方’露出上述飯刻終止層表面; 去除部份上述接觸孔内之蝕刻終止層,僅留下 1/2〜1/3厚度之一殘留蝕刻終止層;以及 以物理性姓刻法去除上述殘留蝕刻終止層,直到露出 上述矽化鎳閘極表面為止。 1 6·如申請專利範圍第1 5項所述之製作接觸孔於矽化 錄層上方之方法,其中上述蝕刻終止層之材質包括氮化矽 或氮氧化石夕。 1 7·如申請專利範圍第1 5項所述之製作接觸孔於矽化 錄層上方之方法’其中上述内層介電層之材質包括氧化 句〇 18 ·如申請專利範圍第丨5項所述之製作接觸孔於矽化 錄層上方之方法,其中上述物理性触刻法係以一惰性氣體 電漿轟擊上述殘留蝕刻終止層。 1 9 ·如申請專利範圍第丨8項所述之製作接觸孔於矽化 鎳層上方之方法,其中上述惰性氣體電漿包括氩氣。 2 0 ·如申請專利範圍第丨5項所述之製作接觸孔於矽化 鎳層上方之方法,其中去除上述殘留钱刻終止層之步驟更After the step of removing the etch stop layer in the contact hole. \ 5. A method for making a contact hole over a nickel silicide layer, including: providing a substrate with a nickel silicide layer on the surface; forming an etch stop layer on the surface of the nickel silicide layer; forming an inner dielectric layer on The entire substrate surface; patterning the inner dielectric layer to form a contact hole above the silicidation layer to 'expose the surface of the rice-cut stop layer; removing part of the etching stop layer in the contact hole, leaving only 1/2 A remaining etching stop layer with a thickness of ~ 1/3; and removing the remaining etching stop layer by a physical method until the surface of the nickel silicide gate is exposed. 16. The method for making a contact hole over a silicide layer as described in item 15 of the scope of the patent application, wherein the material of the above-mentioned etching stop layer includes silicon nitride or oxynitride. 1 7 · The method of making contact holes on the silicidation layer as described in item 15 of the scope of patent application ', wherein the material of the above-mentioned inner dielectric layer includes an oxidation sentence. 18 · As described in item 5 of the scope of patent application A method for making a contact hole over a silicide layer, wherein the physical etch method is to bombard the residual etching stop layer with an inert gas plasma. 19 · The method for making a contact hole over a nickel silicide layer as described in item 8 of the patent application scope, wherein the inert gas plasma includes argon. 2 0. The method for making a contact hole above a silicided nickel layer as described in item 5 of the scope of the patent application, wherein the step of removing the above-mentioned residual money stop layer is more 560000 六、申請專利範圍 包括··利用少量含氟氣體之電漿進行化學性蝕刻。 2 1 ·如申請專利範圍第2〇項所述之製作接觸孔於矽化 鎳層上方之方法,其中上述電漿含量係〇·卜10。/〇。 2 2 ·如申請專利範圍第1 5項所述之製作接觸孔於矽化 錄層上方之方法,其中圖案化上述内層介電層之方法包 括: 形成一圖案化光阻,覆蓋於上述内層介電層表面,僅 露出上述矽化鎳層上方預定形成接觸孔的區域,· 以上述圖案化光阻為罩幕,蚀刻上述内層介電層,以 形成一接觸孔於上述矽化鎳閘極上方之内層介電層内,直 到露出钱刻終止層表面為止;以及 去除上述圖案化光阻。 2 3 ·如申請專利範圍第1 5項所述之製作接觸孔於矽化 鎮層上方之方法,其中去除上述圖案化光阻之步驟係施行 於去除部份上述接觸孔内之蝕刻終止層之步驟之前。 24·如申請專利範圍第1 5項所述之製作接觸孔於矽化 鎳層上方之方法,其中去除上述圖案化光阻之步驟係施行 於去除部份上述接觸孔内之蝕刻終止層之步驟之後。 25· —種製作接觸孔於矽化鎳層上方之方法,包括: 提供一基底,其表面具有一矽化鎳層; 形成一蝕刻終止層於上述矽化鎳層表面; 形成一内層介電層於整個基底表面; 圖案化上述内層介電層,以形成一接觸孔於上述矽化 鎳層上方,露出上述蝕刻終止層表面; 第22頁 560000560000 6. Scope of patent application Including ... Chemical etching using a small amount of fluorine-containing gas plasma. 2 1 · The method for making a contact hole over a nickel silicide layer as described in item 20 of the scope of the patent application, wherein the above-mentioned plasma content is 0 · 10. / 〇. 2 2 · The method for making a contact hole above a silicide layer as described in item 15 of the scope of patent application, wherein the method of patterning the above-mentioned inner dielectric layer includes: forming a patterned photoresist to cover the above-mentioned inner layer dielectric The surface of the layer only exposes the area where the contact hole is planned to be formed above the nickel silicide layer. Using the patterned photoresist as a mask, the inner dielectric layer is etched to form a contact hole in the inner layer dielectric above the nickel silicide gate. Inside the electrical layer until the surface of the coin stop layer is exposed; and removing the patterned photoresist. 2 3 · The method for making a contact hole above a silicified ballast layer as described in item 15 of the scope of the patent application, wherein the step of removing the patterned photoresist is a step of removing an etch stop layer in a part of the contact hole. prior to. 24. The method for making a contact hole over a nickel silicide layer as described in item 15 of the scope of the patent application, wherein the step of removing the patterned photoresist is performed after the step of removing an etch stop layer in part of the contact hole. . 25 · —A method for making a contact hole over a nickel silicide layer, comprising: providing a substrate having a nickel silicide layer on a surface thereof; forming an etch stop layer on the surface of the nickel silicide layer; forming an inner dielectric layer on the entire substrate Surface; patterning the inner dielectric layer to form a contact hole over the nickel silicide layer to expose the surface of the etch stop layer; page 22 560000 50〜1st 份ί述接觸孔内之蝕刻終止層,僅留下厚度為 0 A之一殘留蝕刻終止層;以及 上、^ ί,性#刻法去除上述殘留#刻終止層,直到露出 u夕化鎳閘極表面為止。 26·如申請專利範圍第25項所述之製作接觸孔於矽化 二=亡方之方法,其中上述蝕刻終止層之材質包括氮化石夕 或氮氧化矽。 2 7 ·如申睛專利範圍第2 5項所述之製作接觸孔於矽化 錄層上方之方法,其中上述内層介電層之材質包括氧化 梦。 28·如申請專利範圍第25項所述之製作接觸孔於矽化 錄層上方之方法,其中上述物理性蝕刻法係以一惰性氣體 電漿轟擊上述殘留蝕刻終止層。 29·如申請專利範圍第28項所述之製作接觸孔於矽化 鎳層上方之方法,其中上述惰性氣體電漿包括氬氣。 3 0 ·如申請專利範圍第2 5項所述之製作接觸孔於矽化 鎳層上方之方法,其中去除上述殘留蝕刻終止層之步驟更 包括:利用少量含氟氣體之電漿進行化學性蝕刻。 3 1 ·如申請專利範圍第3 〇項所述之製作接觸孔於石夕化 鎳層上方之方法,其中上述電蒙含量係0 · 1〜1 〇 %。 3 2 ·如申請專利範圍第2 5項所述之製作接觸孔於石夕化 鎳層上方之方法,其中圖案化上述内層介電層之方法包 括: 形成一圖案化光阻,覆蓋於上述内層介電層表面,僅50 ~ 1st copies of the etch stop layer in the contact hole, leaving only one remaining etch stop layer with a thickness of 0 A; and ^ ί , 性 # 刻 法 Remove the above residual # etch stop layer until exposed Up to the nickel gate surface. 26. The method for making contact holes in silicidation II = dead side as described in item 25 of the scope of the patent application, wherein the material of the above-mentioned etching stop layer includes nitride nitride or silicon oxynitride. 27. The method for making a contact hole over a silicide layer as described in item 25 of the Shenjing patent scope, wherein the material of the inner dielectric layer includes an oxide dream. 28. The method of making a contact hole over a silicide layer as described in item 25 of the scope of the patent application, wherein the physical etching method is to bombard the residual etching stop layer with an inert gas plasma. 29. The method for making a contact hole over a nickel silicide layer as described in item 28 of the scope of the patent application, wherein the inert gas plasma includes argon. 30. The method for making a contact hole over a nickel silicide layer as described in item 25 of the scope of the patent application, wherein the step of removing the residual etching stop layer further includes: chemically etching a plasma containing a small amount of fluorine-containing gas. 3 1 · The method for making contact holes above the stone nickel layer as described in item 30 of the scope of the patent application, wherein the above-mentioned electromagnet content is from 0.1 to 10%. 3 2 · The method for making a contact hole above a nickel oxide layer as described in item 25 of the scope of patent application, wherein the method of patterning the above-mentioned inner dielectric layer includes: forming a patterned photoresist to cover the above-mentioned inner layer Dielectric layer surface, only 0503-8384TWF(N) ; TSMC2002-0297 ; Felicia.ptd 第23頁 5600000503-8384TWF (N); TSMC2002-0297; Felicia.ptd page 23 560000 露出上述發化錄 以上述圖崇彳I止方預定形成接觸孔的區域; 形成一接觸孔於上沭T為罩幕,蝕刻上述内層介電層,以 到露出餘刻炊止芦#化鎳閘極上方之内層介電層内,直 4〜止層表面為止;以及 去除上述圖案化光阻。 錄μ +如申凊專利範圍第2 5項所述之製作接觸孔於矽化 ^ . 万^ ’其中去除上述圖案化光阻之步驟係施行 ;'、部份上述接觸孔内之蝕刻終止層之步驟之前。 3 4 ·如申請專利範圍第2 5項所述之製作接觸孔於矽作 錄層上方之方法,其中去除上述圖案化光阻之步驟係施行 於去除部份上述接觸孔内之蝕刻終止層之步驟之後。Expose the area where the contact hole is scheduled to form the contact hole in the figure above; form a contact hole in the upper hole T as a cover, and etch the inner dielectric layer to expose the remaining stopper. In the inner dielectric layer above the gate, it is 4 to the surface of the stop layer; and the patterned photoresist is removed. Record μ + make the contact hole in silicidation as described in item 25 of the patent application scope. ^ ^ 'The step of removing the patterned photoresist is performed;', part of the etching stop layer in the contact hole Before the steps. 3 4 · The method for making contact holes above the silicon recording layer as described in item 25 of the scope of the patent application, wherein the step of removing the patterned photoresist is performed by removing part of the etching stop layer in the contact holes. After the steps. 0503-8384TWF(N) ; TSMC2002-0297 : Felicia.ptd 第24頁0503-8384TWF (N); TSMC2002-0297: Felicia.ptd page 24
TW91125223A 2002-10-25 2002-10-25 Method to fabricate contact via on the NiSix layer TW560000B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW91125223A TW560000B (en) 2002-10-25 2002-10-25 Method to fabricate contact via on the NiSix layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW91125223A TW560000B (en) 2002-10-25 2002-10-25 Method to fabricate contact via on the NiSix layer

Publications (1)

Publication Number Publication Date
TW560000B true TW560000B (en) 2003-11-01

Family

ID=32322943

Family Applications (1)

Application Number Title Priority Date Filing Date
TW91125223A TW560000B (en) 2002-10-25 2002-10-25 Method to fabricate contact via on the NiSix layer

Country Status (1)

Country Link
TW (1) TW560000B (en)

Similar Documents

Publication Publication Date Title
JP4854245B2 (en) Manufacturing method of semiconductor device
US6861369B2 (en) Method of forming silicidation blocking layer
JPH11233451A (en) Cvd based process for manufacturing polymetal gate electrode with stable low resistance
US6884736B2 (en) Method of forming contact plug on silicide structure
JPH10173179A (en) Semiconductor device and its manufacture
EP1952433B1 (en) Method of manufacturing SEMICONDUCTOR TRANSISTORS WITH CONTACT HOLES CLOSE TO GATES
TWI253691B (en) Plasma treatment and etching process for ultra-thin dielectric films
WO2005083795A8 (en) Method for manufacturing semiconductor device and plasma oxidation method
US6284653B1 (en) Method of selectively forming a barrier layer from a directionally deposited metal layer
JP3539491B2 (en) Method for manufacturing semiconductor device
JPWO2008117430A1 (en) Semiconductor device manufacturing method, semiconductor device
TW466686B (en) Method for forming silicide
TW560000B (en) Method to fabricate contact via on the NiSix layer
US6184117B1 (en) Method for reducing lateral silicide formation for salicide process by additional capping layer above gate
JP2008192661A (en) Method for manufacturing semiconductor device
JP2007184420A (en) Manufacturing method of semiconductor device
JP2001210606A (en) Method of manufacturing semiconductor device
JP2002217414A (en) Semiconductor device and its manufacturing method
TW573333B (en) Semiconductor device and manufacturing method thereof
JPH023244A (en) Manufacture of semiconductor device
JP3626115B2 (en) Method for forming CVD titanium film containing titanium compound
TW455948B (en) Process for etching an insulating layer and forming a semiconductor device
JP2009516910A (en) Method for forming a semiconductor device having a salicide layer
US7575991B2 (en) Removing a high-k gate dielectric
KR100546059B1 (en) Method for manufacturing semiconductor

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent