TW556285B - Etching method - Google Patents

Etching method Download PDF

Info

Publication number
TW556285B
TW556285B TW91119442A TW91119442A TW556285B TW 556285 B TW556285 B TW 556285B TW 91119442 A TW91119442 A TW 91119442A TW 91119442 A TW91119442 A TW 91119442A TW 556285 B TW556285 B TW 556285B
Authority
TW
Taiwan
Prior art keywords
gas
etching
film
patent application
item
Prior art date
Application number
TW91119442A
Other languages
Chinese (zh)
Inventor
Kenji Adachi
Hiroharu Ito
Toshihiro Miura
Fumihiko Higuchi
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2001274158A external-priority patent/JP2003086568A/en
Priority claimed from JP2002000123A external-priority patent/JP2003203901A/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TW556285B publication Critical patent/TW556285B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

An etching method, in which a film structure having different types of silicon-based film is etched, is characterized in that the film structure is etched by the use of one type of mixed gas. As an embodiment, an etching method, in which a laminated film containing at least one silicon oxide film and at least one silicon nitride film formed on an article to be treated arranged in a treating chamber (104) is etched, is characterized in that the etching is carried out through introducing, as a treating gas, a mixed gas comprising a CF gas, a CHF gas, an oxygen gas and an inert gas into a gas-tight treating chamber (104).

Description

556285 A7 B7 五、發明説明(i ) 〔技術領域〕 、 本發明係有關蝕刻方法,尤其有關同時蝕刻矽系之相 異種類的蝕刻方法。 〔背景技術〕 I C或L S I等之半導體裝置係要求著更複雜之構造 ,且予以形成矽系之不同種類的膜成複合性於半導體晶圓 等之被處理體,並對其進行蝕刻處理之狀況也多。例如當 要形成D R A Μ (動態R A Μ )等於矽基板上時,爲了擴 大其電容器之有效面積等爲其目的,而將多晶矽等之多晶 矽膜與氧化矽膜成複合性地形成於矽基板9上之情事(如 圖1 1〜圖1 3 )。另外,作爲複合膜有疊層例如氧化矽 膜和氮化矽膜的複合膜(如圖1 0 )。 以往(先前),當要鈾刻例如圖1 0所示之包括有氧 化矽膜層3及7和氮化矽膜層5的疊層膜時,乃各別由不 同之處理氣體(將後述之第1處理氣體,第2處理氣體) 來實施蝕刻。例如預先圖型形成(製作布線圖型)於光致 抗蝕刻層1 ,而由各向異性電漿蝕刻,首先對於氮化矽膜 層5及光致抗蝕劑層1以使用具有選擇性之反應性氣體( 第1處理氣體)來實施僅蝕刻氧化矽膜層3而形成孔1 1 的第1過程(製程)〔參照圖1 0 ( a )〕。 接著,使用惰氣來進行用於去除由第1過程所堆積( 沈積)於氮化矽膜層5上之異物的第2過程,進而使用可 蝕刻氮化矽膜層5之反應性氣體(第2處理氣體)來蝕刻 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) _ϋϋ τι - II ^ - - —ϋ I- i I ϋ (請先閲讀背面之注意事項再填寫本頁) 訂 -線- 經濟部智慈財產局員工消費合作社印製 -4 - 556285 經濟部智慧財產¾¾工消費合作社印製 A7 B7五、發明説明(2 ) 氮化矽膜層5,以實施形成孔1 3之第3過程〔參照圖 10(b)〕。 而後,由使用對於S i基板具有選擇性之例如與第1 過程同種的反應性氣體來鈾刻氧化矽膜層7之第4過程而 形成孔1 5 〔參照圖1 0 ( c )〕。 以如此之多過程所進行的方法,將伴隨著變更反應性 氣體而需要變更氣體流量或蝕刻裝置之電極間的電壓等之 複雜的條件,使得具有所謂會降低製成品之品質的穩定性 ,及生產效率等的問題。 又作爲習知之其他蝕刻方法,在日本國專利特開平9 - 1 2 9 5 9 5號揭示有一種以連續處理包括氧化矽膜層 和氮化矽膜層之疊層膜來蝕刻的方法。其爲使用包括有 C F系氣體和惰性氣體之混合氣體來蝕刻氧化矽膜層3, 而後添加所定量之氫氣來鈾刻氮化矽膜層5及在其下層之 氧化矽膜層7的方法。 , 然而即使爲如此之方法,也由於以不同之處理氣體來 實施鈾刻,因而也具有與上述同樣之伴隨著變更處理氣體 而會產生之問題。再者,當導入氫氣時具有爆炸之危險性 ,使得需要嚴密地管理流量等,而具有生產管理上之問題 〇 爲此,本發明係鑑於上述問題而發明者,本發明之第 1目的係擬提供一種使用安全的一(種)混合氣體就可蝕 刻包含有如氧化矽膜層和氮化矽膜層等之矽系的不同膜的 複合膜(疊層膜)之蝕刻方法。 -----------Φ-I (請先閱讀背面之注意事項再填寫本頁) 、tr 線556285 A7 B7 V. Description of the Invention (i) [Technical Field] The present invention relates to an etching method, and particularly relates to a different type of etching method for simultaneously etching a silicon system. [Background Art] Semiconductor devices such as ICs and LSIs require more complicated structures, and silicon-based films of different types are formed into composites such as semiconductor wafers and etched. Also many. For example, when a DRA M (dynamic RA M) is to be formed on a silicon substrate, a polycrystalline silicon film such as polycrystalline silicon and a silicon oxide film are formed on the silicon substrate 9 in a composite manner in order to expand the effective area of the capacitor and the like. (See Figure 1 1 ~ Figure 1 3). In addition, as the composite film, there is a composite film such as a silicon oxide film and a silicon nitride film (see FIG. 10). In the past (previously), when uranium was to be etched, for example, as shown in FIG. 10, the laminated film including the silicon oxide film layers 3 and 7 and the silicon nitride film layer 5 was treated by different processing gases (to be described later). First processing gas, second processing gas). For example, patterning (making a wiring pattern) in advance on the photoresist layer 1 and etching by an anisotropic plasma, the silicon nitride film layer 5 and the photoresist layer 1 are first selectively used. The first process (process) for forming the holes 1 1 by etching only the silicon oxide film layer 3 using a reactive gas (the first processing gas) is performed [see FIG. 10 (a)]. Next, a second process for removing foreign substances deposited (deposited) on the silicon nitride film layer 5 by the first process is performed using an inert gas, and then a reactive gas (the first gas that can etch the silicon nitride film layer 5) is used. 2 processing gas) to etch This paper is sized according to Chinese National Standard (CNS) A4 specification (210 × 297 mm) _ϋϋ τι-II ^--—ϋ I- i I ϋ (Please read the precautions on the back before filling this page) Order-line-Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs-4-556285 Printed by the Intellectual Property of the Ministry of Economic Affairs ¾ Printed by the Industrial Consumer Cooperatives A7 B7 V. Description of the invention (2) Silicon nitride film layer 5 to implement the formation of holes 1 The third process of 3 [refer to FIG. 10 (b)]. Then, the pores 15 are formed by uranium-etching the silicon oxide film layer 7 in the fourth process using a reactive gas having the same selectivity as the Si substrate, for example, in the first process [see FIG. 10 (c)]. The method carried out in so many processes will have complicated conditions such as changing the gas flow rate or the voltage between the electrodes of the etching apparatus accompanying the change of the reactive gas, so as to have so-called stability that will reduce the quality of the finished product, and Production efficiency issues. As another conventional etching method, Japanese Patent Application Laid-Open No. 9-1 2 9 5 9 5 discloses a method for etching by continuously processing a laminated film including a silicon oxide film layer and a silicon nitride film layer. It is a method of etching the silicon oxide film layer 3 using a mixed gas including a C F-based gas and an inert gas, and then adding a predetermined amount of hydrogen to etch the silicon nitride film layer 5 and the silicon oxide film layer 7 below it. However, even with this method, the uranium engraving is performed with a different processing gas, and therefore there are problems that may occur with the change of the processing gas as described above. In addition, the introduction of hydrogen has the danger of explosion, making it necessary to strictly manage the flow rate, etc., and has problems in production management. To this end, the present invention is invented in view of the above problems, and the first object of the present invention is to Provided is an etching method capable of etching a composite film (laminated film) including different silicon-based films such as a silicon oxide film layer and a silicon nitride film layer using a safe mixed gas (s). ----------- Φ-I (Please read the precautions on the back before filling this page), tr line

. -Γ VI 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇Χ;297公釐) -5- 556285 經濟部智慧財產局員工消費合作社印製 A7 B7_______五、發明説明(3 ) 又,如上述之多晶矽的如以多晶矽膜和氧化砂膜之複 合性膜所形成之複合層,倘若能以一處理氣體來触刻時雖 爲有利,但構成爲如此時,就可思及更具有如下之問題。 亦即,爲了形成於矽基板上之氧化矽膜和多晶矽膜成 爲平坦化,而需要用於同時對兩方之膜進行再鈾刻(Etch back)之過程之狀況。當該再蝕刻以一處理氣體來實施時’ 可思及由於各膜之蝕刻速度有相異而具有所謂會產生凹凸 之問題。 假設以一(種)混合氣體來對於氧化矽膜及多晶矽膜 再蝕刻時,就可思及由導入含有例如C F 4氣體和A r氣體 之混合氣體所形成的處理氣體來實施電漿處理,而實施再 蝕刻。 例如圖1 1所示之模式圖的膜構造係形成接觸孔於氧 化矽膜2 1之後,予以形成爲多晶矽膜之多晶矽膜2 3, 且由電漿處理疊層埋入U S G (Undoped Silicate Glass,未 摻雜矽酸鹽玻璃)等之氧化矽膜2 5所形成。假設將如此 之膜構造的多晶矽膜2 3和氧化矽膜2 1和2 5,以包含 C F 4氣體和A、r氣體的混合氣體同時予以實施再蝕刻( Etch Back ) 〇 當以包含C F 4氣體和A r氣體的混合氣體來同時蝕刻 多晶矽膜2 3和氧化矽膜2 5時,多晶矽膜之一方因較氧 化矽膜2 5蝕刻速度爲大,使得氧化矽膜之蝕刻率(或蝕 刻速度)和多晶矽膜之蝕刻率(或鈾刻速度)之比(率) 的選擇比會增高。爲此,可思及形成如圖1 2所示之模式 j I! -^1 I n (請先閲讀背面之注意事項再填寫本頁)-Γ VI This paper size applies the Chinese National Standard (CNS) A4 specification (21〇 ×; 297 mm) -5- 556285 Printed by A7 B7_______ of the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs For example, the composite layer formed of the polycrystalline silicon film and the composite film of polycrystalline silicon film and oxidized sand film is advantageous if it can be etched with a processing gas, but it can be considered to have more The following questions. That is, in order to planarize the silicon oxide film and the polycrystalline silicon film formed on the silicon substrate, it is necessary to use a condition for the process of Etch back of both films at the same time. When this re-etching is performed using a processing gas, it is considered that there is a problem that so-called unevenness occurs because the etching rates of the respective films are different. Assuming that the silicon oxide film and the polycrystalline silicon film are re-etched with a mixed gas (s), the plasma processing may be performed by introducing a processing gas formed by introducing a mixed gas containing, for example, CF 4 gas and Ar gas, and Re-etching is performed. For example, the film structure of the schematic diagram shown in FIG. 11 is formed by forming a contact hole in the silicon oxide film 21, and then forming a polycrystalline silicon film 2 3 as a polycrystalline silicon film, and burying it in a USG (Undoped Silicate Glass, It is formed by a silicon oxide film 25 such as undoped silicate glass). It is assumed that the polycrystalline silicon film 23 and the silicon oxide films 21 and 25, which have such a film structure, are simultaneously re-etched with a mixed gas containing CF 4 gas and A, r gas (Etch Back). When the CF 4 gas is contained, When the polycrystalline silicon film 23 and the silicon oxide film 25 are etched at the same time with the mixed gas of Ar gas, one of the polycrystalline silicon films has a higher etching rate than the silicon oxide film 25, which makes the etching rate (or etching speed) of the silicon oxide film The ratio (rate) of the etch rate (or uranium etch rate) to the polycrystalline silicon film will be increased. For this reason, you can think of the pattern shown in Figure 12 j I!-^ 1 I n (Please read the precautions on the back before filling this page)

V 線V line

' —y, ϋ IWI 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X 297公釐) -6- 556285 Α7 Β7 五、發明説明(4 ) 圖之凹凸於蝕刻後的表面。 倘若如圖1 3所示之模·式圖般予以消除鈾刻後的表面 凹凸,理想爲多晶矽膜和氧化矽膜之蝕刻率的選擇比成爲 1 ,因此,倘若能鈾刻成選擇比略成爲1 ,亦就是蝕刻成 各蝕刻速度形成略爲同一速度時,就可減少鈾刻後之表面 成爲凹突狀。 本發明係鑑於上述問題而發明者,本發明之第2目的 係擬提供一種令多晶矽膜之鈾刻速度和氧化矽膜之蝕刻速 度以略成爲一定速度來蝕刻,就可減少蝕刻後之表面的凹 凸之蝕刻方法。 〔發明之揭示〕 爲了解決上述之課題,依據本發明,將提供一種對於 形成在被處理基板上之相異種類的矽系膜實施蝕刻之蝕刻 方法,其特徵爲:以導入由包含至少Η和F之混合氣體所 形成的處理氣體於氣密之處理室內來實施電漿處理,就能 以一(種)處理氣體來蝕刻被處理基板上之相異種類的膜 之蝕刻方法。 又以導入處理氣體於氣密之處理室內來對於形成在配 置於處理室內的被處理體之包括有至少一層的氧化矽膜層 和至少一層的氮化矽膜層之疊層膜進行蝕刻的蝕刻方法, 其中處理氣體係至少包含有CF系之氣體、CHF系氣體 的混合氣體,且可由一混合氣體來實施蝕刻疊層膜。混合 氣體也可更包含有氧氣和惰氣之混合氣體。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂 線 經濟部智慧財產局員工消費合作社印製 556285 A7 B7 五、發明説明(5 ) 於如此之本發明,作爲包含Η和F之處理氣體,以使 用例如C F系氣體和C H F系氣體之混合氣體,則C F系 氣體因尤其可促進鈾刻氧化矽膜層之效果,而C H F系氣 體尤其具有可促進蝕刻氮化系膜層之效果,由而能使用一 (種)混合氣體來鈾刻疊層膜,使得並不需要變更用於實 施複數處理用之複雜的條件,又可使用安全之氣體來進行 處理。 又以使用氧氣,就可促進朝疊層膜深度方向的蝕刻’ 且以使用惰氣,就可調整上述處理氣體的效果,使得所形 成之孔的形狀,予以保持成適當形狀。 上述疊層膜,倘若構成爲如令配設於疊層膜上部的抗 蝕劑作爲遮蔽(屏蔽)來蝕刻時,由於使用了 C F系氣體 及C H F系氣體,因而可增進疊層膜的對抗蝕刻之蝕刻選 擇比。 在此,所謂之疊層膜的對抗蝕劑之蝕刻選擇比’乃指 (疊層膜之平均蝕刻速度)/(抗蝕劑之平均蝕刻速度) 。該對於抗蝕劑蝕刻選擇比爲高,也稱爲對於抗蝕劑具有 蝕刻選擇性。由於該蝕刻選擇性’能在不破壞抗蝕劑之狀 態下來實施蝕刻疊層膜。 作爲上述惰性氣體也可使用例如A r氣體。作爲上述 C F系氣體也可使用例如c 4 F 8、c 5 F 8、c 4 F 6的任 何之一。而作爲上述CHF系氣體可使用例如CH3F、 CH2F2、CHF3、CH2F2 和 CHF3 的混合氣體’ 也可使用C Η 3 F和C H F 3之混合氣體的任何之一。通常 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ----l!f (請先閱讀背面之注意事項再填寫本頁) 訂 線 經濟部智慈財產局員工消費合作社印製 -8 - 556285 Α7 Β7 經濟部智慈財產局8工消費合作社印製 五、發明説明(6 ) ,(:4F8、c5F8乃使用具有環狀構造者,但也可使用具 有常態鏈鎖(normal chain )構造者。C4F6通常乃使用常 態鏈鎖構造,但也可使用具有環狀構造者。 上述鈾刻可實施直至露出疊層膜之基底層爲止。如此 之蝕刻,尤其在於上述基底層爲例如難以由上述處理氣體 所蝕刻,且具有充分之選擇比之材料時極有效。 上述蝕刻也可作成爲終止蝕刻於疊層膜的基底層上層 。如此之鈾刻,尤其在於基底層爲例如容易被蝕刻,且無 法獲得充分之蝕刻選擇比的材料時極有效。 上述蝕刻,也可作成在被處理體之至少一部分產生多 晶矽層或矽層的露出部分。例如令上述C H F系氣體作爲 CH2F2和CHF3氣體的混合氣體、CH3F和HF3的 混合氣體時,就可提高對於多晶矽之氧化矽膜層及氮化矽 膜層的鈾刻選擇性。因此,依據如上述之蝕刻,即使在被 處理體之至少一部分形成露出多晶矽層之構造,也具有對 於多晶矽層實施過度之鈾刻的優點。 上述氮化矽膜層也可爲約1 0 //rn以上之厚度。氮化 矽膜層爲約1 0 // m以上時,以習知之蝕刻方法實施蝕刻 時,因會堆積異物於表面而會產生不利之處,而依據對於 % 不具有如此之不利之有關本發明之蝕刻方法言,極具有效 〇 爲了解決上述課題,也可構成爲在同時蝕刻形成於被 處理基板上之氧化矽膜和多晶矽膜之蝕刻方法時,由例如 含有至少C F 4氣體和C H F 3氣體之混合氣體的處理氣體 ----*—----— / (請先閲讀背面之注意事項再填寫本頁)'—Y, ϋ IWI This paper size is in accordance with Chinese National Standard (CNS) A4 specification (210X 297 mm) -6- 556285 Α7 Β7 5. Description of the invention (4) The concavities and convexities of the drawing are on the surface after etching. If the surface unevenness after uranium engraving is eliminated as shown in the pattern and pattern shown in Fig. 13, it is desirable that the selection ratio of the etching rate of the polycrystalline silicon film and the silicon oxide film is 1; 1, that is, when the etching is performed at the same speed at each etching rate, the surface of the uranium etch can be reduced to a concave shape. The present invention was invented in view of the above-mentioned problems. A second object of the present invention is to provide an etching speed of a polycrystalline silicon film and an etching speed of a silicon oxide film at a certain rate, so that the surface of the surface after etching can be reduced. Concave and convex etching method. [Disclosure of Invention] In order to solve the above-mentioned problems, according to the present invention, there will be provided an etching method for etching a different type of silicon-based film formed on a substrate to be processed. The processing gas formed by the mixed gas of F is subjected to plasma processing in an air-tight processing chamber, and an etching method can be used to etch different types of films on the substrate to be processed with one (type) of processing gas. Introducing the processing gas into the airtight processing chamber to etch the laminated film including at least one silicon oxide film layer and at least one silicon nitride film layer formed in the object to be processed disposed in the processing chamber. A method wherein the processing gas system includes at least a mixed gas of a CF-based gas and a CHF-based gas, and the laminated film can be etched by a mixed gas. The mixed gas may further include a mixed gas of oxygen and inert gas. This paper size applies Chinese National Standard (CNS) A4 specification (210X297 mm) (Please read the precautions on the back before filling out this page) Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs and printed by the Consumers' Cooperatives 556285 A7 B7 V. Description of the invention ( 5) In the present invention, as a processing gas containing plutonium and F, for example, a mixed gas of CF-based gas and CHF-based gas is used, the CF-based gas can particularly promote the effect of etched silicon oxide film by uranium, and CHF The system gas especially has the effect of promoting the etching of the nitride-based film layer, so that it is possible to use one or more mixed gases to etch the uranium laminate film, so that it is not necessary to change the complicated conditions for performing multiple processing, and it can also Use a safe gas for handling. By using oxygen, the etching in the depth direction of the laminated film can be promoted 'and by using an inert gas, the effect of the above-mentioned processing gas can be adjusted so that the shape of the formed holes can be maintained in an appropriate shape. If the above-mentioned laminated film is configured to etch using a resist disposed on the upper layer of the laminated film as a shield (shield), CF-based gas and CHF-based gas are used, so that the resistance to etching of the laminated film can be improved. Etch selection ratio. Herein, the etching selectivity ratio of the laminated film to the resist means (average etching rate of the laminated film) / (average etching rate of the resist). This selectivity for resist etching is high, which is also referred to as etching selectivity for resist. Due to this etching selectivity, the etching of the laminated film can be performed without damaging the resist. As the inert gas, for example, Ar gas can also be used. As the C F-based gas, any of c 4 F 8, c 5 F 8, and c 4 F 6 may be used. As the above-mentioned CHF-based gas, for example, a mixed gas of CH3F, CH2F2, CHF3, CH2F2, and CHF3 'may be used, or any of a mixed gas of CC3F and CHF3 may be used. Usually this paper size is applicable to Chinese National Standard (CNS) A4 specification (210X297 mm) ---- l! F (Please read the precautions on the back before filling this page). System -8-556285 Α7 Β7 Printed by the 8th Consumer Cooperative of the Intellectual Property Office of the Ministry of Economic Affairs V. Invention Description (6), (: 4F8, c5F8 are those with a ring structure, but can also be used with a normal chain link (normal chain) Constructor. C4F6 usually uses a normal chain lock structure, but can also use a ring structure. The uranium carving can be performed until the base layer of the laminated film is exposed. Such an etching, especially if the base layer is, for example, It is difficult to be etched by the above-mentioned processing gas, and it is extremely effective when the material has a sufficient selection ratio. The above-mentioned etching can also be used as the upper layer of the base layer to stop the etching on the laminated film. Such uranium engraving, especially, the base layer is easily Etching is extremely effective when a material with insufficient etching selectivity cannot be obtained. The above-mentioned etching can also be used to produce a polycrystalline silicon layer or a silicon layer on at least a part of the object to be processed. For example, when the above-mentioned CHF-based gas is used as a mixed gas of CH2F2 and CHF3 gas and a mixed gas of CH3F and HF3, the selectivity of uranium etching for polycrystalline silicon silicon oxide film layer and silicon nitride film layer can be improved. Therefore, According to the etching as described above, even if a structure exposing the polycrystalline silicon layer is formed on at least a part of the object, there is an advantage of performing an excessive uranium engraving on the polycrystalline silicon layer. The above-mentioned silicon nitride film layer may also be about 10 // rn or more When the thickness of the silicon nitride film is about 1 0 // m or more, when a conventional etching method is used for etching, disadvantages may occur due to the accumulation of foreign matter on the surface, and the basis for% does not have such disadvantages. The etching method of the present invention is extremely effective. In order to solve the above-mentioned problems, the etching method may be configured to simultaneously etch a silicon oxide film and a polycrystalline silicon film formed on a substrate to be processed, for example, by containing at least CF 4 gas and CHF 3 gas mixed gas processing gas ---- * -------- / (Please read the precautions on the back before filling this page)

,1T 線 __t___^. 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) -9- 556285 Α7 Β7 五、發明説明(7 ) 來略以同一速度來蝕刻被處理基板上之氧化矽膜部分和多 晶矽膜部分之狀態。 於如此之本發明,甚至對於作爲相異矽系之例如具有 多晶矽膜和氧化矽膜的膜構造,作爲包含Η和F之處理體 ,以使用C F系氣體和CHF系氣體的例如包含CHF3氣 體和C F 4氣體的混合氣體,就能以一(種)處理氣體來實 施蝕刻。再者,當要令多晶矽膜之蝕刻速度和氧化矽膜之 蝕刻速度予以同時蝕刻時,可令蝕刻後之表面凹凸予以減 少。 再者,理想爲予以控制處理氣體之C H F 3氣體對於 C F 4氣體之流量化,能使氧化矽膜和前述多晶矽膜之蝕亥ϋ 選擇比成爲靠近於1之値來實施蝕刻。 理想爲予以控制前述處理氣體之C H F 3氣體對於 C F 4氣體之流量比,能使對於上述氧化砂膜之多晶砂膜的 蝕刻選擇比成爲在於0 _ 8以上,1 · 2以下來進行鈾刻 處理。再者,更理想爲予以控制前述處理氣體之C H F 3氣 體對於C F 4氣體之流量比,能使對於前述氧化矽膜之多晶 矽膜的蝕刻選擇比成爲0 · 9以上,1 · 1以下來進行鈾 刻處理。 , 又理想爲令前述處理氣體中之C H F 3氣體對於C F 4 氣體的流量比成爲0 · 1以上,0 · 8以下。再者,更理 想爲令前述處理氣體中之C H F 3氣體對於c F 4氣體的流 量比成爲0 . 2以上,0 · 7以下。 以如此,予以控制上述處理氣體之C H F 3氣體對於 ^紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) I--------,---Φ"I (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 -10- 556285 A7 B7 經濟部智慈財產局g(工消費合作社印製 五、發明説明(8 ) C F 4氣體的流量比來進行鈾刻時,因可令氧化矽膜和多晶 矽膜之蝕刻選擇比容易地接近於1之値,使得可控制爲減 少鈾刻後的表面凹凸。因此,將對於氧化矽膜之多晶矽膜 的鈾刻選擇比可作成爲實用上之理想値的0 · 8以上’ 1 · 2以下,又作爲更理想之値可作成爲0 · 9以上’ 1 . 1以下。於進行如此之蝕刻時,對於處理氣體,也可 作成爲包含有例如A r氣體等之惰性氣體。 再者,本專利說明書中,ImTorr係(10— 3x 101325/760),而 lsecm 係(10— 6/ 6 〇)m 3 / s e c。 〔實施發明用之最佳形態〕 以下,將參照所附上之圖式下來說明有關本發明之蝕 刻方法極合適理想的第1實施形態。圖1係顯示作爲用於 實施有關第1實施形態之蝕刻方法用的鈾刻裝置之一例的 平行平板型之電漿鈾刻裝置的槪略結構。 在該電漿蝕刻裝置1 〇 〇之予以保全接地的處理容器 1 02內,乃形成有處理室1 04,且在該處理室1 04 內,配置有構成爲可上下移動自如之感應(磁化)器的下 部電極1 0 6。在下部電極1 〇 6上部乃配設有連接於高 壓直流電源1 0 8之靜電夾盤1 1 〇,而被處理體,例如 半導體晶圓(以下簡稱爲晶圓)W乃載置於該靜電夾盤 1 1 0上面。在於晶圓W和靜電夾盤1 1 0之間,則從未 圖示之傳熱氣體供應機構供應H e等之傳熱氣體,且維持 -----------Φ„ (請先閲讀背面之注意事項再填寫本頁) 訂 線·, 1T line __t ___ ^. This paper size applies the Chinese National Standard (CNS) A4 specification (210 × 297 mm) -9- 556285 Α7 Β7 V. Description of the invention (7) To etch the oxidation on the substrate at the same speed slightly The state of the silicon film portion and the polycrystalline silicon film portion. In the present invention, even for a film structure having, for example, a polycrystalline silicon film and a silicon oxide film as a dissimilar silicon system, as a processing body containing ytterbium and F, for example, a CF-based gas and a CHF-based gas including a CHF3 gas and A mixed gas of CF 4 gas can be used to perform etching using one or more process gases. Furthermore, when the etching rate of the polycrystalline silicon film and the etching rate of the silicon oxide film are to be simultaneously etched, the surface unevenness after the etching can be reduced. In addition, it is desirable to control the flow rate of the C H F 3 gas to the C F 4 gas to control the process gas, so that the etching ratio of the silicon oxide film and the polycrystalline silicon film can be etched to a value close to one. It is desirable to control the flow rate ratio of the CHF 3 gas to the CF 4 gas of the aforementioned processing gas, so that the etching selection ratio of the polycrystalline sand film of the above-mentioned oxide sand film can be 0 to 8 or more and 1 or less to perform uranium etching. deal with. Furthermore, it is more desirable to control the flow rate ratio of the CHF 3 gas to the CF 4 gas of the processing gas, so that the etching selection ratio of the polycrystalline silicon film of the silicon oxide film can be 0 · 9 or more and 1 · 1 or less to perform uranium.刻 处理。 Carved processing. It is also desirable that the flow rate ratio of the C H F 3 gas to the C F 4 gas in the processing gas is 0 · 1 or more and 0 · 8 or less. Furthermore, it is more desirable that the flow ratio of the C H F 3 gas to the c F 4 gas in the processing gas is 0.2 or more and 0. 7 or less. In this way, the CHF 3 gas that controls the above-mentioned processing gas applies the Chinese National Standard (CNS) A4 specification (210X297 mm) for the paper size. I --------, --- Φ " I (Please read first Note on the back, please fill in this page again) Order printed by the Intellectual Property Bureau of the Ministry of Economic Affairs, printed by the Consumer Cooperatives -10- 556285 A7 B7 Intellectual Property Bureau of the Ministry of Economic Affairs g (printed by the Industrial and Consumer Cooperatives V. Description of Invention (8) CF 4 gas When performing uranium engraving with a flow rate ratio, the etching selection ratio of the silicon oxide film and the polycrystalline silicon film can be easily approached to one, so that it can be controlled to reduce the surface unevenness after the uranium etching. Therefore, the polycrystalline silicon of the silicon oxide film The uranium engraving selection ratio of the film can be made to be more than 0 · 8 '1 · 2 or less, which can be a practically ideal plutonium, and as a more ideal plutonium, it can be made to be 0 · 9 or more' 1. 1 or less. When such an etching is performed As for the processing gas, it can also be used as an inert gas containing, for example, Ar gas, etc. In addition, in this patent specification, ImTorr is (10-3x 101325/760), and lsecm is (10-6 / 6). m 3 / sec. [Best mode for carrying out the invention Hereinafter, the first embodiment of the etching method according to the present invention, which is most suitable and ideal, will be described with reference to the attached drawings. FIG. 1 shows an example of a uranium etching device for implementing the etching method according to the first embodiment. A schematic structure of a parallel flat plate type plasma uranium engraving device. In the plasma etching device 1000, a processing container 10 02 to be grounded is formed with a processing chamber 104, and in the processing chamber 104 Inside, there is a lower electrode 106 configured as an induction (magnetizer) capable of moving up and down. An electrostatic chuck 1 1 〇 connected to a high-voltage DC power source 108 is arranged above the lower electrode 106. The object to be processed, for example, a semiconductor wafer (hereinafter referred to as a wafer) W is placed on the electrostatic chuck 1 10. Between the wafer W and the electrostatic chuck 1 10, it is never shown The heat transfer gas supply mechanism supplies heat transfer gas such as He and maintains it ----------- Φ „(Please read the precautions on the back before filling this page)

0 I 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -11 - 556285 A7 B7 五、發明説明(9 ) 於所定之壓力。 --------,----I (請先閱讀背面之注意事項再填寫本頁) 在於載置於下部電極1 0 6上之晶圓W周圍,乃配置 有絕緣性之聚焦環1 1 2。又藉由匹配器1 1 8連接第2 高頻電源1 20於下部電極1 06。 具備多數之氣體吐出孔1 2 2 a的上部電極1 2 2乃 配置於成相對向於下部電極1 0 6載置面之處理室1 〇 4 頂部。上部電極1 2 2和處理容器1 0 2之間係以介居絕 緣體1 2 3裝配成電性絕緣。又在上部電極1 2 2以藉由 匹配器1 1 9連接用於輸出電漿生成高頻電力(功率)用 之第1高頻電源1 2 1。 车 再者,對於上述上部電極1 2 2,供應來自第1高頻 電源1 2 1之例如1 3 _ 5 6 Μ Η z以上1 5 0 Μ H z以 下,理想爲6 0 Μ Η ζ的第1高頻電力。又對於下部電極 ,則供應來自第2高頻電源1 2 0之較第1高頻電源 1 2 1的高頻電力更低之頻率,例如2 0 Μ Η ζ以上而較 13 · 56MHz爲小之頻率,理想爲13 . 56MHz 的第2高頻電力。 經濟部智慧財產局8工消費合作社印製 上述氣體吐出孔1 2 2 a予以連接氣體供應管1 2 4 ,又對於該氣體供應管1 2 4,連接有例如供應c 5 F 8之 處理氣體供應系1 2 6 a、和供應C H 2 F 2之處理氣體供 應系1 2 6 b、及供應A r之處理氣體供應系1 2 6 c、 及供應〇2之處理氣體供應系1 2 6 d ° 各處理氣體供應系126a、126b、126c、 126d乃藉由各開閉閥132a 、132b、132c 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -12- 556285 A7 B7 五、發明説明(1〇) 、132d 和流量調整閥 134a、134b、134c 、134d來連接於各C5F8氣體供應源136a、 CH2F2氣體供應源136b、Ar氣體供應源136c 、〇2氣體供應源1 3 6 d。 再者’要添加於處理氣體之惰氣,並不限定於上述 A r ,只要能調整所激勵(激發)於處理室1 〇 4內之電 漿的氣體時,任何惰氣,例如H e、K r等均可採用。 上述處理容器1 0 2下方,連接有可連通於未圖示之 抽真空機構用的排氣管1 5 0,而由該抽真空機構的動作 來維持處理室1 0 4內可成爲所定之減壓環境。 接著’以參照圖2之下,說明由有關第1實施形態之 蝕刻方法來實施蝕刻處理的晶圓W的結構。圖2係顯示在 本實施形態所使用之晶圓W的槪略剖面圖。 作爲要使用於本實施形態的晶圓W之一例子,在於圖 2顯示形成了形成有蝕刻對象之氧化矽膜層2 0 7 (例如 厚度約1 2 0 0 n m ),氮化矽膜層2 Ο 5 (例如厚度約 1 Ο Ο n m ),及氧化矽層2 0 3 (例如厚度約爲 12〇Onm)於Si (矽)基板209上。 尤其氮化矽膜層2 0 5,即使厚度成爲1 0 nm以上 時’以習知之蝕刻氧化矽膜層之方法並不能予以蝕刻,以 致可顯著地呈現本發明之效果,但對於1 〇 n m以下者時 也可適用本發明。 氧化矽膜層2 0 3及2 0 7係例如以C V D (化學汽 相澱積)法來形成有S i〇2膜。氮化矽膜層2 0 5爲例如 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) -----—----— (請先閲讀背面之注意事項再填寫本頁)0 I This paper size is in accordance with Chinese National Standard (CNS) A4 (210X 297 mm) -11-556285 A7 B7 5. Description of the invention (9) The pressure specified. --------, ---- I (Please read the precautions on the back before filling out this page) It is located around the wafer W placed on the lower electrode 106, and is equipped with an insulating focus Ring 1 1 2. The second high-frequency power supply 120 is connected to the lower electrode 106 by the matching device 1 1 8. The upper electrode 1 2 2 having a large number of gas discharge holes 1 2 2 a is disposed on the top of the processing chamber 104 facing the mounting surface of the lower electrode 106. The upper electrode 1 2 2 and the processing container 10 2 are assembled to be electrically insulated with an insulating body 1 2 3 interposed therebetween. A first high-frequency power source 1 2 1 for outputting plasma to generate high-frequency power (power) is connected to the upper electrode 1 2 2 through a matching device 1 1 9. Furthermore, for the upper electrode 1 2 2, for example, the first high-frequency power supply 1 2 1 is supplied, for example, 1 3 _ 5 6 Μ Η z or more, and 1 50 0 MH H or less, and preferably 60 Μ Η ζ. 1 high frequency power. For the lower electrode, a lower frequency is supplied from the second high-frequency power source 1 2 0 than the high-frequency power of the first high-frequency power source 1 2 1. For example, it is more than 20 Μ Η ζ and smaller than 13. 56 MHz. Frequency, ideally second high-frequency power of 13.56MHz. The 8th Industrial Cooperative Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs printed the above-mentioned gas outlet hole 1 2 2 a to be connected to a gas supply pipe 1 2 4, and the gas supply pipe 1 2 4 is connected to a process gas supply such as c 5 F 8 System 1 2 6 a, and process gas supply CH 2 F 2 supply system 1 2 6 b, and process gas supply 1 2 6 c supply, and process gas supply 0 2 supply 1 2 6 d ° Each processing gas supply system 126a, 126b, 126c, 126d is through the on-off valve 132a, 132b, 132c. This paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) -12- 556285 A7 B7 V. Description of the invention (10), 132d, and flow adjustment valves 134a, 134b, 134c, and 134d are connected to each of the C5F8 gas supply source 136a, the CH2F2 gas supply source 136b, the Ar gas supply source 136c, and the 02 gas supply source 136d. Furthermore, the inert gas to be added to the processing gas is not limited to the above-mentioned A r, as long as the gas that is excited (excited) in the plasma in the processing chamber 104 can be adjusted, any inert gas, such as He, K r and the like can be used. Below the processing container 10 02, an exhaust pipe 150 connected to a vacuuming mechanism (not shown) is connected, and the operation of the vacuuming mechanism maintains a predetermined reduction in the processing chamber 104. Pressure environment. Next, the structure of the wafer W subjected to the etching process by the etching method according to the first embodiment will be described below with reference to FIG. 2. Fig. 2 is a schematic cross-sectional view showing a wafer W used in this embodiment. As an example of a wafer W to be used in this embodiment, FIG. 2 shows that a silicon oxide film layer 2 (for example, a thickness of about 120 nm) having an etching target formed thereon is formed, and a silicon nitride film layer 2 is formed. 0 5 (for example, a thickness of about 100 nm), and a silicon oxide layer 203 (for example, a thickness of about 120 nm) on a Si (silicon) substrate 209. In particular, the silicon nitride film layer 205 cannot be etched by the conventional method of etching the silicon oxide film layer even when the thickness is more than 10 nm, so that the effect of the present invention can be significantly exhibited, but for 10 nm or less The present invention is also applicable to other cases. The silicon oxide film layers 203 and 207 are formed with a Si02 film by, for example, a CVD (chemical vapor deposition) method. The silicon nitride film layer 2 0 5 is, for example, the paper size applicable to the Chinese National Standard (CNS) A4 specification (210 × 297 mm) ------------- (Please read the precautions on the back before filling this page )

、1T 線 經濟部智慧財產局員工消費合作社印製 -13- 556285 A7 B7 五、發明説明(n) S i 3N4之膜。又在氧化矽膜層2 0 3上,形成有製作布 線所定圖型之光致抗蝕層2 0 1。 接著,將參照圖1 ,圖2及圖3下,說明有關由本實 施形態之蝕刻方法來形成孔於晶圓W上的疊層膜時之蝕刻 過程。圖3係顯示由有關本實施形態之蝕刻方法所蝕刻的 晶圓之槪略剖面圖。 首先,載置晶圓W於預先調整成所定溫度之下部電極 1 0 6上。亦即,下部電極1 0 6之溫度係響應於處理而 維持於例如- 2 0 °C左右。該時,例如上部電極1 2 2及 處理室1 0 4內壁之溫度各別設定於3 0 °C、5 0 °C。又 予以抽真空處理室內,以令處理室1 0 4內之壓力環境成 爲響應於處理之所定壓力。 其次,有關本實施形態之處理氣體,亦即作爲包含Η 和F之處理氣體,將混合了作爲C F系氣體爲例如C 5 F 8 、作爲C H F系氣體爲例如C Η 2 F 2、作爲惰氣爲例如 A r氣體,再者〇2氣體的處理氣體,乃由介居插入於氣體 供應管1 2 4之流量調整閥1 3 4 a、1 3 4 b、 134c、134d,予以調整上述各氣體之流量之下來 導入於處理室1 0 4內。 該時,各處理氣體之流量係調整成例如C 5 F 8爲1 〇 s c cm左右,CH2F2 爲 20 s c cm左右、Ar 爲 1 50 s c cm左右,〇2爲20 s c cm左右。各處理氣 體之流量理想爲在於1/4SC5F8/CH2F2$ 1/2 、0 . 5/1S (C5F8 + CH2F2)/〇2 $3/1 的 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) (請先閲讀背面之注意事項再填寫本頁) 、?τ 線 經濟部智慧財產局員工消費合作社印製 -14- 556285 Α7 Β7_五、發明説明(12 ) 鮪圄。祈W作成1 / 4 < C 5 F 8 C Η 2 F 2,乃由於例如 比 一量 ?流 一 Η 於 、 爲 成 矽 化 氧 在 將 異 的 狀 形 刻 蝕 之 等 線 向 縱 或 波 Γν 伏 起 生 產 有 具 會 層 膜 成 作 以 所 ο 故 緣 的 況 if 合 適 不 ΗLine 1T Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs -13- 556285 A7 B7 V. Description of Invention (n) Film of S i 3N4. A photoresist layer 201 is formed on the silicon oxide film layer 203 in a pattern determined by fabricating the wiring. Next, an etching process when forming a laminated film with holes in the wafer W by the etching method according to this embodiment will be described with reference to Figs. 1, 2 and 3. Fig. 3 is a schematic cross-sectional view showing a wafer etched by the etching method according to this embodiment. First, the wafer W is placed on the lower electrode 106 adjusted to a predetermined temperature in advance. That is, the temperature of the lower electrode 106 is maintained at, for example, about -20 ° C in response to processing. At this time, for example, the temperatures of the inner walls of the upper electrode 12 and the processing chamber 104 are set to 30 ° C and 50 ° C, respectively. The processing chamber is evacuated so that the pressure environment in the processing chamber 104 becomes a predetermined pressure in response to the processing. Next, as the processing gas of the present embodiment, that is, as a processing gas containing krypton and F, a CF-based gas such as C 5 F 8 and a CHF-based gas such as C Η 2 F 2 are mixed. For example, the Ar gas, and the O2 gas processing gas are adjusted by the flow adjustment valves 1 3 4 a, 1 3 4 b, 134 c, and 134 d inserted in the gas supply pipe 1 2 4. Flow rate is introduced into the processing chamber 104. At this time, the flow rate of each processing gas is adjusted so that, for example, C 5 F 8 is approximately 10 s c cm, CH2F2 is approximately 20 s c cm, Ar is approximately 50 s c cm, and 〇2 is approximately 20 s c cm. The flow rate of each processing gas is ideally 1 / 4SC5F8 / CH2F2 $ 1/2, 0.5 / 1S (C5F8 + CH2F2) / 〇2 $ 3/1. This paper standard applies to China National Standard (CNS) Α4 specification (210 × 297) (%) (Please read the notes on the back before filling out this page), printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs -14- 556285 Α7 Β7_V. Description of the Invention (12) 鲔 圄. Pray W to make 1/4 < C 5 F 8 C Η 2 F 2 because, for example, it is more than one volume, one flow, one, and one, for the formation of silicic oxygen, the contours of the different shapes are etched to the longitudinal or wave Γν The undulation production has a film with a layered film, so the condition of the reason is not suitable.

VII 比 量 流 於 由 乃 旦 較 爲 成 大 2 穿 生 產 層 矽 化 氧 在 會 時 同 比 且 選 劑 蝕 抗 低 降 會 僅 不 時 爲 比 量 流 成 作 以 所 而 ο 故 緣 的 況 ft 合 適 不 之 孔 Η 〇The VII specific flow rate is larger than that of Nathan. 2 The silicide oxygen in the production layer is higher than that at the time of the meeting and the low corrosion resistance of the selective agent will be reduced from time to time for the specific flow rate. Therefore, the condition ft is not appropriate. Kong Η 〇

3 VII 刻 蝕 進 增 有 具 會 j j二 離 2 〇 加 添 因 果 效 利 有 之 性 商 、、1 甬 比一 量 流 但3 VII Etching progress has the ability to increase the quotient of the effect of the effect of the effect of the effect of the effect of the effect.

Η C (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 〇2成爲較3 / 1大時,就0會..,.篮低抗蝕劑選i U不適 合愔況爲其緣故。該時,處理室1 〇 4內之壓力爲例如 1 〇 m T 〇 r r ,晶圓W背面之傳熱氣體之壓力係在中心 爲lOTorr,在外周部爲35Torr。 接著,對於下部電極1 0 6,施加例如頻率爲2 MHz ,而電力爲1900W左右之高頻電力。又對於上 部電極1 2 2施加例如頻率爲6 Μ Η z ,電力爲1 5 0 0 W左右之高頻電力。該時,上部電極1 2 2和晶圓W之距 離爲例如1 7mm。由而,可生成高密度電漿於處理室 1〇4內,並由如此之電漿而形成所定形狀之孔2 1 1於 晶圓W上之疊層膜。 該時之蝕刻率係氧化矽膜層爲約6 0 0 n m / m i η ,氮化矽膜層爲約3 0 0 n m /m i η,因而與以例如習 知之用於蝕刻氧化矽膜層的反應性氣體來蝕刻氮化矽膜層 時之蝕刻率爲約2 0 n m / m i η相比較時,可察明已改 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) -15- 556285 Α7 Β7 經濟部智慧財度局員工消費合作杜印製 五、發明説明(13 ) 善成適合於實用程度之狀況。 對於蝕刻前狀態,假設抗蝕刻之孔的入口附近(將稱 爲肩部)的變化量T 1之蝕刻平均速度作爲V 1 ,抗鈾劑 平坦部之變化量τ 2之蝕刻平均速度作爲V 2、孔之深度 T 3的鈾刻平均速作爲V 3時,甚至有關對於抗蝕劑之鈾 刻選擇性,肩部之蝕刻選擇比=V 3 / V 1爲約6,平坦 部之鈾刻選擇比=V 3 / V 2爲約1 6,因此,即使與習 知方法的各個爲約6,約9相比較,也在實用上已具有充 分的實用性。 C F系氣體乃可思爲具有令包含於例如氧化矽膜層 203及207之矽及氧,作爲例如S i F4及C〇來去除 之作用,而C H F系氣體乃可思爲具有令包含於例如氮化 矽膜層2 0 5之矽及氮,作爲例如S i F 4及Ν Η 3來去除 之作用。 上述氧氣係具有可促進朝疊層膜深度方向蝕刻作用, 而以使用惰氣,就可容易地控制用於調整上述處理氣體之 效果用之蝕刻條件,使得可保持所要形成之孔的形狀成爲 適當的形狀。 上述混合氣體乃對於抗蝕劑具有鈾刻選擇性之同時, 對於矽也具有蝕刻選擇性。因此,當由上述條件來進行蝕 刻時,以直至可露出基底層之矽基板2 0 9爲止的處理時 間作爲基準來進行例如3 0 %之過蝕刻,就可令底部形狀 形成爲適當之孔。 以如上述,因使用了混合作爲C F系氣體之例如 ------------I (請先閲讀背面之注意事項再填寫本頁) 、1Τ 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) -16- 556285 A7 B7 五、發明説明(14) c 5 F 8,作爲c H F系之例如c Η 2 F 2,作爲惰性氣體之 A r ,再有〇2氣體的處理氣體,因而能由一次處理來蝕刻 包括有氧化矽膜層和氮化矽膜層的疊層膜。 再者,基底層雖以矽爲例來說明,但也可爲例如鋁、 鎢、氮化鈦等之金屬系材料。即使作爲C F系氣體採用例 如CF4氣體、C2F6氣體、C3F8氣體或C4F6氣體, 作爲CHF系氣體採用例如CHF3氣體、CH2F2氣體 、C Η 3 F氣體,作爲惰氣採用例如H e氣體、K I*氣體等 之任何其他之惰氣,也可獲得同樣之效果。 而作爲C F系氣體之作用,雖伴隨著對於碳原子的氟 原子之比例降低而使對於抗蝕劑之蝕刻選擇性會增高,但 有可能減慢疊層膜之蝕刻率。考慮到該狀況,理想爲最好 使用C4F8氣體、C5F8氣體、C4F6氣體。 作爲C H F系氣體之作用,雖伴隨著對於氫原子的氟 原子之比例降低而可加速氮化矽膜層之蝕刻率,但會減慢 氧化矽膜之鈾刻率。因此,考慮到在通常之疊層膜,氧化 矽膜層較氮化矽膜層形成爲更厚者爲多,因而,理想爲使 用CHF3氣體或CH2F2氣體。 圖4係顯示由有關第2實施形態之鈾刻方法所蝕刻的 晶圓之槪略剖面圖。如圖4所示,作爲有關第2實施形態 的晶圓,予以顯示形成作爲蝕刻對象之氧化矽膜層2 0 7 ,氮化矽膜層2 0 5,氧化矽膜層2 0 3於矽基板2 0 9 上,再在要形成氧化矽膜層2 0 7之孔的區域,存在有例 如用於形成閘(電)極用之多晶矽膜2 1 5的例子。 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) ----.—----^9— (請先閲讀背面之注意事項再填寫本頁)Η C (Please read the notes on the back before filling out this page) Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs. When the size of 2 is larger than 3/1, 0 will be selected. Not suitable for circumstances. At this time, the pressure in the processing chamber 104 is, for example, 10 m Torr, and the pressure of the heat transfer gas on the back surface of the wafer W is 10 Torr in the center and 35 Torr in the outer peripheral portion. Next, for the lower electrode 106, a high-frequency power having a frequency of, for example, 2 MHz and an electric power of about 1900 W is applied. Also, for the upper electrode 1 2 2, a high-frequency power having a frequency of, for example, 6 MHz and a power of about 15 0 W is applied. At this time, the distance between the upper electrode 12 and the wafer W is, for example, 17 mm. As a result, a high-density plasma can be generated in the processing chamber 104 and a laminated film having a predetermined shape of the holes 2 1 1 on the wafer W can be formed from the plasma. The etching rate at this time is that the silicon oxide film layer is about 600 nm / mi η, and the silicon nitride film layer is about 300 nm / mi η, and thus reacts with a conventionally used method for etching a silicon oxide film layer, for example. The etching rate is about 20 nm / mi η when the silicon nitride film layer is etched by using a gas. Compared with the standard, it can be found that the paper size has been changed to the Chinese National Standard (CNS) A4 specification (210 × 297 mm). -15- 556285 Α7 Β7 Consumption cooperation by employees of the Smart Finance Bureau of the Ministry of Economic Affairs. Du V. Invention Description (13) Shancheng is suitable for a practical situation. For the state before etching, it is assumed that the average etching rate of the change amount T 1 near the entrance of the etch-resistant hole (referred to as the shoulder) is V 1, and the average etching rate of the change amount τ 2 of the uranium-resistant agent flat is V 2. When the average uranium engraving speed of the hole depth T 3 is V 3, and even with regard to the etch selectivity of the resist, the etching selection ratio of the shoulder = V 3 / V 1 is about 6, and the uranium engraving selection of the flat portion. The ratio = V3 / V2 is about 16; therefore, even when compared with about 6 and about 9 in each of the conventional methods, it has sufficient practicality in practice. The CF-based gas is thought to have the function of removing silicon and oxygen contained in, for example, the silicon oxide film layers 203 and 207, as Si F4 and Co., and the CHF-based gas is considered to have the function of allowing The silicon and nitrogen of the silicon nitride film layer 2 05 are removed as, for example, Si F 4 and N Η 3. The above-mentioned oxygen system can promote the etching in the depth direction of the laminated film, and by using an inert gas, the etching conditions for adjusting the effect of the processing gas can be easily controlled, so that the shape of the hole to be formed can be maintained appropriately. shape. The mixed gas has uranium etching selectivity for the resist, and also has etching selectivity for silicon. Therefore, when the etching is performed under the above-mentioned conditions, the bottom shape can be formed into an appropriate hole by performing overetching, for example, at 30% over the processing time until the silicon substrate 209 where the base layer can be exposed as a reference. As mentioned above, because the mixture is used as a CF-based gas, such as ------------ I (please read the precautions on the back before filling this page), 1T This paper size applies Chinese national standards ( CNS) A4 specification (210X297 mm) -16- 556285 A7 B7 V. Description of the invention (14) c 5 F 8, as c HF system such as c Η 2 F 2 and as inert gas A r, and then 〇 2 The gas is a processing gas, so that a laminated film including a silicon oxide film layer and a silicon nitride film layer can be etched in a single process. Although the base layer is described using silicon as an example, it may be a metal-based material such as aluminum, tungsten, or titanium nitride. Even CF4 gas, C2F6 gas, C3F8 gas, or C4F6 gas is used as the CF-based gas, and CHF3 gas, CH2F2 gas, CΗ3F gas is used as the CHF-based gas, and He gas, KI * gas, etc. are used as the inert gas. Any other inert gas can also achieve the same effect. On the other hand, as a C F-based gas, the etching selectivity to a resist increases with the decrease in the proportion of fluorine atoms to carbon atoms, but it may slow down the etching rate of the laminated film. In view of this situation, it is desirable to use C4F8 gas, C5F8 gas, and C4F6 gas. As a C H F-based gas, although the ratio of fluorine atoms to hydrogen atoms is reduced, the etching rate of the silicon nitride film can be accelerated, but the uranium etching rate of the silicon oxide film is slowed down. Therefore, it is considered that the thickness of the silicon oxide film is thicker than that of the silicon nitride film in a conventional laminated film. Therefore, it is desirable to use a CHF3 gas or a CH2F2 gas. Fig. 4 is a schematic cross-sectional view of a wafer etched by the uranium etching method according to the second embodiment. As shown in FIG. 4, as a wafer according to the second embodiment, it is shown that a silicon oxide film layer 2 0 7, a silicon nitride film layer 2 05, and a silicon oxide film layer 2 0 3 are formed on a silicon substrate as etching targets. An example of a polycrystalline silicon film 2 1 5 for forming a gate (electrical) electrode exists in a region where a hole of the silicon oxide film layer 207 is to be formed on 209. This paper size applies Chinese National Standard (CNS) Α4 specification (210 × 297 mm) ----.—---- ^ 9— (Please read the precautions on the back before filling this page)

、1T -線 #- 經濟部智慧財產局員工消費合作社印製 -17- 556285 A7 B7 五、發明説明(15) 在第2實施形態,所使用之裝置因也與第1實施形態 者爲同樣,因此省略其說明。於本實施形態’乃在疊層膜 之一部分形成有多晶矽膜2 1 5,而有關第1實施形態之 由混合氣體所實施之鈾刻,由於蝕刻選擇比並未很高’致 使會在露出多晶矽層2 1 5之前,就會終止蝕刻。 然而,該時作爲CHF系氣體採用CHsF2氣體和 CHF3氣體之混合氣體,作爲C F系氣體採用與第1實施 形態同樣之C5F8氣體、C4F氣體或C4Fs氣體、再添 加氧氣及惰氣的混合氣體。 而作爲該時之鈾刻條件,下部電極1 〇 6之溫度設定 成例如-1 0它左右,上部電極1 2 2及處理室1 04內 壁之溫度係各別設定成6 0 °C、5 0 t。 各處理氣體之流量乃調整爲例如C 4 F 8成1 0 s c cm左右、CH2F2成爲1 8 s c cm左右、 CHF3成爲 5 0 s c cm左右、A r 成爲 5 0 0 s c cm 左右、〇2成爲24 s c cm左右。此時,處理室1 04內 之壓力爲例如4 0 m T 〇 r r ,晶圓W背面之傳熱氣體的 壓力,在中心爲20Torr ,在外周圍部爲45 T 〇 r r ° 對於下部電極1 0 6,將施加例如頻率爲2 Μ Η z ' 電力爲1 6 0 0W左右的高頻電力。又在上部電極1 2 2 施加例如頻率爲6 ΟΜΗ z左右,電力爲1 8 0 0W左右 的高頻電力。上部電極1 2 2和晶圓W之距離爲例如2 6 mm。由而可生成高密度電漿於處理容器1 〇 2內,且由 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ——·—----^9— (請先閲讀背面之注意事項再填寫本頁) 訂 線 經濟部智慈財凌局員工消費合作社印製 -18- 556285 A7 B7 五、發明説明(16) 如此之電漿來形成所定形狀之孔2 1 3於晶圓W上的疊層 膜。 (請先閲讀背面之注意事項再填寫本頁) 該時之鈾刻率係氧化矽膜層約爲6 0 0 n m / m i η 、氮化矽膜層約爲4 Ο 0 n m / m i η,因此,與以例如 習知之用於蝕刻氧化矽膜層的反應性氣體來蝕刻氮化矽膜 層時之蝕刻率約爲2 Ο n m / m i η相比較時,可察明已 改善成適合於實用程度之狀況。 又有關對於抗蝕劑的蝕刻選擇性,肩部之蝕刻選擇比 爲約6 ,平坦部之蝕刻選擇比爲約9,即使與習知之方法 的各爲約6、約9相比較,也在實用上已足夠具有實用性 〇 由上述混合氣體所實施之多晶矽的蝕刻率約爲5 0 n m / m i η。以如此,上述混合氣體乃對於抗蝕劑具有 蝕刻選擇性之同時,對於多晶矽也具有鈾刻選擇性。因此 ,由上述條件來進行蝕刻時,多晶矽層2 1 5將作用爲停 止(制動)器,且以蝕刻直至露出該多晶矽層2 1 5爲止 ,就可形成孔2 1 3。 經濟部智慈財產局Η(工消費合作社印製 再者’甚至作爲C F系氣體採用例如C F 4氣體、 c2f6氣體、c3f8氣體、c4f8氣體或c4f6氣體, 作爲惰氣採用例如H e氣體、K r氣體等之其他任何之惰 氣’也可獲得同樣之效果。有關CF系及CHF系之氣體 的作用係與第i實施形態同樣。又多晶矽層2 1 5 ,即使 爲形成於氧化矽膜層2 0 3內之結構時,亦就是形成於所 要鈾刻之多層膜的非爲基底層部分時,也可適用本發明。 ^1氏張尺度適用中國國家標準(CNS ) A4規格(21GX297公釐) ' -19 - 556285 A7 B7 經濟部智慧財度局員工消費合作社印製 五、發明説明(17) 依據如此之第1、第2之實施形態,因作爲含有Η和 F之處理氣體,使用了例如混合C F系氣體、C H F系氣 體、惰氣、氧氣的處理氣體,因而可確保對於抗鈾劑的蝕 刻選擇性之同時,能以具有可實用性的鈾刻率,且能以一 (種)混合氣體來蝕刻包括有氧化矽膜層和氮化矽膜層的 疊層膜。 再者,在第1、第2之實施形態的疊層膜,並未限定 於圖示之結構而已,只要各別具有至少一層之氧化矽膜層 及氮化矽膜層者,即可適用本發明。 接著,在以下予以參照所附上之圖式下來說明有關本 發明之蝕刻方法的理想第3實施形態。再者’對於在本案 專利說明書及圖式中,實質性地具有同一功能結構之構成 元件,將附上同一符號並省略其說明。 首先,以參照圖5下來說明有關適用本實施形態之蝕 刻方法的蝕刻裝置3 0 0。圖5係顯示適用有關本發明之 鈾刻方法的鈾刻裝置3 0 0之槪略剖面圖。於圖5中’與 圖1爲同一部分,將附上同一符號並省略其詳細說明。 如圖5所示,有關第3實施形態之蝕刻裝置3 0 0在 作爲要導入於處理室1 0 4之處理氣體’將使用包含Η、 F之混合氣體之處(或者是使用CF系氣體和CHF氣體 系之混合氣體的一點)’雖與有關第1 、第2之實施形態 的蝕刻裝置有共同之處,但在使用C F 4、C H F 3、A r 之混合氣體的一點有所相異。該情況係由於被處理體之膜 的種類有相異而有所不同。 (請先閲讀背面之注意事項再填寫本頁)、 1T-线 #-Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs-17- 556285 A7 B7 V. Description of Invention (15) In the second embodiment, the device used is the same as that in the first embodiment. Therefore, its description is omitted. In this embodiment mode, a polycrystalline silicon film 2 1 5 is formed on a part of the laminated film, and the uranium etching performed by the mixed gas in the first embodiment mode does not have a high etching selection ratio, so that the polycrystalline silicon is exposed. Etching is terminated before layer 2 1 5. However, at this time, a mixed gas of CHsF2 gas and CHF3 gas is used as the CHF-based gas, and a mixed gas of C5F8 gas, C4F gas or C4Fs gas, and oxygen and inert gas are added as the C F-based gas. As the uranium engraving conditions at this time, the temperature of the lower electrode 10 is set to, for example, about -10, and the temperatures of the inner walls of the upper electrode 12 and the processing chamber 104 are set to 60 ° C and 5 ° respectively. 0 t. The flow rate of each processing gas is adjusted to, for example, C 4 F 80% to about 10 sc cm, CH2F2 to about 18 sc cm, CHF3 to about 50 sc cm, Ar to about 50 sccm, and 〇2 to 24. sc cm around. At this time, the pressure in the processing chamber 104 is, for example, 40 m T 〇rr, and the pressure of the heat transfer gas on the back surface of the wafer W is 20 Torr in the center and 45 T 〇rr in the outer periphery. For the lower electrode 106 , For example, a high-frequency power with a frequency of about 2 MW 'z' and a power of about 16 0 W will be applied. Further, the upper electrode 1 2 2 is applied with, for example, a high-frequency power having a frequency of about 60 MHz and a power of about 18,000 W. The distance between the upper electrode 1 2 2 and the wafer W is, for example, 2 6 mm. As a result, a high-density plasma can be generated in the processing container 102, and the paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) —— · ——---- ^ 9— (Please read the back first Please pay attention to this page, please fill in this page) Printed by the Employees ’Cooperatives of the Intellectual Property Finance Bureau of the Ministry of Economic Affairs -18- 556285 A7 B7 V. Description of the invention (16) Such a plasma is used to form holes of a predetermined shape 2 1 3 于 晶Laminated film on circle W. (Please read the precautions on the back before filling this page.) At that time, the uranium etch rate was about 600 nm / mi η for silicon oxide film and about 4 0 0 nm / mi η for silicon nitride film. When compared with an etching rate of about 20 nm / mi η when a silicon nitride film is etched with, for example, a conventional reactive gas for etching a silicon oxide film, it can be seen that it has been improved to be suitable for practical use. Situation. Regarding the etching selectivity of the resist, the etching selection ratio of the shoulder is about 6 and the etching selection ratio of the flat portion is about 9, which is practical even when compared with the conventional methods of about 6, 9 each. The above is sufficiently practical. The etching rate of polycrystalline silicon implemented by the above mixed gas is about 50 nm / mi η. In this way, the above-mentioned mixed gas has an etching selectivity for a resist and also a uranium etching selectivity for polycrystalline silicon. Therefore, when the etching is performed under the above conditions, the polycrystalline silicon layer 2 1 5 acts as a stopper (brake), and the holes 2 1 3 can be formed by etching until the polycrystalline silicon layer 2 1 5 is exposed. The Intellectual Property Bureau of the Ministry of Economic Affairs (printed by the Industrial and Consumer Cooperatives) even uses CF 4 gas, c2f6 gas, c3f8 gas, c4f8 gas, or c4f6 gas as the CF-based gas, and uses He gas, K r as the inert gas. The same effect can be obtained with any other inert gas such as gas. The function of the CF-based and CHF-based gases is the same as that of the i-th embodiment. The polycrystalline silicon layer 2 1 5 is formed even in the silicon oxide film layer 2 The present invention can also be applied to the structure within 0.3, that is, when the non-base layer part of the multilayer film formed on the desired uranium is engraved. ^ 1 scale is applicable to China National Standard (CNS) A4 specification (21GX297 mm) '-19-556285 A7 B7 Printed by the Consumer Finance Cooperative of the Bureau of Wisdom and Finance of the Ministry of Economic Affairs 5. Description of the invention (17) According to the first and second embodiments described above, for example, as a processing gas containing tritium and F, for example, Mixing CF-based gas, CHF-based gas, inert gas, and oxygen processing gas, so that it can ensure the etch selectivity to uranium-resistant agents, and at the same time, it can be used with a practical uranium etching rate, and it can be mixed with one (species) Gas to etch The laminated film including a silicon oxide film layer and a silicon nitride film layer is engraved. In addition, the laminated film of the first and second embodiments is not limited to the structure shown in the figure, as long as each has at least One layer of silicon oxide film layer and silicon nitride film layer can be applied to the present invention. Next, the ideal third embodiment of the etching method of the present invention will be described below with reference to the attached drawings below. Constituent elements that have substantially the same functional structure in the patent specification and drawings of this case will be given the same reference numerals and descriptions thereof will be omitted. First, an etching apparatus to which the etching method according to this embodiment is applied will be described with reference to FIG. 5. 3 0 0. FIG. 5 is a schematic cross-sectional view of a 3 0 0 uranium engraving device to which the uranium engraving method of the present invention is applied. In FIG. 5, the same parts as those in FIG. Explanation: As shown in FIG. 5, the etching device 3 0 of the third embodiment is used as a processing gas to be introduced into the processing chamber 104, where a mixed gas containing krypton and F is used (or a CF system is used). Gas and CHF gas One point of the mixed gas) 'Although it has the same features as the etching apparatus of the first and second embodiments, the point of using a mixed gas of CF 4, CHF 3, and Ar is different. This situation It depends on the type of film of the object to be treated. (Please read the precautions on the back before filling in this page)

、1T 線#-· 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) -20- 556285 Α7 Β7 五、發明説明(18) (請先閲讀背面之注意事項再填寫本頁) 具體地言,係如圖5所示,在氣體供應管1 2 4乃連 接有例如用於供應C F 4用之處理氣體供應系3 2 6 a ’用 於供應C H F 3用之處理氣體供應系3 2 6 b及用於供應 Ar之處理氣體供應系326c。 而在各處理氣體供應系3 2 6 a、3 2 6b、 3 2 6 b,各別藉由開閉閥3 3 2 a、3 3 2 b、 332c和流量調整閥334a、334b、334c來 連接有CF4氣體供應源3 3 6 a、CHFs氣體供應源 336b及Ar氣體供應源336c。 再者,所要添加於處理氣體之惰氣係不限定於上述 A r而已,只要能調整激勵於處理室1 〇 4內之電漿的氣 體者,則任何惰氣,例如H e、K r等也可採用。 接著,說明有關本發明之触刻方法。有關本發明之蝕 刻方法係在對於形成多晶矽膜和氧化矽膜之膜構造實施蝕 刻時,作爲處理氣體,將由包括有C F 4氣體和C H F 3氣 體之混合氣體來進行乾式鈾刻者。 經濟部智慧財產局員工消費合作社印製 以往,雖以C F 4氣體和A r氣體之混合氣體作爲處理 氣體來蝕刻,但如此之蝕刻,多晶矽膜之蝕刻速度一方因 較氧化矽膜之蝕刻速度爲快,因此,對於同時蝕刻多晶矽 膜和氧化矽膜時,並非爲理想者。 爲此’本發明乃重複地進行實驗的結果,而了解作爲 處理氣體,以使用至少包括有Η和F之混合氣體,例如使 用添加C H F 3热體於C F 4之混合氣體時’可令多晶砂膜 、氧化矽膜一起減少蝕刻速度。再者,也查明多晶矽膜之 本紙張尺度適用中國國家榡準(CNS ) Α4規格(210/297公釐) -21 - 556285 Α7 Β7 五、發明説明(19) 蝕刻速度的降低程度乃較氧化矽膜之蝕刻速度的降低速度 爲大。因此,察明作爲處理氣體,若以適切之比率來混合 c H F 3氣體於C F 4氣體時,就對於氧化矽膜之多晶矽膜 的蝕刻選擇比能成爲接近於1之値,亦即,察明能令多晶 矽膜和氧化矽膜之蝕刻速度略成爲相同。 爲此,爲了證實由C H F 3氣體之流量比而使對於氧化 矽膜之多晶矽的選擇比會如何地產生變化,將說明作爲處 理氣體僅改變C H F 3氣體之流量且由上述蝕刻裝置來進行 蝕刻時的實驗結果。再者,除了 C H F 3氣體之流量以外之 作爲蝕刻時的條件,係處理容器1 0 2內之壓力作成爲 25mTo r r,施加於上部電極122之高頻電力爲 6 0 0W,施加於下部電極1 0 6之高頻電力爲1 5 0W ,上部電極1 2 2和下部電極1 0 6之間隔爲1 1 5 m m ,CF4/Ar之氣體流量比(CF4氣體之流量/Ar氣 體之流量)係作成80 s c cm/300 s c cm,至於 處理室1 0 4內之設定溫度則下部電極作成6 0 °C,上部 電極作成8 0 °C,側壁部作成6 0 °C,並將形成多晶矽膜 於晶圓整面的試件,及形成由熱氧化所生成之氧化矽膜於 晶圓整面的試件,各別實施蝕刻而各別測量鈾刻率( E / R )。 當C H F 3氣體之流量爲0 s c c m,亦就是如以往( 習知)並沒有C H F 3氣體之狀態時,多晶矽膜之蝕刻率爲 68 . 6nm/mi η且面內均勻性爲± 5 · 8%,而氧 化矽膜之蝕刻率爲5 2 · 3 n m /m i η且面內均勻性爲 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ----.—----4P— (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部智葸財產局員工消費合作社印製 • - 1- I I _ -22- 556285 經濟部智慧財產局員工消費合作社印製 A7 B7五、發明説明(20 ) ± 3 · 4 %,有關氧化矽膜/多晶矽膜之選擇比(氧化砂 膜之鈾刻率/多晶矽膜之鈾刻率)係0 . 7 6。又令 C H F 3氣體之流量成爲1 0 s c c m時,多晶矽膜之蝕刻 率爲6 1 · 8nm/mi η且面內均勻性爲± 5 · 8%, 而氧化矽膜之鈾刻率爲5 1 · 4nm/mi η且面內均勻 性爲± 3 . 5 %,有關氧化矽膜/多晶矽膜之選擇比爲 0,83° 當CHF3氣體之流量爲5 0 s c cm時,多晶矽膜之 蝕刻率爲4 4 _ 0 n m / m i η且面內均勻性爲± 9 . 0 %,而氧化矽膜之鈾刻率爲47 . 8nm/mi η且面內 均勻性爲± 4 · 3 %,有關氧化矽膜/多晶矽膜之選擇比 爲1 · 09。又CHF3氣體之流量作成1 〇〇 s c cm時 ,多晶矽膜之蝕刻率爲3 4 . 2 n m / m i η且面內均勻 性爲± 1 2 · 1 %,而氧化矽膜之蝕刻率爲4 8 _ 8 n m /m i η且面內均勻性爲± 5 . 1%,有關氧化矽膜/多 晶矽膜之選擇比爲1 . 4 3。 將該等之實驗結果以曲線圖所表示者予以顯示於圖6 〜圖8。圖6係顯示C H F 3 / C F 4之氣體流量比( C H F 3氣體之對C F 4氣體的氣體流量比),和多晶矽膜 之蝕刻率,面內均勻性的關係。依據該圖6,多晶矽之蝕 刻率係會在作爲處理氣體不含有C H F 3時,亦即, C H F 3 / C F 4之氣體流量比爲0時爲大,且伴隨著增大 C H F 3 / C F 4之氣體流量比而降低。而面內均勻性係伴 隨著增大C H F 3 / C F 4之氣體流量比而數値會增,亦就 (請先閲讀背面之注意事項再填寫本頁) 、訂 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X 297公釐) -23- 556285 A7 B7 五、發明説明(21 ) 是會降低面內均勻性。圖7係顯示C H F 3 / C F 4之氣體 流量比,和氧化矽膜之蝕刻率,面內均勻性之關係。依據 該圖7,氧化矽膜之蝕刻率,當作爲處理氣體不會有 CHF3時,亦即,從CHF3/CF4之氣體流量比爲〇 時,雖會伴隨著增加C H F 3 / C F 4之氣體流量而僅有少 許降低,但可謂幾乎未產生變化,而面內均勻性則伴隨著 增加C H F 3 / C F 4之氣體流量比而僅有少許會使數値變 大,亦就是面內均勻性會逐漸降低。 增大C H F 3 / C F 4之比率時,多晶矽膜之蝕刻率所 以會產生降低,係可思爲對於蝕刻多晶矽膜最有貢獻之F ,將會由CHF3之分離所生成之Η而成爲HF來消耗之緣 故。另一方面蝕刻氧化矽膜時,C和F會有大的貢獻,因 此可思爲即使F成爲H F而消耗,其影響爲不大,因而蝕 刻率幾乎不會降低。 圖8係顯示C H F 3 / C F 4之氣體流量比,和氧化矽 膜/多晶矽膜之選擇比的關係。依據該圖8,氧化矽膜/ 多晶矽膜之選擇比係伴隨著增大C H F 3 / C F 4之氣體流 量比而增大。甚至超過最適當之選擇比1也仍然在增大。 以如上述,依據圖6〜圖8可察明C H F 3對於C F 4 增加流量比,主要僅會使多晶矽膜之蝕刻率朝降低方向作 用,相反地令面內均勻性會朝降低方向產生作用。因而, 當不含CHF3之時,亦即CHF3/C F4之流量比爲0 時,由於多晶矽膜之蝕刻率較氧化矽膜之蝕刻率爲大,因 此,以增加C H F 3 / C F 4之流量比,就可令氧化矽膜/ (請先閲讀背面之注意事項再填寫本頁) 、?τ 線秦 經濟部智慧財產局員工消費合作杜印製 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) -24- 556285 A7 B7 五、發明説明(22 ) 多晶矽膜之選擇比接近於1之値。該時,倘若增加c H F 3 / C F 4之流量比過多時,因能使面內均勻性產生降低,因 此’有需要盡可能地抑制面內均勻性之降低並考量理想之 範圍。 在實用性言’氧化矽膜/多晶矽膜之選擇比理想爲在 於0 · 8以上1 · 2以下,在於〇 . 9以上1 . 1以下時 可謂在於更理想之範圍。因此,倘若參照圖8時,作爲 C H F 3 / C F 4之流量比,可謂理想爲〇 · 1以上〇 · 8 以下,更理想爲0 · 2以上〇 . 7以下之範圍。 接著,參照圖9之下來說明使用上述鈾刻裝置且適用 有關本實施形態之鈾刻方法的過程。首先,將說明適用有 關本發明之蝕刻方法的多晶矽膜及氧化矽膜的膜構造之具 體例子。 該膜構造係以如下來形成。將在形成於晶圓上之絕緣 膜4 0 0上,予以形成由例如C V D (化學汽相澱積法) 所形成之B P S G、P S G、U S G、或以熱氧化所形成 之如Th-Oxide的氧化矽膜4 2 0。其次,塗佈光致抗蝕劑 於該氧化矽膜4 2 0上之後,予以形成光致抗蝕刻圖型, 並將其作爲遮蔽(屏蔽)且由乾式蝕刻來形成接觸孔於氧 化矽膜4 2 0。 而後,予以去除光致抗蝕劑圖型後,在露出接觸孔等 之部分形成多晶矽膜4 4 0 〔參照圖9 ( a )〕。接著, 由C V D等來形成氧化矽膜4 6 0 〔參照圖9 ( b )〕於 該多晶砂膜4 4: 0上。 本紙張尺度適用中國國家榡準(CNS ) Μ規格(210X297公釐) ·—----— (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 -25- 556285 Α7 Β7 五、發明説明(23 ) 其次,對於如此所形成之膜構造’由有關本發明之蝕 刻方法予以同時再蝕刻(Etch Back )多晶砂膜4 4 0及氧 化砂膜4 6 0。亦即’導入包括有C F 4氣體和CH F 3氣 體及作爲惰氣之例如A r氣體的混合氣體所形成之處理氣 體至處理容器1 0 2內來實施電漿處理,以進行再蝕刻( 第2次蝕刻)。而此時之作爲鈾刻條件,係例如處理容器 1 0 2內之壓力爲2 5 m T 〇 r r,所施加於上部電極 1 2 2之高頻電力爲6 0 0W ’所施加於下部電極1 〇 6 之高頻電力爲1 5 0W,且上部電極1 2 2和下部電極 1 06 之間隔作成 1 1 5mm,而 CF4/CHF3/Ar 之氣體流量比作成爲80 s c cm/50 s c cm/ 3 0 0 s c cm,至於處理室1 0 4內之設定溫度係下部 電極爲6 0 °C,上部電極爲8 0 °C,側壁部爲6 0 t:。由 而,氧化矽膜4 2 0,多晶矽膜4 4 0及氧化矽膜4 6 0 之蝕刻速度會形成略爲相同,且氧化矽膜/多晶矽膜之選 擇比也會成爲略爲1之値,使得可實施如圖9 ( c )所示 之在鈾刻後之表面形成凹凸少之再鈾刻,因此,可意圖基 板上之元件的更微細化。 再者,將在此顯示作爲蝕刻時之條件,以改變C H F 3 之流量以外的參數來實驗會對於氧化矽膜及多晶矽膜之鈾 刻率,氧化矽膜/多晶矽膜之選擇比產生何種影響的實驗 結果。將各別蝕刻形成有以C V D所形成之氧化砂膜於晶 圓整面的試件,及形成有多晶矽膜於晶圓整面的試件,並 各別予以測量其蝕刻率(E / R )。 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐〉 (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 -26- 經濟部智慧財產局員工消費合作社印製 556285 Α7 Β7 五、發明説明(24) 於上述之測量蝕刻率’作爲基準之蝕刻時的條件係處 理容器1 02內之壓力爲25mTo r r ,所施加於上部 電極1 2 2之高頻電力爲6 0 0W,所施加於下部電極 1 0 6之高頻電力(偏壓電力)爲1 5 0W,且上部電極 1 2 2和下部電極1 〇6之間隔作成1 1 5mm,而CF4 /CHF3/A r之氣體流量比作成爲8 0 s c cm/5〇 s c cm/3 00 s c cm,至於處理室1 〇4內之設定 溫度係下部電極爲6 0 °C,上部電極爲8 0 °C,側壁部爲 6 0。。。 首先,在該等條件中,令所施加於下部電極1 0 6之 高頻電力(偏壓電力)從1 5 0W增加成3 0 0W來蝕亥[J 時,多晶矽膜之蝕刻率爲5 9 · 6 n m /m i η且面內均 勻性爲± 1 3 . 7 %,而氧化矽膜之蝕刻率爲7 4 · 8 n m / m i η且面內均勻性爲± 5 . 4 %,有關氧化矽膜 /多晶矽膜之選擇比爲1 · 2 5。 依據該結果,當增加偏壓電力時,氧化矽膜及多晶砂 膜之任方之一均可增大鈾刻率,足見增加偏壓電力可產生 朝加速蝕刻速度之方法的作用。尤其較多晶矽膜之蝕刻率 在氧化矽膜之蝕刻率所增加之程度爲大,因而可察明會產 生較多晶膜之蝕刻速度更會加速氧化矽膜之蝕刻速度的作 用。其狀況係在提高偏壓電力時,會加大自偏壓而使電漿 離子更容易引入於晶圓,使得加速濺射率,由而氧化膜一 方之鈾刻速度成爲更快而形成者。又偏壓電力之增加可朝 著提高氧化矽膜/多晶矽膜之選擇比的方向產生作用。再 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) (請先閲讀背面之注意事項再填寫本頁)、 1T line #-· This paper size applies to Chinese National Standard (CNS) Α4 specification (210X297mm) -20- 556285 Α7 Β7 V. Description of the invention (18) (Please read the precautions on the back before filling this page) Specific In the local dialect, as shown in FIG. 5, a gas supply pipe 1 2 4 is connected to, for example, a processing gas supply system 3 for supplying CF 4 3 2 6 a 'a processing gas supply system 3 for supplying CHF 3 2 6 b and a process gas supply system 326 c for supplying Ar. The respective process gas supply systems 3 2 6 a, 3 2 6b, 3 2 6 b are connected to each other through on-off valves 3 3 2 a, 3 3 2 b, 332c, and flow adjustment valves 334a, 334b, and 334c. CF4 gas supply source 3 3 6 a, CHFs gas supply source 336b, and Ar gas supply source 336c. Furthermore, the inert gas system to be added to the processing gas is not limited to the above-mentioned A r, as long as the gas that excites the plasma in the processing chamber 104 can be adjusted, any inert gas, such as He, K r, etc. Can also be used. Next, an etching method according to the present invention will be described. In the etching method according to the present invention, when a film structure for forming a polycrystalline silicon film and a silicon oxide film is etched, as a processing gas, dry uranium etching is performed using a mixed gas including a C F 4 gas and a C H F 3 gas. Printed in the past by the Consumers' Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs, although the mixed gas of CF 4 gas and Ar gas is used as the processing gas for etching, the etching speed of the polycrystalline silicon film is faster than that of the silicon oxide film. Fast, therefore, it is not ideal for etching a polycrystalline silicon film and a silicon oxide film at the same time. For this reason, the present invention is the result of repeated experiments, and it is understood that as a processing gas, a mixed gas including at least krypton and F is used, for example, when a mixed gas containing CHF 3 heating body and CF 4 is used, polycrystalline Sand film and silicon oxide film reduce the etching speed together. In addition, it was also found that the paper size of the polycrystalline silicon film is applicable to the Chinese National Standard (CNS) A4 specification (210/297 mm) -21-556285 Α7 Β7 V. Description of the invention (19) The reduction in etching speed is more oxidized The reduction rate of the etching speed of the silicon film is large. Therefore, it is found that if the c HF 3 gas is mixed with the CF 4 gas at an appropriate ratio as the processing gas, the etching selection ratio of the polycrystalline silicon film of the silicon oxide film can be close to one, that is, it is found that It can make the etching speed of polycrystalline silicon film and silicon oxide film be slightly the same. Therefore, in order to confirm how the selection ratio of polycrystalline silicon for a silicon oxide film is changed by the flow rate ratio of CHF 3 gas, it will be explained that when the flow rate of only CHF 3 gas is changed as a processing gas and etching is performed by the above-mentioned etching apparatus, Experimental results. In addition, the conditions other than the flow rate of the CHF 3 gas are used as conditions for etching. The pressure in the processing vessel 10 is set to 25 mTo rr, and the high-frequency power applied to the upper electrode 122 is 60 0 W, which is applied to the lower electrode 1. The high-frequency power of 0 6 is 150 W, the distance between the upper electrode 1 2 2 and the lower electrode 10 6 is 1 15 mm, and the CF4 / Ar gas flow ratio (CF4 gas flow / Ar gas flow) is made. 80 sc cm / 300 sc cm, as for the set temperature in processing chamber 104, the lower electrode is made 60 ° C, the upper electrode is made 80 ° C, the side wall is made 60 ° C, and a polycrystalline silicon film is formed on the crystal. Round test pieces and test pieces forming silicon oxide films formed by thermal oxidation on the entire surface of the wafer were individually etched to measure the uranium etch rate (E / R). When the flow rate of CHF 3 gas is 0 sccm, which is the state where there is no CHF 3 gas as before (known), the etching rate of polycrystalline silicon film is 68.6nm / mi η and the in-plane uniformity is ± 5 · 8% , And the etch rate of the silicon oxide film is 5 2 · 3 nm / mi η and the in-plane uniformity is based on the Chinese paper standard (CNS) A4 specification (210X297 mm) ----.------ 4P— (Please read the notes on the back before filling out this page) Order printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs •-1- II _ -22- 556285 Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 Five 2. Description of the invention (20) ± 3.4%, the selection ratio of silicon oxide film / polycrystalline silicon film (uranium etch rate of oxide sand film / uranium etch rate of polycrystalline silicon film) is 0.76. When the flow rate of CHF 3 gas is 10 sccm, the etching rate of the polycrystalline silicon film is 6 1 · 8nm / mi η and the in-plane uniformity is ± 5 · 8%, and the uranium etching rate of the silicon oxide film is 5 1 · 4nm / mi η and in-plane uniformity of ± 3.5%. The selection ratio of the silicon oxide film / polycrystalline silicon film is 0,83 °. When the flow of CHF3 gas is 50 sccm, the etching rate of the polycrystalline silicon film is 4 4 _ 0 nm / mi η and the in-plane uniformity is ± 9.0%, and the uranium etch rate of the silicon oxide film is 47.8nm / mi η and the in-plane uniformity is ± 4 · 3%. The selection ratio of polycrystalline silicon film is 1.09. When the flow rate of CHF3 gas was made to 100 sc cm, the etching rate of the polycrystalline silicon film was 34.2 nm / mi η and the in-plane uniformity was ± 1 2 · 1%, while the etching rate of the silicon oxide film was 4 8 _ 8 nm / mi η and the in-plane uniformity is ± 5.1%, and the selection ratio of the silicon oxide film / polycrystalline silicon film is 1. 43. These experimental results are shown in graphs in Figs. 6 to 8. Figure 6 shows the relationship between the gas flow ratio of CH F 3 / C F 4 (the gas flow ratio of C H F 3 to C F 4 gas), the etching rate of the polycrystalline silicon film, and the in-plane uniformity. According to FIG. 6, the etching rate of polycrystalline silicon is large when CHF 3 is not included as the processing gas, that is, when the gas flow ratio of CHF 3 / CF 4 is 0, and the CHF 3 / CF 4 is increased. The gas flow rate decreases. The in-plane uniformity will increase as the gas flow ratio of CHF 3 / CF 4 increases. (Please read the precautions on the back before filling this page). CNS) A4 specification (210X 297 mm) -23- 556285 A7 B7 V. Description of the invention (21) will reduce the in-plane uniformity. Fig. 7 shows the relationship between the gas flow rate of CH F 3 / C F 4 and the etching rate and in-plane uniformity of the silicon oxide film. According to FIG. 7, when the etching rate of the silicon oxide film does not have CHF3 as the processing gas, that is, when the gas flow rate ratio from CHF3 / CF4 is 0, the gas flow rate of CHF 3 / CF 4 is increased. There is only a small decrease, but it can be said that there is almost no change, and the in-plane uniformity is accompanied by an increase in the gas flow ratio of CHF 3 / CF 4. Only a small amount will increase the number, that is, the in-plane uniformity will gradually increase. reduce. When the ratio of CHF 3 / CF 4 is increased, the etching rate of the polycrystalline silicon film is reduced. It can be considered that F, which contributes most to the etching of the polycrystalline silicon film, will be consumed by the tritium generated by the separation of CHF3 and consumed as HF. The reason. On the other hand, when the silicon oxide film is etched, C and F have a large contribution. Therefore, it can be considered that even if F becomes H F and is consumed, the effect is not large, so the etching rate is hardly reduced. Figure 8 shows the relationship between the gas flow ratio of CH F 3 / C F 4 and the selection ratio of the silicon oxide film / polycrystalline silicon film. According to FIG. 8, the selection ratio of the silicon oxide film / polycrystalline silicon film is increased as the gas flow ratio of C H F 3 / C F 4 is increased. Even exceeding the most appropriate selection ratio is still increasing. As described above, it can be seen from FIG. 6 to FIG. 8 that the increase in the flow rate ratio of C H F 3 to C F 4 mainly causes the etching rate of the polycrystalline silicon film to decrease, and conversely, the in-plane uniformity will decrease. Therefore, when CHF3 is not included, that is, when the flow rate ratio of CHF3 / C F4 is 0, since the etching rate of the polycrystalline silicon film is greater than that of the silicon oxide film, the flow rate ratio of CHF 3 / CF 4 is increased. , You can make the silicon oxide film / (Please read the precautions on the back before filling out this page),? Τ line Qin Qin Ministry of Economic Affairs Intellectual Property Bureau employee consumer cooperation Du printed this paper size applies Chinese National Standard (CNS) Α4 specifications ( 210X297 mm) -24- 556285 A7 B7 V. Description of the invention (22) The selection ratio of polycrystalline silicon film is close to 1. In this case, if the flow rate ratio of c H F 3 / C F 4 is increased too much, the in-plane uniformity can be reduced. Therefore, it is necessary to suppress the decrease in in-plane uniformity as much as possible and consider an ideal range. In practical terms, the selection ratio of the silicon oxide film / polycrystalline silicon film is preferably from 0. 8 to 1.2, and from 0.9 to 1.1, which is a more desirable range. Therefore, when referring to FIG. 8, the flow rate ratio of C H F 3 / C F 4 is preferably in a range of ≦ 0.1 to ≦ 0.8, and more preferably in a range of ≦ 0.2 to 0.7. Next, a process using the above-mentioned uranium engraving device and applying the uranium engraving method according to this embodiment will be described with reference to FIG. 9. First, a specific example of a film structure of a polycrystalline silicon film and a silicon oxide film to which the etching method of the present invention is applied will be described. This film structure is formed as follows. On the insulating film 400 formed on the wafer, BPSG, PSG, USG formed by, for example, CVD (chemical vapor deposition), or oxidation such as Th-Oxide formed by thermal oxidation is formed. Silicon film 4 2 0. Next, after applying a photoresist on the silicon oxide film 4 2 0, a photoresist pattern is formed, and the photoresist is used as a mask (shielding), and contact holes are formed in the silicon oxide film 4 by dry etching. 2 0. Then, after removing the photoresist pattern, a polycrystalline silicon film 4 4 0 is formed in a portion where the contact hole or the like is exposed [see FIG. 9 (a)]. Next, a silicon oxide film 4 6 0 [see FIG. 9 (b)] is formed on the polycrystalline sand film 4 4: 0 by C V D or the like. This paper size applies to China National Standards (CNS) M specifications (210X297 mm) · —————— (Please read the precautions on the back before filling out this page) Order printed by the Intellectual Property Bureau of the Ministry of Economic Affairs Consumer Cooperatives- 25- 556285 Α7 Β7 V. Description of the invention (23) Secondly, for the film structure thus formed, the polycrystalline sand film 4 4 0 and the oxide sand film 4 6 are simultaneously re-etched by the etching method of the present invention. 0. That is, the treatment gas formed by introducing a mixed gas including a CF 4 gas and a CH F 3 gas and an inert gas such as an Ar gas into the processing container 102 is plasma-treated for re-etching ( 2 etchings). The uranium etching conditions at this time are, for example, the pressure in the processing vessel 102 is 25 m T 0rr, and the high-frequency power applied to the upper electrode 12 2 is 60 0W 'applied to the lower electrode 1 〇6 high-frequency power is 150W, and the distance between the upper electrode 1 2 2 and the lower electrode 1 06 is made 1 15 mm, and the CF4 / CHF3 / Ar gas flow ratio is 80 sc cm / 50 sc cm / 3 0 0 sc cm, as for the set temperature in the processing chamber 104, the lower electrode is 60 ° C, the upper electrode is 80 ° C, and the side wall portion is 60 t. As a result, the etching speeds of the silicon oxide film 4 2 0, the polycrystalline silicon film 4 4 0 and the silicon oxide film 4 6 0 will be formed to be slightly the same, and the selection ratio of the silicon oxide film / polycrystalline silicon film will also be slightly larger than 1. As shown in FIG. 9 (c), it is possible to perform the re-uranium engraving with less unevenness on the surface after the uranium engraving. Therefore, it is possible to further miniaturize the elements on the substrate. In addition, it will be shown here as the conditions at the time of the etching that changing the parameters other than the flow rate of CHF 3 to experiment will affect the uranium etch rate of the silicon oxide film and polycrystalline silicon film, and the selection ratio of the silicon oxide film / polycrystalline silicon film. Experimental results. The test pieces were etched with the oxide sand film formed by CVD on the entire surface of the wafer, and the test pieces were formed with the polycrystalline silicon film on the entire surface of the wafer, and the etch rates (E / R) were measured. . This paper size applies to China National Standard (CNS) Α4 specification (210X297 mm) (Please read the precautions on the back before filling this page) Order printed by the Intellectual Property Bureau of the Ministry of Economic Affairs Consumer Cooperatives 26- Intellectual Property Bureau employees of the Ministry of Economic Affairs Printed by a consumer cooperative 556285 A7 B7 V. Description of the invention (24) The conditions for the above-mentioned measurement of the etching rate 'as the basis of the etching conditions are that the pressure in the processing container 10 02 is 25 mTo rr and the height applied to the upper electrode 1 2 2 The frequency power is 600W, the high-frequency power (bias power) applied to the lower electrode 106 is 150W, and the interval between the upper electrode 12 and the lower electrode 106 is 1 15mm, and CF4 The gas flow rate of / CHF3 / A r is 8 0 sc cm / 5〇sc cm / 3 00 sc cm. As for the set temperature in the processing chamber 10, the lower electrode is 60 ° C and the upper electrode is 80. ° C, the side wall portion is 60. First, in these conditions, the high-frequency power (bias power) applied to the lower electrode 106 is increased from 150 W to 300 W to etch [ At J, the etch rate of the polycrystalline silicon film is 5 9 · 6 nm / mi η and the in-plane uniformity is ± 13.7%, and the etching rate of the silicon oxide film is 7 4 · 8 nm / mi η and the in-plane uniformity is ± 5.4%. The selection ratio of the silicon oxide film / polycrystalline silicon film is 1. 2 5. According to this result, when the bias power is increased, either one of the silicon oxide film and the polycrystalline sand film can increase the uranium etching rate, which shows that increasing the bias power can produce a method that accelerates the etching rate. The etching rate of the polycrystalline silicon film is larger than that of the silicon oxide film, so it can be found that the etching rate that will produce more crystal films will accelerate the etching rate of the silicon oxide film. The situation is to increase the bias. When pressurizing power, the self-bias voltage will be increased, so that plasma ions will be more easily introduced into the wafer, so that the sputtering rate will be accelerated, and the uranium etching speed on the oxide film side will become faster and faster. It can play a role in increasing the selection ratio of silicon oxide film / polycrystalline silicon film. The size of this paper applies the Chinese National Standard (CNS) A4 specification (210 × 297 mm) (Please read the precautions on the back before filling this page)

-27- 經濟部智慧財產局S工消費合作社印製 556285 A7 __ _ _ B7 五、發明説明(25 ) 者’有關蝕刻率之面內均勻性,當增加偏壓電力時,均會 使氧化矽膜及多晶矽膜的任何之一變爲不佳。 其次,以上述條件下,令處理容器1 0 2內之壓力從 2 5 m T 〇 r r增加到5 0 m T 〇 r r來進行鈾刻,其結 果,多晶砂膜之鈾刻率爲5 5 · 6 n m /m i η,且面內 均勻性爲± 1 9 . 1 %,而氧化矽膜之蝕刻率爲8 9 . 6 nm/mi η且面內均勻性爲± 5 . 5%,有關氧化矽膜 /多晶矽膜之選擇比爲1 . 6 1。 依據該結果,予以增加處理容器1 0 2內之壓力,會 朝加速氧化矽膜之鈾刻速度的方向產生作用,而會朝減慢 多晶矽膜之蝕刻速度的方向產生作用。又會朝降低氧化膜 之蝕刻率的面內均勻性方向產生作用。 又增加處理容器1 0 2內之壓力,會朝提高氧化矽膜 /多晶矽膜之選擇比的方向產生作用。 其次,以該條件下,更使C H F 3之流量從5 0 s c c m減少成2 5 s c c m來進行蝕刻,其結果,多晶 矽膜之蝕刻率爲7 3 · 8 n m/m i η且面內均勻性爲 ± 1 3 . 5 %,而氧化矽膜之蝕刻率爲8 3 · 6 n m/ m i η且面內均勻性爲± 4 · 9 %,有關氧化矽膜/多晶 矽膜之選擇比爲1 . 1 3。 依據該結果,察明增加偏壓電力又增加處理容器 1 0 2內之壓力時,雖會加速鈾刻速度,但反而令增加氧 化矽膜/多晶矽膜之選擇比成爲大於1之份量,可由減少 C H F 3之流量而可調整爲理想之接近於1之數値’同時可 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐) (請先閲讀背面之注意事項再填寫本頁)-27- Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs, S Industrial Consumer Cooperative, 556285 A7 __ _ _ B7 V. Description of the Invention (25) The in-plane uniformity of the etching rate, when the bias voltage is increased, the silicon oxide will Any one of the film and the polycrystalline silicon film becomes poor. Secondly, under the above conditions, the pressure in the processing vessel 102 was increased from 25 m T rr to 50 m T rr to perform uranium engraving. As a result, the uranium engraving rate of the polycrystalline sand film was 5 5 · 6 nm / mi η, and the in-plane uniformity is ± 19.1%, and the etch rate of the silicon oxide film is 89.6 nm / mi η, and the in-plane uniformity is ± 5.5%, the related oxidation The selection ratio of silicon film / polycrystalline silicon film is 1.6. According to this result, increasing the pressure in the processing vessel 102 will act to accelerate the uranium etch rate of the silicon oxide film, and will decrease the etch rate of the polycrystalline silicon film. It also acts in the direction of reducing in-plane uniformity of the etch rate of the oxide film. Increasing the pressure inside the processing vessel 102 will also increase the selectivity of the silicon oxide film / polycrystalline silicon film. Next, under these conditions, the flow rate of CHF 3 was reduced from 50 sccm to 2 5 sccm for etching. As a result, the polysilicon film had an etching rate of 7 3 · 8 nm / mi η and in-plane uniformity was ± 13.5%, and the etch rate of the silicon oxide film is 83.6 nm / mi η and the in-plane uniformity is ± 4.9%. The selection ratio of the silicon oxide film / polycrystalline silicon film is 1.1.3. According to the results, it was found that increasing the bias power and increasing the pressure in the processing vessel 102 would accelerate the uranium engraving speed, but instead made the selection ratio of increasing the silicon oxide film / polycrystalline silicon film greater than one, which could be reduced by The flow of CHF 3 can be adjusted to an ideal number close to 1. At the same time, the paper size can be applied to the Chinese National Standard (CNS) A4 specification (210 × 297 mm) (Please read the precautions on the back before filling this page)

-28- 556285 A7 B7 五、發明説明(26) 令惡化氧化矽膜及多晶矽膜之蝕刻率的面內均勻性之份量 予以改善少許。由而,可加速蝕刻速度之同時,可調整氧 化矽膜/多晶矽膜之選擇比接近於理想的1之數値,並也 可維持氧化矽膜及多晶矽膜之蝕刻率的面內均勻性於具有 實用性之數値。 依據如此之第3實施形態,甚至對於作爲矽系之不同 膜所具有之多晶矽膜和氧化矽膜的膜構造,也可由使用包 括有Η和F之處理氣體,例如包括有C F系氣體和CHF 系氣體的混合氣體,就能以一(種)處理氣體來實施蝕亥[J 。再者,當在同時鈾刻多晶矽膜和氧化矽膜時,可減少蝕 刻後之表面產生凹凸。 例如予以選擇由至少包括有C F 4氣體和c H F 3氣體 之混合氣體所形成之處理氣體的流量比來實施電漿處理, 而以略爲相同之速度實施蝕刻,就可減少蝕刻後之表面成 爲凹凸之狀態。 又可由所謂改變在處理氣體之C H F 3氣體對於c F 4 氣體的流量比之簡單方法而可容易地控制氧化矽膜和多晶 矽膜的蝕刻比成爲接近於1之數値。 再者,於第3實施形態’作爲要進行再蝕刻之氧化石夕 膜,雖舉例以熱氧化膜所形成之Th-CUide膜,但不一定需 要限定於該膜者,也包括了由c V D所形成之c V D膜, 由以旋轉之離心力來塗佈液體玻璃於晶圓整面之S〇G ( spin on glass,旋轉玻璃)所形成之S〇G膜、及其他之熱 氧化膜。 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) ----------φ„ (請先閲讀背面之注意事項再填寫本頁)-28- 556285 A7 B7 V. Explanation of the invention (26) The amount of in-plane uniformity that deteriorates the etching rate of the silicon oxide film and polycrystalline silicon film is improved a little. As a result, the etching rate can be accelerated, and the selection ratio of the silicon oxide film / polycrystalline silicon film can be adjusted to a number close to the ideal number 値, and the in-plane uniformity of the etching rate of the silicon oxide film and the polycrystalline silicon film can be maintained. Number of practicability. According to such a third embodiment, even for the film structure of the polycrystalline silicon film and the silicon oxide film which are different films of the silicon system, a process gas including ytterbium and F can be used, for example, including a CF-based gas and a CHF-based film. A mixed gas of gas can be used as a process gas (J). Furthermore, when the polycrystalline silicon film and the silicon oxide film are etched at the same time, it is possible to reduce unevenness on the surface after the etching. For example, if plasma flow treatment is performed by selecting a flow rate of a processing gas formed by a mixed gas including at least CF 4 gas and c HF 3 gas, and etching is performed at approximately the same speed, the surface after etching can be reduced. The state of unevenness. It is also possible to easily control the etching ratio of the silicon oxide film and the polycrystalline silicon film to a number close to 1 by a simple method of changing the flow rate ratio of the C H F 3 gas to the c F 4 gas in the processing gas. Furthermore, in the third embodiment, as the oxide stone film to be re-etched, although a Th-CUide film formed by using a thermal oxide film is exemplified, it is not necessarily limited to this film, and it also includes c VD The formed c VD film is a SOG film formed by coating a liquid glass on the entire surface of the wafer with a spin centrifugal force, such as a SOG film, and other thermal oxide films. This paper size applies to China National Standard (CNS) Α4 specification (210 × 297 mm) ---------- φ „(Please read the precautions on the back before filling this page)

,1T 經濟部智慧財產局爵(工消費合作社印製, 1T Intellectual Property Bureau of the Ministry of Economic Affairs (printed by Industrial and Consumer Cooperatives)

• —h· m —L -29- 556285 A7 B7 五、發明説明(27) 以上,雖參照所附上之圖式來說明有關本發明的蝕刻 方法之理想實施形態,但本發明並非僅限定於如此之實施 例而已。倘若爲本行業界者,在記載於申請專利範圍之技 術性的思想可想到各種之變更例或修正例極爲顯明,對於 該等當然也屬於本發明之技術性範圍應可了解。 例如在上述實施形態,雖以平行平板型之蝕刻裝置爲 例來說明,但本發明並未限定於如此之結構而已。本發明 也可適用於磁控管型或感應耦合型等之各種電漿處理裝置 。又本發明不僅可適用於蝕刻處理而已,也可適用於進行 灰化(ashing )處理或生成膜處理等之各種電漿處理裝置。 又本發明也可適用於對L C D用玻璃基板實施理想的裝置 〇 〔產業上之可利用性〕 本發明係可利用於半導體裝置之製造過程,尤其可利 用於蝕刻過程,更具體地言時,可利用於可同時蝕刻矽系 之不同種類的膜用的蝕刻方法。 〔圖式之簡單說明〕 圖1係顯示適用於有關本發明之第1實施形態的蝕刻 方法之蝕刻裝置的槪略剖面圖。 圖2係顯示使用於第1實施形態之晶圓W的槪略剖面 圖。 圖3係顯示由有關第1實施形態之鈾刻方法所蝕刻之 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) ------------- f (請先閱讀背面之注意事項再填寫本頁)• —h · m —L -29- 556285 A7 B7 V. Description of the Invention (27) Above, although the preferred embodiment of the etching method of the present invention is described with reference to the attached drawings, the present invention is not limited to Such an embodiment is nothing more. If it is a person in the industry, various changes or amendments to the technical ideas recorded in the scope of the patent application can be conspicuously obvious, and it should be understood that these naturally belong to the technical scope of the present invention. For example, in the above-mentioned embodiment, a parallel-plate-type etching device is used as an example, but the present invention is not limited to such a structure. The present invention is also applicable to various plasma processing devices such as a magnetron type or an inductive coupling type. The present invention is applicable not only to the etching process, but also to various plasma processing apparatuses that perform an ashing process or a film formation process. The present invention can also be applied to implement ideal devices for LCD glass substrates. [Industrial Applicability] The present invention can be used in the manufacturing process of semiconductor devices, especially in the etching process. More specifically, It can be used for an etching method that can simultaneously etch different types of silicon-based films. [Brief Description of the Drawings] Fig. 1 is a schematic cross-sectional view showing an etching apparatus applied to an etching method according to a first embodiment of the present invention. Fig. 2 is a schematic cross-sectional view showing a wafer W used in the first embodiment. Figure 3 shows that the paper size etched by the uranium engraving method according to the first embodiment applies the Chinese National Standard (CNS) A4 specification (210X297 mm) ------------- f (Please (Read the notes on the back before filling out this page)

、1T 線一 經濟部智慧財產局S工消費合作社印製 -30- 556285 A7 B7 五、發明説明(28) 晶圓的槪略剖面圖。 (請先閱讀背面之注意事項再填寫本頁) 圖4係由有關第2實施形態之蝕刻方法所蝕刻之晶圓 的槪略剖面圖。 圖5係可適用第3實施形態之鈾刻方法的蝕刻裝置之 槪略結構圖。 圖6係顯示蝕刻第3實施形態之多晶矽膜時的實驗結 果之圖。 圖7係顯示蝕刻第3實施形態之氧化矽膜時的實驗結 果之圖。 圖8係顯示第3實施形態之實驗結果之圖,顯示 C H F 3 / C F 4之流量比和氧化矽膜/多晶矽膜的選擇比 之關係的圖。 圖9 ( a )〜(c )係第3實施形態之蝕刻方法的過 程圖。 圖1 0 ( a )〜(c )係顯示習知之疊層膜的蝕刻過 程之槪略剖面圖。 圖1 1係顯示要進行再蝕刻前之膜構造狀態的觀念圖 經濟部智慧財產局員工消費合作社印製 〇 圖1 2係顯示進行了再蝕刻後之狀態的觀念圖。 圖1 3係顯示作爲實施再蝕刻後之膜構造最爲理想狀 態的觀念圖。 〔符號之說明〕 1:光致抗蝕劑 3:氧化矽膜層 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -31 - 556285 A7 B7 ----------f (請先閲讀背面之注意事項再填寫本頁) 訂 線 經濟部智慧財產局S工消費合作社印製 -—L- I IL · 五、發明説明(29) 5 :氮化砂膜層 9:矽基板 2 1:氧化矽膜 25:氧化矽膜 102:處理容器 106:下部電極 110:靜電夾盤 11 8,11 9:匹配器 1 21:第1高頻電源 122a:氣體吐出口 124:氣體供應管 126b:處理氣體(CH2F〇供應系 126d:處理氣體(〇2)供應系 134a〜134d:流量調整閥 136b:CH2F2氣體供應源 136d:Ch氣體供應源 201:光致抗蝕劑膜層 205:氮化矽膜層 209:矽基板 215:多晶矽膜 326a:處理氣體(CF4)供應系 326c:處理氣體(惰氣)供應系 334a〜334c:流量調整閥 3 36b··氣體(CHF3)供應源 7··氧化矽膜層 11、1 3、1 5:孔 23:多晶矽膜 100:蝕刻裝置 104:處理室 108:高壓直流電源 112:聚焦環 120.·第2高頻電源 122:上部電極 123:絕緣體 126a··處理氣體(C5F〇供應系 126c:處理氣體(惰氣)供應系 132a〜132d:開閉閥 136a:C5F8氣體供應源 136c:惰氣(Ar)供應源 150:排氣管 203.·氧化矽膜層 207:氧化矽膜層 211,213:孔 300:蝕刻裝置 326b:處理氣體(CHF3)供應系 33 2a〜3 3 2c:開閉閥 336a··氣體(CF〇供應源 336c:氣體(惰氣)供應源 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -32- 556285 A7 B7 五、發明説明(3〇 ) 400:氧化矽膜 440:多晶矽膜 T1:肩部之變化量 T3:孔之深度 420:多晶矽膜 460:氧化矽膜 T2:抗鈾劑平坦部之變化量 W:晶圓 (請先閲讀背面之注意事項再填寫本頁)1. Line 1T Printed by S Industry Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs -30- 556285 A7 B7 V. Description of the invention (28) A schematic sectional view of a wafer. (Please read the precautions on the back before filling this page.) Figure 4 is a schematic cross-sectional view of a wafer etched by the etching method according to the second embodiment. Fig. 5 is a schematic configuration diagram of an etching apparatus to which the uranium engraving method of the third embodiment can be applied. Fig. 6 is a graph showing an experimental result when the polycrystalline silicon film of the third embodiment is etched. Fig. 7 is a graph showing the experimental results when the silicon oxide film of the third embodiment is etched. Fig. 8 is a graph showing the experimental results of the third embodiment, showing the relationship between the flow rate ratio of C H F 3 / C F 4 and the selection ratio of the silicon oxide film / polycrystalline silicon film. 9 (a) to (c) are process diagrams of an etching method according to the third embodiment. 10 (a) to (c) are schematic cross-sectional views showing a conventional etching process of a laminated film. Figure 11 is a conceptual diagram showing the state of the membrane structure before re-etching. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. Figure 12 is a conceptual diagram showing the state after re-etching. Fig. 13 is a conceptual diagram showing the most ideal state of the film structure after re-etching. [Explanation of Symbols] 1: Photoresist 3: Silicon oxide layer This paper is sized to the Chinese National Standard (CNS) A4 (210X297 mm) -31-556285 A7 B7 --------- -f (Please read the precautions on the back before filling this page) Printed by S Industrial Consumers Cooperative, Intellectual Property Bureau, Ministry of Economic Affairs, L-I IL · 5. Description of Invention (29) 5: Nitrided Sand Film Layer 9 : Silicon substrate 2 1: Silicon oxide film 25: Silicon oxide film 102: Processing container 106: Lower electrode 110: Electrostatic chuck 11 8, 11 9: Matching device 1 21: First high-frequency power supply 122a: Gas outlet 124: Gas supply pipe 126b: process gas (CH2F〇 supply system 126d: process gas (〇2) supply system 134a ~ 134d: flow adjustment valve 136b: CH2F2 gas supply source 136d: Ch gas supply source 201: photoresist film layer 205: Silicon nitride film layer 209: Silicon substrate 215: Polycrystalline silicon film 326a: Process gas (CF4) supply system 326c: Process gas (inert gas) supply system 334a ~ 334c: Flow regulating valve 3 36b ·· Gas (CHF3) supply Source 7.Silicon oxide film layer 11, 1 3, 1 5: Hole 23: Polycrystalline silicon film 100: Etching device 104: Processing chamber 108: High-voltage DC power supply 112: Focusing ring 120. · 2nd high-frequency power source 122: upper electrode 123: insulator 126a ·· process gas (C5F〇 supply system 126c: process gas (inert gas) supply system 132a ~ 132d: on-off valve 136a: C5F8 gas supply source 136c: inert gas ( Ar) Supply source 150: Exhaust pipe 203. Silicon oxide film layer 207: Silicon oxide film layer 211, 213: Hole 300: Etching device 326b: Process gas (CHF3) supply system 33 2a ~ 3 3 2c: On-off valve 336a ·· Gas (CF〇 supply source 336c: Gas (inert gas) supply source This paper size applies to Chinese National Standard (CNS) A4 specifications (210X 297 mm) -32- 556285 A7 B7 V. Description of the invention (3〇) 400 : Silicon oxide film 440: Polycrystalline silicon film T1: Amount of change at the shoulder T3: Depth of the hole 420: Polycrystalline silicon film 460: Silicon oxide film T2: Amount of change of the flat portion of the uranium-resistant agent W: Wafer (Please read the note on the back first (Fill in this page again)

、1T 經濟部智慧財產局P貝工消費合作社印製 __I---1 . 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -33-、 1T Printed by P Bayong Consumer Cooperative, Intellectual Property Bureau of the Ministry of Economic Affairs __I --- 1. This paper size applies to China National Standard (CNS) A4 (210X297 mm) -33-

Claims (1)

556285 A8 B8 C8 D8 申請專利範圍 1 . 一種鈾刻方法,係用於蝕刻形成於被處理基板上 之矽系的不同種類之膜用的鈾刻方法,其特徵爲: 以導入由包含至少Η和F之混合氣體所形成的處理氣 體於氣密之處理室內來實施電漿處理,就能以一(種)前 述處理氣體來蝕刻前述處理基板上之相異種類的膜。 2 _如申請專利範圍第1項之蝕刻方法,其中以導入 處理氣體於氣密之處理室內,以對於配置在前述處理室內 之被處理體之包含有至少一層之氧化矽膜層和至少一層之 氮化矽膜蝕刻方法時, 前述處理氣體係至少包含有C F系之氣體和CHF系 之氣體的混合氣體,並以使用一(種)前述混合氣體來實 施蝕刻。 3 ·如申請專利範圍第2項之蝕刻方法,其中前述混 合氣體更包含有氧氣。 4 ·如申請專利範圍第2項之蝕刻方法,其中前述混 合氣體更包括有惰氣。 5 ·如申請專利範圍第4項之蝕刻方法,其中前述惰 氣爲A r氣體。 6 _如申請專利範圍第2項之蝕刻方法,其中_ _疊 層膜係以配設於前述疊層膜±部之抗触劑作爲遮蔽來餓刻 〇 7 .如申請專利範圍第2項之蝕刻方法/其中前述 C F系氣體係C 4 F 8、C 5 F 8或C 4 F 6之中.的任何之一 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) -ϋ^ι -1 訂 經濟部智慧財產局員工消費合作社印製 556285 A8 B8 C8 D8 六、申請專利範圍 2 8 ·如申請專利範圍第2項之蝕刻方法, 十 〜依,其中刖述 CHF系氣體係CH3F、CH2F2或CHf3之中的任何 之一。 9 ·如申請專利範圍第2項之蝕刻方法,其中前述 CHF系氣體係CH2F2和CHF3的混合氣 1 〇 ·如申請專利範圍第2項之蝕刻方法,其中前述 C H F系氣體係C H3 F和C H F 3的混合氣髒。 1 1 ·如申請專利範圍第2項之蝕刻方法,其中前述 蝕刻乃蝕刻直至露出前述疊層膜之基底層爲止。 1 2 ·如申請專利範圍第2項之蝕刻方法,其中前述 蝕刻乃在前述疊層膜之基底層上層終止蝕刻。 1 3 .如申請專利範圍第9項之蝕刻方法,其中前述 蝕刻乃在被處理體之至少一部分,予以生成多晶砂膜或石夕 層的露出部分。 1 4 ·如申請專利範圍第2項之蝕刻方法,其中前述 氮化矽膜層爲1 0 nm以上之厚度。 1 5 ·如申請專利範圍第1項之蝕刻方法,其中在同 時蝕刻形成於被處理基板上之氧化矽膜和多晶矽膜的餓刻 方法時, 由前述處理氣體來以略爲相同速度蝕刻前述被處理基 板上之氧化矽膜部分和多晶矽膜部分。 1 6 .如申請專利範圍第1 5項之鈾刻方法,其中前 述處理氣體係至少包含有C F4氣體CHF3氣的混合氣 體0 本紙張尺度適用中國國家梂準(CNS ) A4規格(210X297公釐) (請先閎讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 -35- 556285 A8 B8 C8 D8 六、申請專利範圍 3 1 7 ·如申請專利範圍第1 6項之蝕刻方法,其中以 控制前述處理氣體中之C H F 3氣體對於C F 4的流量比來 使前述氧化矽膜和前述多晶矽膜之蝕刻選擇能成爲接近工 之數値而進行蝕刻。 1 8 .如申請專利範圍第1 7項之蝕刻方法,其中以 控制述處理氣體中之C H F 3氣體對於C F 4氣體的流量 比來使前述氧化矽膜對於前述多晶矽膜之蝕刻選擇比能成 爲0 · 8以上1 · 2以下而進行蝕刻。 1 9 ·如申請專利範圍第1 7項之蝕刻方法,其中以 控制前述處理氣體中之C H F 3氣體對於C F 4氣體的流量 比來使前述述氧化矽膜對於前述多晶矽膜之蝕刻選擇比能 成爲0 _ 9以上1 . 1以下而進行蝕刻。 2 0 ·如申請專利範圍第1 7項之鈾刻方法,其中前 述處理氣體中之CH F3氣體對於C F4氣、體的流量比作成 0 . 1以上0 · 8以下。 2 1 ·如申請專利範圍第1 7項之蝕刻方法,其中前 述處理氣體中之C H F 3氣體對於C F 4氣體的流量比作成 0 . 2以上0 . 7以下。 2 2 .如申請專利範圍第1 5項之蝕刻方法,其中對 於進行前述電漿處理時之處理氣體予以包含有惰氣。 2 3 ·如申請專利範圍第2 2項之蝕刻方丨去,其中前 述惰氣爲A r氣體。 —— (請先閱讀背面之注意事項再填寫本頁) 、1T 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -36 -556285 A8 B8 C8 D8 patent application scope 1. A uranium engraving method, which is used to etch different types of silicon-based films formed on a substrate to be processed, and is characterized by: The processing gas formed by the mixed gas of F is subjected to plasma processing in an air-tight processing chamber, and one (kind of) processing gas can be used to etch different types of films on the processing substrate. 2 _ The etching method according to item 1 of the scope of patent application, wherein the processing gas is introduced into an airtight processing chamber so that the object to be processed disposed in the processing chamber includes at least one silicon oxide film layer and at least one layer of silicon oxide film layer. In the silicon nitride film etching method, the processing gas system includes at least a mixed gas of a CF-based gas and a CHF-based gas, and the etching is performed by using one or more of the foregoing mixed gas. 3. The etching method according to item 2 of the patent application range, wherein the aforementioned mixed gas further includes oxygen. 4. The etching method according to item 2 of the patent application range, wherein the aforementioned mixed gas further includes an inert gas. 5. The etching method according to item 4 of the patent application, wherein the aforementioned inert gas is Ar gas. 6 _ As for the etching method in the second item of the patent application, where _ _ the laminated film is engraved with the anti-contact agent arranged in the ± part of the aforementioned laminated film as a mask. Etching method / any of the aforementioned CF-based gas systems C 4 F 8, C 5 F 8 or C 4 F 6. This paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) (please first Read the notes on the back and fill out this page) -ϋ ^ ι -1 Order printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 556285 A8 B8 C8 D8 VI. Application for a patent scope 2 8 , Ten ~ Yi, which describes any one of the CHF system gas system CH3F, CH2F2 or CHf3. 9 · The etching method according to item 2 of the patent application, wherein the aforementioned mixed gas of the CHF-based gas system CH2F2 and CHF3 1 〇 · The etching method according to item 2 of the patent application, wherein the aforementioned CHF-based gas system C H3 F and CHF The mixture of 3 is dirty. 1 1 · The etching method according to item 2 of the scope of patent application, wherein the aforementioned etching is etching until the base layer of the aforementioned laminated film is exposed. 1 2 · The etching method according to item 2 of the patent application range, wherein the aforementioned etching is to terminate the etching on the base layer of the aforementioned laminated film. 1 3. The etching method according to item 9 of the scope of patent application, wherein the aforementioned etching is to form an exposed portion of a polycrystalline sand film or a stone layer on at least a part of the object to be processed. 14 · The etching method according to item 2 of the scope of patent application, wherein the aforementioned silicon nitride film layer has a thickness of 10 nm or more. 1 5 · The etching method according to item 1 of the scope of patent application, wherein when the silicon oxide film and the polycrystalline silicon film formed on the substrate to be processed are simultaneously etched, the foregoing processing gas is used to etch the substrate at a substantially the same speed. The silicon oxide film portion and the polycrystalline silicon film portion on the substrate are processed. 16. The uranium engraving method according to item 15 of the scope of patent application, wherein the aforementioned processing gas system contains at least a mixed gas of C F4 gas and CHF3 gas. 0 This paper size is applicable to China National Standard (CNS) A4 (210X297 mm). ) (Please read the notes on the back before filling out this page) Order printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs-35- 556285 A8 B8 C8 D8 VI. Patent Application Scope 3 1 7 · If you apply for patent scope No. 16 In the etching method of the item, the flow rate ratio of the CHF 3 gas to the CF 4 in the processing gas is controlled so that the etching selection of the silicon oxide film and the polycrystalline silicon film can be performed at a number close to the number of steps. 18. The etching method according to item 17 of the scope of the patent application, wherein the flow rate ratio of the CHF 3 gas to the CF 4 gas in the processing gas is controlled so that the etching selectivity of the aforementioned silicon oxide film to the aforementioned polycrystalline silicon film becomes 0. · 8 or more · 1 · 2 or less. 19 · The etching method according to item 17 in the scope of the patent application, wherein the specific ratio of the etching selectivity of the silicon oxide film to the polycrystalline silicon film is controlled by controlling the flow rate ratio of the CHF 3 gas to the CF 4 gas in the processing gas. 0 to 9 or more and 1.1 or less. 20 • The uranium engraving method according to item 17 of the scope of the patent application, wherein the flow rate ratio of the CH F3 gas to the C F4 gas and the gas in the aforementioned processing gas is 0.1 to 0.8. 2 1 · The etching method according to item 17 of the scope of patent application, wherein the flow rate ratio of the C H F 3 gas to the C F 4 gas in the aforementioned processing gas is 0.2 or more and 0.7 or less. 2 2. The etching method according to item 15 of the scope of patent application, wherein an inert gas is included in the processing gas during the aforementioned plasma treatment. 2 3 · If the etching method according to item 22 of the patent application scope is removed, wherein the aforementioned inert gas is Ar gas. —— (Please read the precautions on the back before filling this page), 1T Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs This paper applies the Chinese National Standard (CNS) A4 specification (210X297 mm) -36-
TW91119442A 2001-09-10 2002-08-27 Etching method TW556285B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001274158A JP2003086568A (en) 2001-09-10 2001-09-10 Method for etching
JP2002000123A JP2003203901A (en) 2002-01-04 2002-01-04 Etching method

Publications (1)

Publication Number Publication Date
TW556285B true TW556285B (en) 2003-10-01

Family

ID=26621945

Family Applications (1)

Application Number Title Priority Date Filing Date
TW91119442A TW556285B (en) 2001-09-10 2002-08-27 Etching method

Country Status (2)

Country Link
TW (1) TW556285B (en)
WO (1) WO2003028082A1 (en)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04209534A (en) * 1990-12-06 1992-07-30 Fujitsu Ltd Manufacture of semiconductor device
JP3038950B2 (en) * 1991-02-12 2000-05-08 ソニー株式会社 Dry etching method
JPH09129595A (en) * 1995-10-26 1997-05-16 Applied Materials Inc Plasma etching method
JPH11251293A (en) * 1998-03-03 1999-09-17 Hitachi Ltd Manufacture of semiconductor integrated circuit device
JP2001127039A (en) * 1999-10-25 2001-05-11 Nec Corp Manufacturing method of semiconductor device

Also Published As

Publication number Publication date
WO2003028082A1 (en) 2003-04-03

Similar Documents

Publication Publication Date Title
TWI605503B (en) Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
TWI352387B (en) Etch methods to form anisotropic features for high
JP4454148B2 (en) Improved oxide layer etching method
TW529105B (en) Etching method of organic based insulating film and dual damascene process
JP4663368B2 (en) Plasma etching method, plasma etching apparatus, control program, and computer storage medium
TW535229B (en) Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
TW200807549A (en) Edge gas injection for critical dimension uniformity improvement
JP2002543613A (en) Techniques for etching low capacitance dielectric layers
US20060021704A1 (en) Method and apparatus for etching Si
TWI364789B (en)
TW589403B (en) Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
WO2013047464A1 (en) Etching method and device
JP2021520639A (en) Carbon hardmasks and related methods for patterning applications
JP4351806B2 (en) Improved technique for etching using a photoresist mask.
TWI294144B (en) Etching method and plasma etching processing apparatus
TW200305216A (en) Method of etching and etching apparatus
TW200947560A (en) Methods for adjusting critical dimension uniformity in an etch process
TW202209481A (en) Etching method and plasma processing apparatus
JP2008172184A (en) Plasma etching method, plasma etching device, control program and computer storage medium
JP4684924B2 (en) Plasma etching method, plasma etching apparatus and computer storage medium
TW556285B (en) Etching method
TW502334B (en) High aspect ratio sub-micron contact etch process in an inductively-coupled plasma processing system
US5908320A (en) High selectivity BPSG:TiSi2 contact etch process
TWI222132B (en) Etching method and plasma etching apparatus
US6746970B2 (en) Method of forming a fluorocarbon polymer film on a substrate using a passivation layer

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees