TW530322B - Externally excited torroidal plasma source - Google Patents

Externally excited torroidal plasma source Download PDF

Info

Publication number
TW530322B
TW530322B TW90119822A TW90119822A TW530322B TW 530322 B TW530322 B TW 530322B TW 90119822 A TW90119822 A TW 90119822A TW 90119822 A TW90119822 A TW 90119822A TW 530322 B TW530322 B TW 530322B
Authority
TW
Taiwan
Prior art keywords
plasma
processing chamber
patent application
scope
plasma processing
Prior art date
Application number
TW90119822A
Other languages
Chinese (zh)
Inventor
Hiroji Hanawa
Kenneth S Collins
Kartik Ramaswamy
Andrew Nguyen
Tsutomu Tanaka
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/637,174 external-priority patent/US6551446B1/en
Priority claimed from US09/636,435 external-priority patent/US6494986B1/en
Priority claimed from US09/638,075 external-priority patent/US7094316B1/en
Priority claimed from US09/636,436 external-priority patent/US6410449B1/en
Priority claimed from US09/636,434 external-priority patent/US6468388B1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW530322B publication Critical patent/TW530322B/en

Links

Abstract

A plasma reactor for processing a workpiece, including an enclosure defining a vacuum chamber, a workpiece support within the enclosure facing an overlying portion of the enclosure, the enclosure having at least first and second openings therethrough near generally opposite sides of the workpiece support. At least one hollow conduit is connected to the first and second openings. A closed torroidal path is provided through the conduit and extending between the first and second openings across the wafer surface. A process gas supply is coupled to the interior of the chamber for supplying process gas to the torroidal path. A coil antenna is coupled to an RF power source and inductively coupled to the interior of the hollow conduit and capable of maintaining a plasma in the torroidal path.

Description

530322 經濟部智慧財產局員工消費合作社印製 A7 B7 五'發明說明() ijj領域: 本發明係關於在製造如微電子電路、平面顯示器等類 似元件時處理工件所使用之電漿反應器,尤指電漿源。 背景: 近年來,增加密度和更小的特徵尺寸微電子電路中的 驅勢持續使得如此的元件的電漿處理變得更加的困難。舉 例來說,當接觸洞的深度增長時,接觸洞的直徑已經減 夕。在一矽晶圓上之一介電質薄膜的電裝增強蝕刻期間, 例如介電質材料(如矽二氧化物)對光阻的蝕刻選擇性必須 夠大,以允許蝕刻過程進行其直徑是其深度的十五到十倍 之接觸洞的蝕刻,而不受定義此接觸洞之光阻罩的干擾。 然而,因為近來傾向使用需要一較薄光阻層之更短的波長 光並配合更薄的光阻層,因此介電質相對於光阻的蚀刻選 擇性必須比以往任何時候更大,而使得這個任務更顯困 難。使用具有較低的蝕刻比率的過程,例如使用一電容耦 合電漿的介電質蝕刻過程,將更容易滿足上述之需要,而 且電容搞合電漿姓刻過程展現良好的介電質相對於光阻 的蝕刻選擇性。電容耦合過程的問題是速度緩慢,因此相 對地生產量較少。在如此蝕刻中所出現的另一個問題處理 則是非均勻電漿分發。 為達增進生產力或蚀刻比率’現在已使用更高密度的 電漿。一般而言,較高密度的電漿是一感應耦合電漿。然 而’這些過程先驅氣體易於在這樣的一高密度電漿中快速 第4頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) ---------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 530322 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明() ::離’而引起一電漿包含有更多的游離氟,減少對光阻之 餘刻選擇性的一㈣劑。為了減少這個傾向,可使用氣化 碳的處理氣體,如CF2,此處理,贼 此處理乳體在一電漿中分離成在 :有氟的蚀刻劑和一或多種的聚合物蚀刻劑,而易於在非 乳化物的表面上累積’如光阻。此結果可增加蝕刻選擇 性。在含氧的介電質材料中的氧氣會在介電質上增進聚合 物的聚合,因此當含有非羞仆 乳化的材料(如光阻)持續被聚人 物所覆蓋以避免兹刻時’可移除該聚合物…刻介電; 材料。然而’所產生之問題是’為符合更先進的元件設計, 接觸開口深度的增加和光阻層厚度的減少使得高密度電 衆過程變得更可能在介電質姓刻期間損害光阻層。由於電 漿密度被增加以改進敍刻比率,必須使用具有更多聚入物 的電漿來保護含有例如光阻非氧化的材料,因此從含氧的 介電質表面移除聚合物的比率是相當地緩慢的,特別是在 狹小的限制地區’例如一狹窄接觸開口的底部。隨之而來 的結果是,光阻可被適當地保護,當一接觸開口達到某種 深度時,而被聚合物累積所封鎖的蝕刻製程的可能性被提 升。一般而言,蝕刻停止深度比接觸開口所需要的深度更 少,導致元件失效。接觸開口可穿過一中間隔離二氧化矽 層而在上層多晶矽導電層和下層多晶矽導電層之間提供 連結。 當元件失效發生於,例如在蝕刻停止深度比上下層多 晶矽層之間的距離更少時。或者,在沒有蝕刻停止的情況 下’用以達到高密度電漿的處理窗口對更先進元件設計的 第5頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公f ) ---------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 530322530322 Printed by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 Five 'invention description () ijj field: The present invention relates to a plasma reactor used for processing workpieces when manufacturing similar components such as microelectronic circuits, flat displays, etc., especially Refers to plasma source. Background: In recent years, driving forces in microelectronic circuits with increased density and smaller feature sizes have continued to make plasma processing of such components more difficult. For example, as the depth of the contact hole increases, the diameter of the contact hole decreases. During the electrical enhanced etching of a dielectric film on a silicon wafer, for example, the etch selectivity of a photoresist by a dielectric material (such as silicon dioxide) must be large enough to allow the etching process to proceed with a diameter of Etching of a contact hole at a depth of fifteen to ten times its depth without interference from the photoresist mask that defines the contact hole. However, because of the recent tendency to use a shorter wavelength of light that requires a thinner photoresist layer and a thinner photoresist layer, the etch selectivity of the dielectric relative to the photoresist must be greater than ever, making this task Even more difficult. Using a process with a lower etch ratio, such as a dielectric etch process using a capacitive coupling plasma, will more easily meet the above needs, and the process of capacitors combining plasma engraving shows a good dielectric relative to light Resistance to etch selectivity. The problem with the capacitive coupling process is that it is slow and therefore produces relatively little ground. Another problem that arises during such etching is the non-uniform plasma distribution. To increase productivity or etch ratio ', higher density plasmas are now used. Generally speaking, the higher density plasma is an inductively coupled plasma. However, 'the precursor gases of these processes are easy to quickly in such a high-density plasma. Page 4 The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 g t) ----------- ---------- Order --------- line (please read the precautions on the back before filling this page) 530322 Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 V. Invention Description () :: Ion 'causes a plasma containing more free fluorine, a tincture that reduces the selectivity to photoresist at the moment. In order to reduce this tendency, a gaseous carbon treatment gas, such as CF2, can be used. In this treatment, the treated milk is separated in a plasma into: a fluorine etchant and one or more polymer etchant, and Easily accumulates on non-emulsified surfaces, such as photoresist. This result can increase etch selectivity. Oxygen in an oxygen-containing dielectric material will promote polymer polymerization on the dielectric, so when materials containing non-sharp emulsions (such as photoresist) continue to be covered by poly characters to avoid the moment Remove the polymer ... engraved dielectric; material. However, the problem that arises is that, in order to comply with more advanced device designs, the increase in the depth of the contact openings and the decrease in the thickness of the photoresist layer make high-density electrical processes more likely to damage the photoresist layer during the dielectric engraving. Since the plasma density is increased to improve the engraving ratio, a plasma with more inclusions must be used to protect materials containing, for example, photoresist, non-oxidizing, so the rate of polymer removal from the surface of the oxygen-containing dielectric is Quite slowly, especially in narrow confined areas such as the bottom of a narrow contact opening. As a consequence, the photoresist can be properly protected, and when a contact opening reaches a certain depth, the possibility of an etching process blocked by the accumulation of polymer is increased. In general, the etch stop depth is less than the depth required to contact the opening, resulting in component failure. The contact opening may pass through an intermediate isolation silicon dioxide layer to provide a connection between the upper polycrystalline silicon conductive layer and the lower polycrystalline silicon conductive layer. When component failure occurs, for example, when the etch stop depth is less than the distance between the upper and lower polysilicon layers. Or, without the etch stop, the processing window used to achieve high-density plasma is designed for more advanced components on page 5. This paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 male f)- ------------------- Order --------- line (Please read the precautions on the back before filling this page) 530322

A7 B7 發明說明( 實際應用或1 罪應用而言可能變得太狹窄,例如且有高貧 比為之接觸窗口的元件。 、 現今需要一反應器具有一感應耦合電漿反的 刻比率(有离t °在、度境漿)與一電容耦合反應器的選擇比。但A7 B7 invention description (practical application or criminal application may become too narrow, for example, and components with high poverty ratio as the contact window.) Nowadays, it is necessary to have a reactor with an inductively coupled plasma inversion ratio. t ° at, the degree of pulp) and the selection ratio of a capacitive coupling reactor. But

Xt\y 丄 早—機械導引反應器中實現上述兩類型反應哭 的優點-直是十分困難的。 吻 在同在度感應耦合電漿反應器(特別是具有一高架線圈 天、、泉面對晶圓或工件的類型)的問題是,當施加於線圈天 線的電力被拇k、、,h t 、、峭 增加以提升蝕刻比率時,晶圓對·頂部的間隙 、、/、足夠大,以使電力被晶圓上方之電漿區域所吸收。如 可迖免強烈射頻領域對元件造成損害的一風險。此外, 對万、施加於馬架線圈天線的射頻功率高等級,晶圓與頂部 、’隙必肩相對地大’因此將無法保有細小間隙之優點。 扣 果頂部疋一感應耦合反應器之射頻領域的半導體 自口或頂邵能越過隔著一相對地小間隙距離的晶圓的平 面k供一導電電位或接地參考(如1或2英吋)。 因此1需要一反應器不僅具有一感應搞合反應器之 離子密度和餘刻比率和—電容轉合反應器之選擇性,而卫 除了個基本極限以外並不具有傳統晶圓與頂部的間隋 :度的局限性,例如電漿防護罩厚度。另外,還需要具有 一電容輕合反應器之選擇性和-感應镇合反應器之蚀刻 率勺反應器,並不需要增加戶斤施加之射頻電漿源功辱 即可提升離子密度和蝕刻比率。 第6頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297^^7 ______」|.!卜 — · f請先閱讀背面之注意事項再填寫本頁)Xt \ y 早 Early—The advantage of achieving the above two types of reaction cryo in a mechanically guided reactor—it is very difficult. The problem with inductively-coupled plasma reactors (especially those with an overhead coil, wafer, or wafer) is that the power applied to the coil antenna is reduced by k, ,, ht, When the 峭 and 峭 are increased to increase the etching rate, the gap at the top of the wafer pair, /, is large enough so that power is absorbed by the plasma area above the wafer. For example, the risk of damage to components in the strong RF field can be avoided. In addition, for the high level of RF power applied to the carriage coil antenna, the wafer and the top and the 'gap must be relatively large' will not maintain the advantage of a small gap. A semi-conductor or top-side semiconductor in the RF field of an inductive coupling reactor at the top of the button can cross the plane k of the wafer across a relatively small gap distance for a conductive potential or ground reference (such as 1 or 2 inches). . Therefore, 1 requires a reactor that not only has the ion density and the remaining ratio of an induction coupling reactor, and the selectivity of a capacitive transfer reactor, but Wei does not have a conventional wafer to the top except the basic limit. : Limitations of degrees, such as the thickness of the plasma shield. In addition, there is a need for a selective light-weighted reactor and an etch rate spoon reactor for the induction induction reactor. The ion density and etching ratio can be improved without increasing the work of RF plasma source. . Page 6 This paper size applies Chinese National Standard (CNS) A4 specifications (210 X 297 ^^ 7 ______ "|.! Bu — · f Please read the precautions on the back before filling this page)

H 訂---------_ 530322 五 經濟部智慧財產局員工消費合作社印製 A7 B7 發明說明() 發明目的及概述·· _ -種用以處理一工件的電漿反應器,其包括用以界沒 -真空處理室的-密封構件…工件支撐座位於該密封賴 件内面對該密封構件的一重疊部分,該密封構件具有至少 第—和第二個開口’以經由通常該等開口接近該工件支携 座的對立面。至少一個中空導管係連接至第一和第二個^ 口。一封閉超環面路徑係透過該導管被提供,i延伸於二 -和第二個開口之間越過晶圓表面。一處理氣體供應器係 連接至該處理室的内部用以供給處理氣體至超環面雜 徑。-線圈天線係連接至—射頻動力源,並與該中空導管 的内部感應耦合而能夠維持超環面路徑中的一電漿。 圖式簡單說明: 第1圖係顯示維持一高架電漿電流路徑的一第一實施例。 第2圖係顯示相應於第丨圖之實施例的側視圖· 第3圖係顯示在電漿中游離氟濃度反應隨著晶圓與頂部之 間間隙距離變化之圖表。 第4圖是顯示在電漿中游離氟濃度反應隨著施加於工件的 射頻偏壓功率變化之圖表。 第5圖疋顯不在電漿中游離氟濃度反應隨著施加於線圈天 線的射頻源功率變化之圖表。 第6圖是顯示在電漿中游離氟濃度反應隨著反應器處理室 壓力變化之圖表。 第7圖是顯示在電漿中游離氟濃度反應隨著一惰性稀釋劑 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 530322 五、發明說明( 經濟部智慧財產局員工消費合作社印製 如SL的局部壓力變化之圖表。 第8圖是顯示作為一感應耦合反應器和本發 反應器 的一來源動力處理氣體分離函數的圖表。 ° 第9圖是顯示第1圖之實施例的一變化。 第1〇圖和第11圖係顯示在第i圖中之實施例的一變化,方 利用一封閉磁蕊。 " 第12圖係顯示本發明的另一實施例,其中 τ雙%面電漿電访 路徑通過反應器處理室之下方。 " 第13圖係顯示第1〇圖中之實施例的一變化, 六T电漿源| 力係施加於一線圈,該縣圈係纏繞該封閉礤蕊的— 末部分。 第14圖係顯示建立二平行超環面電漿電流的一實施例。 第1 5圖係顯示建立複數個個別控制 」十仃起¥面電漿電^ 的一貫施例。 第16圖係顯示第15圖中之實施例的-變化,其中平行超r 面電漿電流進入和離開電漿處理室係透過垂直: 壁而非頂部。 π 1 11 第17A圖係顯示橫越工件的表面 „ 了對相互成直角的异 每面電漿電流的一實施例。 第17B圖係顯示第17A圖中之實施例利用、… 片。 引用複數個輻射狀, 第1 8圖和第1 9圖係顯示本發明之— 、 實施例,其中超環面6 漿電流是延伸遍佈一卢會 τ ^ Κ見路徑以適用於處理大; 寸晶圓的一廣闊帶狀物。 & 又》 ------」J! !t 2请先閱讀背面之>i意事項再填寫本頁) 訂---------線· 第8育 本紙張尺度適用中國國家標準(CNS)A4規格(2i〇^7公£7 530322 五 經濟部智慧財產局員工消費合作社印製 Α7 Β7 發明說明() 第20圖係顯示第18圖中之實施例的一變化,在圖中超環面 電漿電流路徑的一外部部分係被限制。 第21圖係顯示在第18圖中使用圓柱形磁蕊之實施例的〆 變化’在圖中圓柱形磁蕊的軸位置可被調整,以調 整遍佈晶圓表面之離子密度分配。 第22圖係顯示第21圖的—變化’在圖中—對線圈係纏繞於 一對圓柱形磁蕊組。 第23圖係顯示第22圖的一變化,在圖中一單一共有線圈係 纏繞於兩磁蕊組。 第24圖和第25圖係顯示一實施例,其維持一對相互呈直角 的超裱面電漿電流,該等電漿電流係適用於處理大 尺寸晶圓的廣寬帶狀物。 第26圖係顯示第25圖中之實施例的—變化,在圖中係使用 磁蕊以增強感應耦合。 第27圖係顯示第Μ圖中之實施例的—修改,在圖中該直角 電聚帶狀物透過垂直側壁進入和離開反應器處理 室,而非透過水平頂部。 第2 8 A圖係顯示第2 4圖中之實施例產生 絲氣如γ二不脱 4 |王一轉動超裱面電漿 電流的執行。 第28Β圖係顯示第28Α圖之實施例包括礤蕊的一版本。 第29圖係顯示本發明的一較佳實施例,其中一連續環形增 壓室被提供,以密封構件環繞超環面電漿電流。曰 第30圖是對應於第29圖的一頂視圖。 第31Α圖和第31Β圖是對應於第3〇圖的、 ^ 口的則視圖隅側視圖。 第9頁 本紙張尺度適用中國國家標準(CNS)A4規格mo X 297公釐) (請先閱讀背面之注意事項再填寫本頁) Φ--------^---------線. 530322 A7 B7 發明說明() 第32圖係顯示第29圖之實施例的一變化,其中該連續增壓 室面對120度間隔下面使用三個自由驅動射頻線 圈。 第33圖係顯示第32圖之實施例的一變化,在圖中以12〇度 階段驅動三個無線電頻率線圈,以提供一方位角轉 動電漿。 第34圖係顯示第33圖之實施例的一變化,在圖中射頻驅動 線圈分別纏繞於各自磁凝的垂直外部末端,該磁蕊 之相對末端係水平地以對稱分配角度延伸至增壓 室的下方。 第35圖是第17圖之實施例的一版本,其中之該等相互橫切 的中空導管被收縮如第2 0圖所示之實施例。 第36圖是第24圖之實施例的一版本,但分別使用各自線圈 3630,3640纏繞於一對磁蕊3610,3620,以用於各 自射頻功率來源的連結。 第3 7圖係對應第3 5圖的一實施例,但具有三個而非兩個再 次進入導管,故總共具有六個再次進入口至處理 室。 第38圖係對應第38圖的一實施例,但具有三個而非兩個再 次進入導管,故總共具有六個再次進入口至處理 室。 第39圖係對應第35圖的一實施例,在圖中外部導管在—共 有增壓室3910中相互連接。 第4 0圖係對應第3 6圖的一實施例,在圖中外部導管 A —共 第10頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) f 訂---------線j 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 530322 A7 B7 五、發明說明() 有增壓室4010中相互連接。 第4 1圖係對應第3 7圖的一實施例,在圖中外部導管在一共 有增壓室4110中相互連接。 第42圖係對應第3 8圖的一實施例,在圖中外部導管在一共 有增壓室4210中相互連接。 第43圖係對應第1 7圖的一實施例,在圖中外部導管在一 共有增壓室4310 中相互連接。 圖號對照說明: 100 處理室 105 側壁 110 頂部 115 晶圓基座 120 晶圓 125 處理氣體供應器 130 氣體入口噴管 135 真空泵 150 導管 150a,150b 開 口末端 152 隔離間隙 153 第二隔離間隙 154 環圈 155 第一開口 160 第二開口 162 偏壓射頻發電機 164 阻抗匹配元件 165 第一線圈 170 天線 175 阻抗匹配元件 180 射頻功率源 181 輕射狀葉片 185 第二線圈 190 處理氣體供應器 195 氣體進氣口 210 氣體散流噴灑頭 220 氣體散流增壓室 230 氣體噴口 1015 磁蕊 1120 次要線圈 第11頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 530322 五、發明說明( 113 0調節電容器 1 2 7 〇線圈天線 1 2 3 0感應線圈 1450第二中空 147〇磁蕊 1260,1265 開口 1250中空導管 管狀密封構件 發明詳細說明: 電漿反應器處理室概要 叫參考第1圖。一電漿反應器處理室1 〇〇由一圓柱形側 壁1〇5和一頂部110所園住,内部放置一晶圓基座115用以 支杈一半導體晶圓或一工件12〇。一處理氣體供應器125透 過延伸經過側壁105的氣體入口嘴管l3〇a_13〇d提供處理氣 體至處理室ΐθθ。一真空泵I”控制處理室1〇〇内的壓力, 壓力一般均維持於〇 · 5亳托耳以下。一半超環面的中空管狀 全封構件或導管1 5 〇以一半圓圈狀延伸於在頂部1丨〇上 方。導f 1 5 0雖然從頂部u 〇外部)向外伸出,但仍是反應 器的一部分並形成處理室的一面牆。内部地,它共處於反 應器其i地方中所存在的相同被抽真空的大氣氣壓。雖然 並未顯示’但事實上,真空泵135也可被連結至導管15〇, 而非被連結於與處理室主要部分的底部如第i圖所顯示。 導管150有一個開口末端15〇a被密封環繞著反應器頂部 110中的一第一開口 155,而其另一末端15 Ob被密封環繞著 反應器頂邵110中的一第二開口16〇。雨開口 150,ι6〇通常 位於晶圓支撐座基座1 15的對立兩邊。中空導管15〇是再次 第12頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公® ) --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 530322 A7 B7 i、發明說明() 進入的,因為它提供離開處理室主要部分的一流動路^、 一開口,並以另一個開口再次進入。在此說明中,壤您 I f 1 50 可被視為是半超環面,因為導管是中空的,並的提供電裝 可能流動的一封閉路徑的一部份,藉由流經放置於曰 、阳圓支 撐座基座1 1 5上的整個處理區域,以完成整個路後。儘技 使用超環面,路徑的軌道和路徑或導管1 5 〇的橫截面带狀 不僅可以是環形或非環形的,和可以是方形或矩形或任何 其他形狀的一固定形狀或不規則形狀。 外部導管150可以是由較薄的導體所形成,例如金屬 板’並十分堅固而禁得停留理室内的真空。為壓制中空導 管150金屬板的渦流電流(並因此而有利於連結射頻感應 場至導管150内部),一隔離間隙152透過並穿過中空導管 150伸出,以將中空導管ι5〇分成兩個管狀部分。 此外,由隔離材料,例如陶瓷,替代薄金屬表皮的一 環圈1 54填滿間隙1 52,因此該間隙是真空密封的。一第二 隔離間隙153可被提供,以使導管15〇的一部分的電力是浮 動的。一偏壓射頻發電機162透過一阻抗匹配元件 (impedance mateh element) 164將射頻偏壓動力施加於晶圓 基座11 5和晶圓1 2 0。 或者’中空導管1 50可以是由一非傳導的材料所形 成,而非導電金屬板。例如,該非傳導材料可以是陶瓷。 在如此的一替代實施例中,將都不需要間隙i 52或i 53兩 者。 另外,一天線1 70,如一線圈或繞組1 65設置於中空導 第13頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公f ) f請先閱讀背面之注意事項再填寫本頁) ΦOrder H ---------_ 530322 A7 B7 printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs (5) Invention description () Purpose and summary of the invention · · _ a plasma reactor for processing a workpiece, It includes a sealing member for enclosing the -vacuum processing chamber ... a workpiece support is located in the sealing member and an overlapping portion facing the sealing member, the sealing member has at least a first and a second opening 'to pass the usual The openings are close to the opposite side of the workpiece support. At least one hollow catheter is connected to the first and second ports. A closed torus path is provided through the conduit, i extending between the second and second openings across the wafer surface. A processing gas supplier is connected to the inside of the processing chamber for supplying the processing gas to the toroidal heterodiameter. The coil antenna is connected to a radio frequency power source and is inductively coupled to the inside of the hollow tube to maintain a plasma in the toroidal path. Brief description of the drawings: Fig. 1 shows a first embodiment for maintaining an overhead plasma current path. Fig. 2 is a side view showing the embodiment corresponding to Fig. 丨 Fig. 3 is a graph showing the free fluorine concentration response in the plasma as a function of the gap distance between the wafer and the top. Fig. 4 is a graph showing the response of the free fluorine concentration in the plasma as a function of the RF bias power applied to the workpiece. Figure 5 shows a graph of the free fluorine concentration response in the plasma as a function of the RF source power applied to the coil antenna. Fig. 6 is a graph showing the change of free fluorine concentration in the plasma as a function of the pressure in the reactor processing chamber. Figure 7 shows the response of the free fluorine concentration in the plasma with an inert diluent. The paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 g t) ------------ -------- Order --------- line (please read the notes on the back before filling out this page) 530322 V. Description of the invention (Printed as SL by the Employee Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs Graph of partial pressure changes. Figure 8 is a graph showing the separation function of a source power processing gas for an inductively coupled reactor and the present reactor. Figure 9 is a variation showing the embodiment of Figure 1. Fig. 10 and Fig. 11 show a variation of the embodiment in Fig. I, which uses a closed magnetic core. &Quot; Fig. 12 shows another embodiment of the present invention, in which τ double% surface electricity The plasma electricity access path passes below the reactor processing chamber. &Quot; Figure 13 shows a variation of the embodiment in Figure 10, the six T plasma source | the force is applied to a coil, the county circle is wound around the The end of the closed pupae. Figure 14 shows an example of the establishment of two parallel toroidal plasma currents. Figure 15 Shows the establishment of a plurality of individual controls. The tenth embodiment is a consistent example of a plasma plasma ^. Fig. 16 shows a variation of the embodiment in Fig. 15 in which parallel super r-plane plasma currents enter and leave the plasma. The processing chamber is vertical: the wall rather than the top. Π 1 11 Figure 17A shows an embodiment of the plasma current across each surface at right angles to each other. Figure 17B shows Figure 17A The embodiment in the figure utilizes,…. A plurality of radial patterns are cited. Figures 18 and 19 show the embodiments of the present invention, in which the toroidal current of the toroid 6 is extended throughout a luhui τ ^ Κ See the path for a wide ribbon suitable for processing large; inch wafers. &Amp; again >> -------- J!! T 2 Please read the > I on the back before filling in this page) Order --------- Line · The 8th edition of this paper is in accordance with the Chinese National Standard (CNS) A4 specification (2i0 ^ 7 Kg £ 7 530322 printed by the Consumers ’Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs Α7 Β7 Description of invention () Figure 20 shows a variation of the embodiment in Figure 18, in which an external part of the toroidal plasma current path is shown The system is limited. Figure 21 shows the variation of the embodiment using a cylindrical core in Figure 18. The axis position of the cylindrical core can be adjusted in the figure to adjust the ion density across the wafer surface. Assignment. Figure 22 shows the variation of Figure 21-in the figure-a pair of coils are wound around a pair of cylindrical cores. Figure 23 shows a variation of Figure 22 in which a single common coil is shown Figures 24 and 25 show an embodiment that maintains a pair of super-mounted plasma currents at right angles to each other. These plasma currents are suitable for processing large-size wafers. Broadband. Fig. 26 shows a variation of the embodiment in Fig. 25, in which magnetic cores are used to enhance inductive coupling. Fig. 27 shows a modification of the embodiment in Fig. M, in which the right-angle electropolymeric ribbon enters and exits the reactor processing chamber through the vertical side walls, rather than through the horizontal top. Fig. 2 A shows the embodiment of Fig. 2 and Fig. 4 shows the generation of silk gas such as γ and 2 without leaving off. Figure 28B shows the embodiment of Figure 28A including a version of pistil. Fig. 29 shows a preferred embodiment of the present invention, in which a continuous annular pressure-increasing chamber is provided so as to surround the toroidal plasma current with a sealing member. Fig. 30 is a top view corresponding to Fig. 29. 31A and 31B are a side view and a side view, respectively, corresponding to FIG. 30. Page 9 This paper size applies to Chinese National Standard (CNS) A4 specification mo X 297 mm) (Please read the precautions on the back before filling this page) Φ -------- ^ ------ --- Line. 530322 A7 B7 Description of the Invention () Figure 32 shows a variation of the embodiment of Figure 29, where the continuous plenum chamber faces three freely driven RF coils below the 120-degree interval. Fig. 33 shows a variation of the embodiment of Fig. 32. In the figure, three radio frequency coils are driven at 120 degrees to provide an azimuth-rotating plasma. FIG. 34 shows a variation of the embodiment of FIG. 33. In the figure, the RF driving coils are wound around the vertical outer ends of the respective magnetic coagulation, and the opposite ends of the magnetic core extend horizontally to the plenum at a symmetrical distribution angle. Below. Fig. 35 is a version of the embodiment of Fig. 17, in which the hollow tubes that cross each other are contracted as in the embodiment shown in Fig. 20. Fig. 36 is a version of the embodiment of Fig. 24, but each coil 3630, 3640 is wound around a pair of magnetic cores 3610, 3620, respectively, for connection of each RF power source. Fig. 37 corresponds to the embodiment shown in Fig. 35, but has three reentry catheters instead of two, so there are a total of six reentry ports to the processing chamber. Fig. 38 corresponds to the embodiment of Fig. 38, but has three reentry catheters instead of two, and therefore has a total of six reentry ports to the processing chamber. Fig. 39 corresponds to the embodiment of Fig. 35. In the figure, the external ducts are connected to each other in a common plenum 3910. Figure 40 is an example corresponding to Figure 36. In the figure, the external conduit A — a total of 10 pages. The paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) (please read the back first) Please note this page before filling in this page) f Order --------- Line j Printed by the Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs Printed by 530322 A7 B7 V. Description of Invention () There is a plenum 4010 connected to each other. Fig. 41 corresponds to an embodiment of Fig. 37, in which external ducts are connected to each other in a common plenum 4110. Fig. 42 corresponds to the embodiment shown in Figs. 38 to 8. In the figure, the external ducts are connected to each other in a common plenum 4210. Fig. 43 corresponds to the embodiment of Fig. 17 in which the external ducts are connected to each other in a common plenum 4310. Comparative illustration of drawing numbers: 100 processing chamber 105 side wall 110 top 115 wafer base 120 wafer 125 processing gas supplier 130 gas inlet nozzle 135 vacuum pump 150 conduit 150a, 150b open end 152 isolation gap 153 second isolation gap 154 loop 155 First opening 160 Second opening 162 Biased RF generator 164 Impedance matching element 165 First coil 170 Antenna 175 Impedance matching element 180 RF power source 181 Light-radiating blade 185 Second coil 190 Processing gas supply 195 Gas inlet Mouth 210 Gas diffuser sprinkler head 220 Gas diffuser plenum 230 Gas nozzle 1015 Magnetic core 1120 Secondary coil Page 11 This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) ---- ----------------- Order --------- (Please read the precautions on the back before filling this page) 530322 V. Description of the invention (113 0 Regulating capacitor 1 2 7 0 coil antenna 1 2 3 0 induction coil 1450 second hollow 1470 magnetic core 1260,1265 open 1250 hollow conduit tubular sealing member Detailed description of the invention: The outline of the plasma reactor processing chamber is called the reference section Figure 1. A plasma reactor processing chamber 100 is surrounded by a cylindrical side wall 105 and a top 110, and a wafer base 115 is placed therein to branch a semiconductor wafer or a workpiece 12 A processing gas supplier 125 provides processing gas to the processing chamber ΐθθ through a gas inlet nozzle pipe 130a-13d extending through the side wall 105. A vacuum pump I "controls the pressure in the processing chamber 100, and the pressure is generally maintained at 0. · Below 5 亳 Torr. A half-toroidal hollow tubular full-sealed member or catheter 150 extends in a half circle above the top 1 丨. The guide f 1 5 0 extends outward from the top u outside. Out, but still part of the reactor and forming a wall of the processing chamber. Internally, it co-exists in the same evacuated atmospheric pressure that exists in its i place. Although not shown, 'In fact, the vacuum pump 135 can also be connected to the duct 15o instead of being connected to the bottom of the main part of the processing chamber as shown in Figure i. The duct 150 has an open end 15a sealed around one of the top 110 of the reactor. An opening 155, and its One end 15 Ob is sealed around a second opening 16o in the top 110 of the reactor. The rain openings 150 and 60 are usually located on opposite sides of the wafer support base 115. The hollow duct 15o is the 12th again The paper size of this page applies to the Chinese National Standard (CNS) A4 (210 X 297 male®) -------------------- Order --------- (Please read the notes on the back before filling out this page) Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs, printed 530322 A7 B7 i. Description of the invention () Entered because it provides a flow path away from the main part of the processing room ^, One opening, and enter again with another opening. In this description, your I f 1 50 can be considered as a semi-toroid, because the catheter is hollow and provides part of a closed path through which electrical equipment may flow. The entire processing area on the base of the sun-round support base 1 1 5 to complete the entire road. Exquisite use of toroids, orbits of paths and paths or cross-sections of ducts 150. Not only circular or non-circular, but also a fixed or irregular shape that can be square or rectangular or any other shape. The outer duct 150 may be formed of a thinner conductor, such as a metal plate ', and is very strong to prevent the vacuum in the processing chamber. In order to suppress the eddy current of the metal plate of the hollow tube 150 (and thus facilitate the connection of the RF induction field to the inside of the tube 150), an isolation gap 152 penetrates and extends through the hollow tube 150 to divide the hollow tube 50 into two tubes. section. In addition, the gap 1 52 is filled with a ring 1 54 of a thin metal skin instead of a thin metal skin, such as ceramic, so that the gap is vacuum-sealed. A second isolation gap 153 may be provided so that a part of the electric power of the duct 15o is floating. A biased RF generator 162 applies RF bias power to the wafer base 115 and the wafer 120 through an impedance mateh element 164. Alternatively, the 'hollow tube 150 may be formed of a non-conductive material instead of a conductive metal plate. For example, the non-conductive material may be ceramic. In such an alternative embodiment, neither gap i 52 or i 53 will be needed. In addition, an antenna 1 70, such as a coil or winding 1 65, is installed in the hollow guide. Page 13 This paper applies the Chinese National Standard (CNS) A4 specification (210 X 297 male f) f Please read the precautions on the back before filling in this Page) Φ

訂---------線I 經濟部智慧財產局員工消費合作社印製 530322 A7 B7 五、發明說明( 經 濟 部 智 慧 財 產 局 消 費 合 作 社 印 製 管1 5 0的一邊拍始& 迷、屢繞於與該半超環面的管子之對稱軸所平 行的一軸心,兮* 邊牛起%面的管子透過一個阻抗匹配元 1 7 5連結至一私相丄十 ^、功率源180。天線170可另包括一第二緩 圈185設置於中办壤其 、 工導& 150的一邊,並以與第一線圈165相 同的方向纏繞,阴u 因此從兩線圈所產生的磁場將可增加。 來自處理兔1 00的處理氣體充滿中空導管1 5 0。此外, 、刀離的處理氣體供應器190可透過一氣體進氣口 195直 妾。中導萑150供給處理氣體。在外部中空導管150中的 射頻領域使管子φ ^ a 卞甲的乳體離子化,以產生一電漿。由環形 線圈天線170感應的射頻領域是電漿在管子150中透過 圓120和頂部11〇之間的區域所達成,以完成一超環面 ^省超環面路徑包括半超環面的中空導管1 5 0。如圖 不,超裱面一詞係涉及封閉和固體自然性質的路徑,但 非知類或局限其橫截面形狀或軌道,可為圓形或非圓形 方形或其他形狀。電漿循環穿過完成一完整超環面路徑 區域可被視為是一封閉電漿電路。因在某些實施例中, 晶圓平面具有一相當寬度,故超環面區域越過晶圓12〇的 直徑延伸以覆蓋整個晶圓表面。 來自線圈天線1 7 0的射頻感應領域包括一自我封閉 場(如所有的磁場),並因此引起一電漿電流沿著上述之 閉超環面路徑。一般認為來自射頻感應領域的動力通常 被沿著封閉路徑的每個位置所吸收,因此電漿離子將沿 這條路徑被產生。電漿離子產生的射頻功率吸收和比率 根據一些因素而在沿著封閉路徑的不同位置之間變化。 第14頁 日曰 所 並 或 或 在 磁 封 是 著 可 C請先閱讀背面之注咅?事項再填寫本頁) ··--------訂---------線_ 530322Order --------- Line I Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economy 530322 A7 B7 V. Description of the Invention Twice around an axis that is parallel to the axis of symmetry of the semi-toroidal tube, the tube from the side of the side is connected to a private phase through an impedance matching element 175. Power source 180. The antenna 170 may further include a second slow loop 185 disposed on one side of the central office, the guide & 150, and wound in the same direction as the first coil 165, so the magnetic field generated by the two coils It can be increased. The processing gas from the processing rabbit 100 fills the hollow duct 150. In addition, the knife-off processing gas supply 190 can pass through a gas inlet 195 straight. The center guide 150 supplies the processing gas. The RF field in the outer hollow tube 150 ionizes the tube φ ^ a tortoise shell to produce a plasma. The RF field induced by the loop coil antenna 170 is that the plasma passes through the circle 120 and the top 11 in the tube 150 Between the areas reached to complete one The path of the toroid ^ provincial toroid includes a semi-toroidal hollow duct 150. As shown in the figure, the term super-mounting refers to a path of closed and solid natural nature, but it is unknown or restricts its cross-sectional shape. Or track, which can be circular or non-circular square or other shapes. The circulation of plasma through a region that completes a complete torus path can be considered as a closed plasma circuit. In some embodiments, the wafer The plane has a considerable width, so the toroidal area extends beyond the diameter of the wafer 120 to cover the entire wafer surface. The RF induction field from the coil antenna 170 includes a self-closed field (such as all magnetic fields), and therefore Causes a plasma current to follow the above-mentioned closed toroidal path. It is generally believed that the power from the RF induction field is usually absorbed at each position along the closed path, so plasma ions will be generated along this path. Plasma Ion-generated RF power absorption and ratios vary between different locations along a closed path based on a number of factors. Page 14 Dates and / or magnetic seals are available. Please read the back Pou? Matters to fill out this page) · Order -------- --------- line _ 530322

、發明說明( 經 濟 部 智 慧 財 產 局 消 費 合 社 印 製 而’雖然電流密度可能不同,但沿著封閉路徑長度的電流 通常是均勻。該電流根據施加於天線i 7〇的射頻信號的頻 率產生支流。然而,因為由射頻磁場所引起的電流是封閉 的’所以必須使在封閉路徑電路周圍的電流守恆,因此在 封閉路徑的任何部分流動的電流數量通常與在路徑的任 何其他邵分的電流數量是相同。如將在下文所描述之,在 本發明中利用此論據,以達到重要的優點。 此外’由在各種傳導表面所形成而限定路徑的電漿覆 套限定通過電漿電流流動的封閉超環面路徑。這些傳導表 面包括中空導管1 50的金屬板,晶圓(和/或晶圓支撐座基座) 與覆蓋於晶圓上之頂邵。形成於這些傳導表面的電漿覆套 是電荷枯竭(charge-depleted)區域,電漿覆套係因低質量 陰極電子(1〇W_mass negative electrons)的較大量遷移率和 重質量陽極離子(heavy-mass positive ions)的較少遷移率 所產生的電荷不平衡結果所造成。 如此的一電漿覆套具有一電場,該電場垂直於局部表 面並位於泫覆套之下方。以此方式,經過處理區域或位於 晶圓上的處理範圍的射頻電漿電流被限制,並通過垂直於 面對該晶圓之頂部|面的兩電場之間,%該晶圓係面對氣 體散流板。在小區域上方的集中電場中,例如晶圓,電漿 覆套的厚度(加上犯加於工件或其他電極的射頻偏壓)為更 大,而在其他位置,例如為於頂部和靠近的處理室牆大表 面上方的電漿覆套厚度則較少。因此,位於晶圓上方之電 漿覆套的厚度為較大。晶圓和頂部/氣體散流板之電聚覆套 第15頁 本紙張尺錢时關家鮮(CNS)A4H^ x 297公釐) --------^--------- (請先閱讀背面之注意事項再填寫本頁) 530322 A7 B7 五、發明說明() 的電場通常是互相平行的,並垂直於位在處理區域内之射 頻電漿電流的流動方向。 (請先閱讀背面之注音?事項再填寫本頁) 當先將射頻功率施加於線圈天線1 70時,一放電發生 穿越間隙1 5 2,以從中空導管1 5 〇内的氣體點燃一電容輕八 電漿。此後,穿過中空導管150電漿電流增加,射頻範園 的感應耦合變得更佔優勢,因此電漿成為一感應轉合電 漿。或者’電漿可利用其他方法被啟始,例如藉由施加射 頻偏壓於工件支撐座或其他的電極。 為了避免晶圓外圍的邊緣效應,超過晶圓直徑的一距 離使開口 1 5 0、1 6 0兩者分離。例如,對於一個丨2英忖直护 晶圓而吕,開口 1 5 0、1 6 0兩者相距大約1 6至2 2英吋。對於 一個8英忖直徑晶圓而言,開口丨5 〇、1 6 〇兩者相距大約1 〇 至1 6英吋。 本發明的優點: 經濟部智慧財產局員工消費合作社印製 個重要優點是’貫穿相對較長的封閉超環面路徑 (如較晶圓和反應器頂部之間的間隙長度更為長),來自射 頻感應領域的動力被吸收,因此射頻發動吸收被分散於大 區域。結果,在晶圓與頂部的間隙附近的射頻功率(如第2 圖所示之處理區域121最好不要與隔離間隙152混淆)是為 較低的,因而減少來自射頻領域對類似元件的損害。相對 地來說在先如的感應耦合反應器中,在狹窄的晶圓與頂 4的間隙之内所有射頻功率都被吸收,因此在那區域中功 率是十分集中的。此外,此事實經常局限於收縮晶圓與頂 第16頁 本紙張尺度適用中關家標準(CNS)A4規格(2lG χ 297公餐) 530322 A72. Description of the invention (printed by the Consumer Cooperatives, Bureau of Intellectual Property, Ministry of Economic Affairs and 'Although the current density may be different, the current along the length of the closed path is usually uniform. This current generates a branch current based on the frequency of the RF signal applied to the antenna i 70 However, because the current caused by the RF magnetic field is closed, the current around the closed path circuit must be conserved, so the amount of current flowing in any part of the closed path is usually the same as the amount of current in any other part of the path. Are the same. As will be described below, this argument is used in the present invention to achieve important advantages. In addition, the 'plasma sheath' which defines the path formed on the various conductive surfaces defines the closure through which the plasma current flows Toroidal path. These conductive surfaces include metal plates of hollow conduits 150, wafers (and / or wafer support bases), and tops that cover the wafers. Plasma sheaths formed on these conductive surfaces It is a charge-depleted region. The plasma sheath is due to low mass cathode electrons (1〇_mass negative elect The result is a charge imbalance caused by a larger amount of mobility and less mobility of heavy-mass positive ions. Such a plasma jacket has an electric field that is perpendicular to the local The surface is located below the yoke cover. In this way, the RF plasma current passing through the processing area or the processing area on the wafer is limited and passes between two electric fields perpendicular to the top surface of the wafer. The wafer is facing the gas diffuser. In a concentrated electric field above a small area, such as a wafer, the thickness of the plasma jacket (plus the RF bias applied to the workpiece or other electrodes) is larger. In other locations, for example, the thickness of the plasma jacket on the top and near the large surface of the processing chamber wall is less. Therefore, the thickness of the plasma jacket on the wafer is larger. The wafer and the top / Gathering cover for gas diffuser, page 15 Guan Jiaxian (CNS) A4H ^ x 297 mm on paper ruler -------- ^ --------- ( (Please read the notes on the back before filling this page) 530322 A7 B7 V. Description of the invention () Generally parallel to each other and perpendicular to the flow direction of the process position in the region of the radio-frequency plasma current. (Please read the note on the back? Matters before filling out this page) When RF power is first applied to the coil antenna 1 70, a discharge occurs through the gap 1 5 2 to ignite a capacitor with light from the gas in the hollow tube 1 5 0 Plasma. Thereafter, the plasma current passing through the hollow duct 150 increases, and the inductive coupling of the RF range becomes more dominant, so the plasma becomes an inductive transfer plasma. Alternatively, the plasma may be initiated by other methods, such as by applying a radio frequency bias to a workpiece support or other electrode. In order to avoid edge effects on the periphery of the wafer, a distance exceeding the wafer diameter separates the openings 150 and 160. For example, for a two-inch wafer directly protecting the wafer, the openings 150 and 160 are about 16 to 22 inches apart. For an 8-inch diameter wafer, the openings 50 and 16 are approximately 10 to 16 inches apart. Advantages of the invention: An important advantage printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economics is that it runs through a relatively long closed toroidal path (such as a longer length than the gap between the wafer and the top of the reactor). The power in the RF induction field is absorbed, so the RF activation absorption is dispersed over a large area. As a result, the RF power near the gap between the wafer and the top (the processing area 121 shown in Figure 2 is best not to be confused with the isolation gap 152) is lower, thereby reducing damage to similar components from the RF field. Relatively speaking, in the same inductive coupling reactor, all radio frequency power is absorbed within the narrow gap between the wafer and the top 4, so the power is very concentrated in that area. In addition, this fact is often limited to shrink wafers and tops. Page 16 This paper size applies the Zhongguanjia Standard (CNS) A4 specification (2lG x 297 meals) 530322 A7

部的間隙的能力f A a +、甘a & 月匕力(在寻求其他優點),或者,需要 射頻功率於晶圓區 中的 « W E域。因此’本發明克服先前之 經濟部智慧財產局員工消費合作社印製 處=::藉由以減少回應氣體的停留時間急劇減=域…^如先;; 相關而甚至更重要的優點是,在沒有 圈天線170的射頻功率(引導更大的 ::於線 電漿密度可以急劇增加。此優點之達成是 年面㈣的其餘部分1少在基座表面 近‘ :!=的橫截面。透過如此縮減靠近晶圓電聚電流: ⑽控,晶圓表面附近電裝的密度將會成比例增加。 =穿過中空導管150的超環面路徑電浆電流一定使 乎是完全相同。 )間隙。的電聚電流至少 在習知技術中的一重要#别曰此41^土 疋,不僅是射頻領域與 件:艮返,並且不僅是在晶圓表面不需增加所施加之 ”,可使離子密度被增加’電裝離子密度和/或所施 域也可被增加’而不需增加晶圓與頂部的最小 隙長度。 先前,如此的一電漿密度增加使晶圓與頂部的間隙 :增加成為必要’以避免在晶圓表面產生強烈領域。相 地’…明中並不需要昂圓與頂部間隙的任何增加而 以避免在晶回表面上射頻磁場的一隨附增加,便可達成 聚密度的提升。此乃因為所施加切_域係距晶圓相 第17頁 t @ ϋ (cns)a4 (210 x 297^57· 超 這 與 幾The capacity of the gap f A a +, Gan a & moon force (in seeking other advantages), or RF power in the «W E domain in the wafer area. Therefore, the present invention overcomes the previous printing of the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs = :: sharply reduces the residence time by reducing the response gas = domain ... ^ as before; the relevant and even more important advantage is that in the The RF power of the loopless antenna 170 (larger guidance: the line plasma density can increase sharply. This advantage is achieved by the fact that the rest of the year 1 is less on the surface of the base near the cross section:! =. In this way, the current concentration near the wafer is reduced: if controlled, the density of the electrical components near the wafer surface will increase proportionally. = The toroidal path plasma current through the hollow duct 150 must be exactly the same.) Gap. The electricity collecting current is at least an important factor in the conventional technology. # Do n’t say this 41 ^ 土 疋, not only in the RF field and parts: Genback, but also not only on the wafer surface without the need to increase the applied. " Density is increased 'density and / or the area of application can also be increased' without increasing the minimum gap length between the wafer and the top. Previously, such an increase in plasma density increased the gap between the wafer and the top: increase It becomes necessary 'to avoid creating strong areas on the surface of the wafer. Phase to ground' ... Ming does not need any increase in the gap between the rounded circle and the top to avoid the incidental increase of the RF magnetic field on the surface of the crystal. The increase in density. This is because the applied tangent domain is from the wafer phase, page 17 t @ ϋ (cns) a4 (210 x 297 ^ 57

X 射 加 間 電 田 --------^---------線 (請先閱讀背面之注音?事項再填寫本頁} 經濟部智慧財產局員工消費合作社印製 j^322 發明說明() 知。如被增加以在晶圓表面達成電漿密度的-增 核限==晶圓與頂部的間隙可被減低至-個基本 表面是導電的B,則:點。例*,如果位於晶圓上方的頂部 : …咸少晶圓對-頂部的間隙可改進由導電 最:=提供的接電或接地參數。此外,晶圓與頂部的 取小間隙長度的—其士上 ^ ^ ^ m 土 %疋在晶圓表面上和在頂部表 上的电桌覆套厚度的總和。 本發明的另一優赴邮口 , 力慢;則疋,因為射頻感應領域是沿著整 個射頻電漿電流的超環 备 面各仅所她加的(因此它的吸收將 :如上述被分散),處理室頂部11〇與大多數的感應動力反 咨不同,並不需要作為—感應領域的窗Π,因此可由任 物負所形成,如-兩傳導性和厚重的金屬,因此可包含 -傳導氣體散流板,如下所述之。所產生之結果是,頂部X Shejiama Denda -------- ^ --------- Line (Please read the phonetic on the back? Matters before filling out this page} Printed by the Employees ’Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs j ^ 322 Description of the invention () Known. If it is increased to achieve plasma density on the wafer surface-increase the nuclear limit == the gap between the wafer and the top can be reduced to-the basic surface is conductive B, then: point. Example *, if the top is located above the wafer:… less wafer pairs-the gap at the top can improve the electrical or grounding parameters provided by the most conductive: = In addition, the small gap length between the wafer and the top—its Shi Shang ^ ^ ^ m soil% 疋 Sum of the thickness of the electric table cover on the wafer surface and on the top table. Another advantage of the present invention is to go to the post, the force is slow; then, because the RF induction field is along the The super-loop preparation surface for the entire RF plasma current is only added by it (so its absorption will be: dispersed as described above). The top of the processing chamber 11 is different from most inductive dynamic feedback, and does not need to be— The window in the sensing field can therefore be formed by anything negative, such as-two conductive and heavy metals, so it can contain -A conductive gas diffuser, as described below. The result is that the top

Pk供可靠電位或接地參數,越過基座或晶圓12〇 的整個表面。 增加電漿離子密度: 在晶圓表面附近達成更高電漿密度的一方法是藉由 減少在晶圓上方之電漿路徑橫截面面積,以減少晶圓與頂 邵的間隙長度。它可以僅藉由減少頂部高度或藉由在晶圓 上方引進一傳導氣體散流板或一噴灑頭而達到,如第2圖 所示。在第2圖中的氣體散流噴灑頭210是由連接至氣體供 應器125的一氣體散流增壓室220所組成,並透過複數個氣 體喷口 230與位在晶圓120上方的處理區域相連接。傳導噴 第18頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 530322 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明() 灑頭210的優點是雙 一 近位置,它H , 、. ,由於它位在相對晶圓的較 、置 將在晶圓表面上方壓縮泰路枚、 在此鄰近區域中兩婿中、 兒,住,並從而增加 個曰… 的密度。第二,在靠近和越過整 個时回表面它提供-均勾電位參考或接地平面。 車义佳的作法是,為了避免越過開口2 開口 230都是相當的狹小,小於 矛每 、毛未(較佳的洞直徑是大 ^. 而與鄰近開口之間的間距則可小於幾㈣ 米0 傳導喷灑頭21·縮電漿電流路徑,而不是經由自 提供-短路因為在沈浸於電漿的嘴灑頭表面的部分周通 形成一個電漿覆套。電漿覆套對電聚電流具有比晶圓120 和喷〉麗頭210之間的空間更大的-阻抗,因此,所有電 電流圍繞傳導喷灑頭2 1 〇旋轉。 ,為了縮減位於上方之處理區域附近的超環面電聚 流或各k並不定需要使用噴麗頭(如喷灑頭川)。路 縮減和隨之的處理區娀雷嵴雜& a a 匕域%桌離子贫度增加可同樣地藉 減少晶圓與頂部的高度被完成,而不需要噴灑頭η。。 果在此模式中排除噴灑頭210,則可借助於傳統氣體進 口噴管(未顯示)供給處理氣體進入到處理室内。 噴灑頭210的一優點是,反應和惰性的處理氣體不 的混合比例,可透過不同直徑的不同孔口 23〇被導入, 精確地調整,例如電漿對光阻影響的均勻性。因此,舉 來說,當惰性氣體較反應氣體佔有更多比例時可被傳送 位在一中央半徑外圍的孔口 23〇,而當反應氣體較惰性 第19頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公f ) 己 漿 徑 由 如 器 同 以 例 至 氣 )m --------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 530322 A7 ____B7 五、發明說明() 體佔有更多比例時則可被傳送至位在那中央半徑之内園 的孔口 230。 如下文將述’可使超環面電漿電流路徑被壓縮於位在 晶圓上方的處理區域中的另一方法是(為增加晶圓上方之 電漿離子密度)’藉由增進施加於晶圓支撐基座的射頻偏 壓動力以增加晶圓上的電漿覆套厚度。如同先前所述,橫 越處理區域的電漿電流被限制於晶圓表面的電漿覆套與 頂部(或者喷灑頭)表面的電漿覆套之間,增加在晶圓表面 的電漿覆套厚度則必然減少在處理區域内之超環面電漿 電流的部分橫截面,從而增加在處理區域内的電漿離子密 度。因此,如後續將更詳細描述之,當晶圓支撐基座上的 射頻偏壓動力增加時,在晶圓表面附近的電漿離子密度也 必跟著增加。 高蝕刻比率的高蝕刻選擇性: 本發明解決有時會發生於高密度電漿中的不良钱刻 選擇性的問題。當在第1圖與第2圖中的反應器提供高餘刻 率達到如一度感應搞合電聚反應為時’具有如一電容 耦合電漿反應器之二氧化矽_至-光阻的一蝕刻選擇性(大 約7 :1)。一般相信成功的原因是由於第1圖與第2圖中的反 應器架構減少反應處理氣體分解的私度’ 一般是—氟化碳 氣體,以減少在晶圓1 20上方之電漿區域游離氟發生率。 因此,在電漿中游離氟與從氟化破氣體中所分離出其他種 類的相關比例是可如期的被減少。如此其他的種類包括有 第20頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) - · * _ ----------!·------- —訂---------線 (請先閱讀背面之注意事項再填寫本頁) 530322 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 在氟化碳處理氣體的電漿中所形成的富含碳聚合物先導 種類’並沈積於在光阻上作為一保護聚合物塗覆。此外, 其他的種類另包括較少反應的蝕刻種類,如形成於氟化碳 處理氣體的電漿中的CF和CF2。游離氟易於侵害光阻與形 成於其上之保護聚合物塗覆如其侵害二氧化矽一般激 烈,因而減少氧化物-至·光阻的蝕刻選擇性。另一方面, 較少反應的姓刻種類CF和eh易於較慢侵害光阻形成於其 上之保護聚合物塗覆,並因此提供較高的蝕刻選擇性。 可相信的是本發明中電漿種類對游離氟分解的減少 的達成疋藉由減少電漿中反應氣體的停留時間。這是因為 最初在氟化碳處理氣體的電漿中分離的更複雜的種類,如 CF和CF2是它們自己最終地在分離成包括有游離氟的更簡 單種類,並根據電漿中氣體停留時間最後分解步驟的程 度。本說明書中所使用之,,停留時間(residency 或 residence time)词一般是相當於一處理氣體分子與從 該分子所I離出的種赛員出現於位在工件丨晶圓上方之處 理區域的平均時間。這段時間或時期係指從將分子的最初 射,進入至處理區域裡直到分子和/或它的分離的成果被 沿著封閉超環面路徑分發,如上所述 <邊封閉超環面路徑 係延伸穿過處理區域。 如上所述,本發明藉由減少氟 “ 成乂鼠化石灭處理氣體在處理區 域内的停留時間以提升蝕刻選擇性。 、 1τ田時間減少是藉由 限制位在晶圓1 20和頂部!丨〇之間的電 %采Λ積所達成。 晶圓與頂部之間的間隙或體積 具有某些有 第21頁 表紙張尺度適用中關家標準(CNS)A4規彳^ χ 297公爱丁 --------^---------線 (請先閱讀背面之注意事項再填寫本頁) 530322Pk provides a reliable potential or ground parameter across the entire surface of the pedestal or wafer 120. Increasing Plasma Ion Density: One way to achieve higher plasma density near the wafer surface is to reduce the cross-sectional area of the plasma path above the wafer to reduce the gap length between the wafer and the top. It can be achieved only by reducing the top height or by introducing a conductive gas diffuser or a sprinkler head over the wafer, as shown in Figure 2. The gas diffuser spray head 210 in FIG. 2 is composed of a gas diffuser plenum 220 connected to the gas supplier 125, and passes through a plurality of gas nozzles 230 to communicate with a processing area located above the wafer 120. connection. Conductive spray page 18 This paper size is applicable to China National Standard (CNS) A4 (210 X 297 mm) -------- Order --------- Line (Please read the note on the back first Please fill in this page again for details) 530322 A7 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 5. Description of the invention () The advantage of sprinkler 210 is the close-to-close position. It is H, .. The device will compress the Talium chip above the surface of the wafer, in the vicinity of the two, and live, and thus increase the density of ... Secondly, it provides-even-hook potential reference or ground plane when approaching and crossing the entire surface. Che Yijia ’s approach is to avoid crossing the opening 2. The opening 230 is quite narrow and smaller than the spear and the hair (the preferred hole diameter is large ^. The distance from the adjacent opening may be less than a few meters 0 Conductive sprinkler head 21 · Condensed plasma current path, instead of self-providing-short circuit because a plasma jacket is formed around a part of the nozzle head surface that is immersed in the plasma. The plasma jacket covers the electric current It has a larger -resistance than the space between the wafer 120 and the spray head 210, so all electrical currents are rotated around the conductive spray head 2 10. In order to reduce the toroidal current near the upper processing area, Convergence or each k may not necessarily require the use of sprinklers (such as sprinkler heads). Road shrinkage and subsequent processing areas will increase the amount of ion depletion in the same area as the wafer. The height with the top is completed without the need for the spray head η. If the spray head 210 is excluded in this mode, the processing gas can be supplied into the processing chamber by means of a conventional gas inlet nozzle (not shown). One advantage is that it is reactive and inert The mixing ratio of the physical gas can be introduced through different orifices 23 of different diameters and precisely adjusted, such as the uniformity of the effect of the plasma on the photoresist. Therefore, for example, when the inert gas occupies more than the reactive gas It can be conveyed in a ratio of 23 ° at the periphery of a central radius. When the reaction gas is relatively inert, page 19, the paper size applies the Chinese National Standard (CNS) A4 specification (21〇X 297mm f). If the device is the same as the example, m) -------- Order --------- line (Please read the precautions on the back before filling this page) Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs System 530322 A7 ____B7 V. Description of the invention () When the body occupies a larger proportion, it can be teleported to the orifice 230 located in the inner circle within the central radius. As will be described later, 'another method to make the toroidal plasma current path be compressed in the processing area located above the wafer is to increase the plasma ion density above the wafer' by increasing the application to the crystal The RF bias power of the circular support base increases the thickness of the plasma jacket on the wafer. As mentioned earlier, the plasma current across the processing area is limited between the plasma jacket on the wafer surface and the plasma jacket on the top (or sprinkler) surface, increasing the plasma coating on the wafer surface. The thickness of the sleeve inevitably reduces part of the cross-section of the toroidal plasma current in the processing area, thereby increasing the plasma ion density in the processing area. Therefore, as will be described in more detail later, as the RF bias power on the wafer support base increases, the plasma ion density near the wafer surface must also increase. High etch selectivity with high etch ratio: The present invention solves the problem of poor money etch selectivity that sometimes occurs in high-density plasma. When the reactors in Figure 1 and Figure 2 provide a high etch rate, such as a degree of induction to engage the electropolymerization reaction, 'an etch with a silicon dioxide such as a capacitively coupled plasma reactor to-photoresist Selectivity (approximately 7: 1). It is generally believed that the reason for success is because the reactor architecture in Figures 1 and 2 reduces the privacy of the decomposition of the reaction process gas. Generally, it is fluorocarbon gas to reduce the free fluorine in the plasma area above the wafer 120. Incidence. Therefore, the relative proportion of free fluorine in the plasma and other species separated from the fluorinated gas can be reduced as expected. Such other types include the paper size on page 20 which is applicable to the Chinese National Standard (CNS) A4 (210 X 297 mm)-* * _ ----------! · ------ --Order --------- line (please read the precautions on the back before filling this page) 530322 A7 B7 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs Carbon-rich polymer lead species formed in the plasma and deposited on the photoresist as a protective polymer coating. In addition, other species include less reactive etching species such as those formed from carbon fluoride The CF and CF2 in the plasma of the processing gas. Free fluorine is easy to attack the photoresist and the protective polymer coating formed thereon is as intense as it is against silicon dioxide, thus reducing the oxide-to-photoresist etch selectivity. On the other hand, the less reactive species CF and eh are susceptible to slower attack on the protective polymer coatings on which the photoresist is formed, and therefore provide higher etch selectivity. It is believed that the plasma in the present invention Reduction of free fluorine decomposition by species-by reducing reaction gas in plasma This is because the more complex species that were originally separated in the plasma of the fluorocarbon treatment gas, such as CF and CF2, are themselves themselves eventually separated into simpler species that include free fluorine, and according to the plasma The extent of the final decomposition step of the gas retention time. As used in this specification, the word residencency (residency or residence time) is generally equivalent to the presence of a gas molecule and the racer who leaves it. The average time in the processing area above the workpiece 丨 wafer. This time or period refers to the time from the initial shot of the molecule into the processing area until the molecule and / or its separation results are taken along the closed toroidal path Distribute, as described above, < Side-enclosed toroidal path extends through the processing area. As described above, the present invention improves the etch selectivity by reducing the residence time of the fluorine "mole fossil killing process gas in the processing area". The reduction of the 1τ field time is achieved by limiting the electrical% production between the wafer 120 and the top! 丨 〇. The gap or volume between the wafer and the top There are certain paper standards on page 21 that apply the Zhongguanjia Standard (CNS) A4 Regulations ^ χ 297 Male Eding -------- ^ --------- line (please read first (Notes on the back then fill out this page) 530322

經濟部智慧財產局員工消費合作社印製 利影塑+ " 百先’此減少可增加晶圓上方之電漿密度,提升 1虫刻比率。第二,當體積減少時,停留時間跟著下降。如 上所述之’在本發明中是有可能使體積縮小,因為不同於 傳統感應輕合反應器,射頻來源動力並不是被放置於晶圓 上万义處理區域的範圍之内,而是沿著電漿電流的整個封 閉超環面路徑分配動力來源。因此,晶圓與頂部的間隙可 比射頻感應領域的一外殼厚度更少,甚至可因為距離是如 此的小而大量的減少引入處理區域之反應氣體的停留時 間’而成為本發明之一重要優點。 用以減漿路徑橫截面與’晶圓12〇上方之體積的 万法有兩種。一種是減少晶圓-至-噴灑頭的間隙距離。 一種是透過利用射頻偏壓動力發電機1 62增加施加於晶^ 基座115的偏壓射頻功率,以在晶圓上增加電漿覆套厚 度,如先前所述。任一個方法均會造成在晶圓丨2〇)附近之 電漿中的游離氟含量的減少(與後續介電質相對於光阻的 蝕刻選擇性的增加),並使用光學放射光譜學(optical emission spectrosc〇py ; 〇ES)技術所觀察得之。 本發明另有三個附加方法用以減少游離氟含量以 進蝕刻選擇性。一個方法是導入一個無化學性反應稀 劑,例如導入氬氣到電漿裡。更佳的作法則是,藉由直 從第二處理氣體供應器190將氬氣射入中空導管15〇,以 外面導入氬氣至處理區域上方,而化學性反應處理氣 (氟化碳氣體)僅僅透過噴灑頭210輸入處理室。由於有此^ 益的安排,氬離子、中性粒子、和激化粒子在超環面路徑 第22頁 另 圓 改 接 從 體 有 --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 530322 A7 B7Printed by the Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs, Li Yingsu + "Bai Xian" This reduction can increase the plasma density above the wafer and increase the worming rate. Second, as the volume decreases, the residence time decreases. As described above, in the present invention, it is possible to reduce the volume, because unlike traditional inductive light-reaction reactors, the RF source power is not placed within the range of the Wanyi processing area on the wafer, but along the The entire closed toroidal path of the plasma current distributes the power source. Therefore, the gap between the wafer and the top can be smaller than the thickness of a shell in the field of RF induction, and even because the distance is so small, a significant reduction in the residence time of the reactive gas introduced into the processing area is an important advantage of the present invention. There are two ways to reduce the cross-section of the path and the volume above the 'wafer 120'. One is to reduce the gap distance of the wafer-to-spray head. One is to increase the RF jacket power on the wafer by increasing the RF power applied to the wafer 115 by using RF bias power generator 162, as previously described. Either method will result in a reduction in the free fluorine content in the plasma near the wafer (20) (and an increase in the subsequent dielectric selectivity of the dielectric relative to the photoresist) and use optical emission spectroscopy (optical emission spectrosc〇py; oES) technology. The present invention has three additional methods for reducing the free fluorine content to improve etch selectivity. One method is to introduce a non-chemical reactive diluent, such as introducing argon into the plasma. A better method is to directly inject argon into the hollow duct 15 from the second processing gas supplier 190, and introduce argon outside the processing area to chemically react the processing gas (carbon fluoride gas). The processing chamber is input only through the spray head 210. Due to this beneficial arrangement, the argon ions, neutral particles, and excited particles have been changed from the following in the toroidal path on page 22 ------ --- Order --------- line (Please read the precautions on the back before filling this page) 530322 A7 B7

經濟部智慧財產局員工消費合作社印製 五、發明說明() 電漿電流之内並透過越過晶圓表面的處理區域傳播,以稀 釋新近導入的反應(如氟化碳)氣體,並因此而有效地、咸少 反應氣體在晶圓上方的停留時間。減少電聚游離氣本量的 另一個方法是減少處理室壓力。而另一方法則是減少施加 於線圈天線1 70的射頻來源動力。 第3圖係顯示在本發明中觀察所得電漿中游離氣本量 隨著晶圓與頂部之間間隙距離減少而減少之圖表。第4图 是顯示在電漿中游離氟含量隨著施加於晶圓基座u 5的射 頻偏壓功率減少而減少之圖表。第5圖是顯示在電聚中游 離氟含量藉由減少施加於線圈天線17〇的射頻源功率而減 少之圖表。第6圖是顯示在電漿中游離氟含量藉由減少反 應器處理室壓力而減少之圖表。第7圖是顯示在電漿中游 離氟含量藉由增加一惰性稀釋劑(如氬)的局部壓力而減少 之圖表。在第3圖至第7圖中僅顯示由許多〇ES觀察所推斷 的電漿行為傾向性並未描述實際數據。 本發明之廣闊處理窗口: 較佳的作法是,處理室的壓力是小於Q 5托⑺,並能 被降低至1毫托耳(mT)。處理氣體可是c4F6,該處理氣體 穿過氣體散流噴灑頭以大約15cc/m的一流率並伴隨 i 5〇CC/m流率的氩被射入處理室丨〇〇,而處理室的壓力則被 維持於大約20毫托耳(mT)。或者,氯氣體流率可被增加至 65〇CC/m與處理室壓力至6〇毫托耳(mT)。天線17〇可以是利 用500瓦特的射頻功率於13百萬赫兹而被啟動。晶圓_至· 噴灑頭的間隙可是大約。.3英叶到2英对。施加於晶圓基座 第23頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇*7^^~ --:- (請先閱讀背面之注意事項再填寫本頁) t 訂---------線赢 經濟部智慧財產局員工消費合作社印製 530322 A7 -------B7 五、發明說明() 的偏壓射頻功率可以是2000瓦特於13百萬赫茲。其他頻率 選擇也是可能的。施加於線圈天線17〇的動力源低至5〇仟 赫茲或同至1 3百萬赫茲或甚至更高。施加於晶圓基座的偏 壓動力也是相同的。 在第1圖和第2圖中的反應器的處理窗口比一傳統感 應耦合反應态的處理窗戶更寬得多。在第8圖中的圖表顯 示就一傳統感應反應契合第丨圖與第2圖中的反應器而 言’游離氟之特定粒子通量是射頻來源動力的一函數。對 於傳統感應耦合反應器而言,第8圖顯示當動力源超過5〇 與100瓦特之間時,游離氟特定通量開始迅速增加。相對 而言,在第1圖和第2圖中的反應器可接受的動力源程度接 近1000瓦特在游離氟特定通量開始迅速增加之前。因此, 本發明中的動力源處理窗戶幾乎是一傳統感應耦合反應 器的十倍,其為本發明之一重要優點。 本發明的雙重優點: 晶圓或工件附近的超環面電漿電流路徑的收縮產生 兩個獨立優點而不需其他表現標準:(1)的任何重要折衷方 案生產增加晶圓上方的電漿的密度而不需要電漿動力源 的任何增加’並且(2)增加對光阻或其他的材料的蝕刻選擇 性,如上所述。如果習知的電槳反應器無法使用增加蝕刻 選擇性的相同步驟來增加電漿離子密度時,一般會認為它 是不實用的。因此,利用本發明的超環面電漿來源所實現 的雙重優點顯露出較習知技術的一重大改革;m更。 第24頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ----------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 530322 A7 - ----—— _2Ζ________ 五、發明說明() 其他較佳實施例: 第9圖是顯示第1圖之實施例的一變化。在圖中的次要 天線170被一更小的天線91〇所代替,該天線91〇係適合用 於頂部110和中空導管15〇之間的空間。較佳的作法是,天 線9 1 0是纏繞相對於中空導管1 5 〇之中心的一單一線圈。 第1 〇圖和第11圖係顯示在第1圖中之實施例的一變 化’其利用一封閉可穿透磁蕊1 〇 1 5伸穿頂部1 1 〇與中空導 管150之間的空間。磁蕊1〇15增進從天線17〇至中空導管 150内之電漿的感應耦合。 另外’可不需使用阻抗匹配電路1 7 5達到阻抗匹配, 反取而代之使用一次要線圈1 1 2 〇,次要線圈1 1 2 〇利用一調 節電容器1 1 3 0在磁蕊1 〇 1 5周遭相連接。調節電容器1 1 3 0的 電容選擇是用以在射頻功率源1 80的頻率之下使次要線圈 1120產生共振。對於一固定調節電容器113〇而言,可藉由 頻繁調節和/或藉由向前動力伺服提供動態阻抗匹配。 第1 2圖係顯示本發明的另一實施例,其中一中空管狀 密封構件1250延伸環繞反應器之下方並透過在處理室底 部地板的一對開口 1260、1265連接至處理室之内部。一線 圈天線1270沿著中空管1250以第1圖實施例的模式所提供 之旁邊的超環面路徑行進。當第12圖顯示真空泵135與主 要處理室的底部耦合,正因如此它也可以取而代之的與位 於下方之導管1250耦合。 第1 3圖係顯示第1 0圖與第11圖中之實施例的一變 第25頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 530322 A7 、^^ --—-— B7 _ 立、發明說明() 4 匕 , 1 |- 、中電装源電力係施加於一線圈,該縣圈係纏繞該封 兹咖的末端邵分。圍繞於磁蕊1 〇 1 5之一上層部分的一 心、應線圈1320代替天線170。此外,線圈1320圍繞磁蕊1015 位於導普1 sn w 、& &川上万的一部份(而不是下方)。然而,線圈1320 可園繞於磁蕊1 〇 1 5的任何一部份。 第14圖係顯示第13圖之概念的延伸,其中一第二中空 $狀法封構件1 4 5 0平行地穿過第一中空導管1 5 〇並提供一 平行起環面電漿電流。管狀密封構件丨4 5 〇的末端透過在頂 p 1 ίο的各自開口連結至處理室的内部。一磁蕊147〇延伸 於兩密封構件1250、1450下方並穿過線圈天線17〇。 第1 5圖係顯示第丨4圖之概念的延伸,其中一系列中空 管狀密封構件i50a、150b、15〇c、15〇d提供複數個環狀電 漿電流路徑穿過反應器處理室。在第1 5圖的實施例中,分 別藉由一各自的線圈天線17〇a-d單獨地控制在每一個個別 的中S管狀密封構件丨5〇a_d中的電漿離子濃度。個別的圓 柱狀開放磁蕊1520^1 520(1可被格別的插入向對應之線圈 天線17^-d中。以此方式,相關之中心_至_邊緣離子密度 分配可藉由調節個別射頻動力源功率丨8〇a_d的等級。 第16圖係顯示第15圖中之實施例的一變化,其中一系 列中2管狀密封構件15〇a-d延伸透過反應器侧壁而非頂部 110。另外,在第16圖中所顯示的另一變化是利用一單一 共有磁蕊1470鄰近於所有的中空管狀密封構件i5〇a_d,而 單一共有磁蕊1470具有天線17〇纏繞於其上,因此單一射 頻源激發在所有的中空管狀密封構件15〇a-d内的電漿。 第26頁 ^紙張尺度適用中國國家標準(CNS)A4 <_格(21G x 297公f )----—- --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 530322 Α7 Β7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 第1 7 A圖係顯示橫越工件的表面保持一對相互成直角 的超環面電漿電流的-實施例。—對互呈直角的密封構件 15〇-1和150-2’而密封構件15〇_1和15〇_2透過在頂部11〇的 的各自開口伸出,並由各自線圈天線17〇]和所激 起。個別的磁蕊係位在各自線圈天線17〇_ 和1 7 0 2之内此實施例產生兩條互呈直角的超環面電漿 電流路徑在晶圓120上彳,以提升均句性。相互分離的兩 條互呈直角超環面或呈封閉的路徑,並如圖所示被獨立供 電,但在晶圓上方之處理區域中相交,而在其他區域則 否。為了確保施加於兩直角路徑的的電漿動力源的分離的 &制,第1 7圖中的個別射頻發電機丨8〇&、丨8此的頻率是不 同的,因此阻抗匹配電路175a、175b的操作是退耦的。舉 例而a ,射頻發電機180a可產生一射頻信號於η百萬赫 兹,而射頻發電機18013可產生射頻信號於12百萬赫兹。或 者,可藉由抵消兩個射頻發電機18〇a、18〇b的位向而達到 獨立操作。 第17B圖係顯示如何使用輻射狀葉片I。導引兩導管 150 1和150-2的每一超環面電漿電流穿過晶圓支撐座上方 之處理區域。輻射狀葉片丨8丨伸入處理室侧面附近的每一 導管開口 <間直到晶圓支撐座的邊緣以下。輻射狀葉片 181防止由一條超環面路徑至另一條超環面路徑的電漿轉 移,因此兩個電漿電流僅在晶圓支撐座上方之處理區域内 相交。 第27頁 本紙張尺度細中關家標準(CNS)A4規格丨._21Q χ 297公餐 1--- *I 1· --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 530322 A7 _ _ B7 五、發明說明() 較大尺寸晶圓的合適實施例: 除了近來業界傾向製造更小尺寸的元件和更高密度 的元件以外,另一傾向則是製造更大直徑的晶圓。舉例來 說’直徑12英吋的晶圓是目前進行的生產,並且可能在將 來生產更大直徑的晶圓。大直徑的晶圓的優點是具有更大 的產量,每片晶圓可容許大量的積體電路。而其缺點則是 在電漿中處理一大直徑晶圓時較難在整個晶圓表面維持 一均勻電漿。下列的本發明實施例是特別適合用於提供一 均勻電漿離子密度分配遍及一大直徑晶圓的整個表面,例 如一直徑1 2英吋的晶圓。 第18圖和第19圖係顯示一中空管狀密封構件181〇,中 空管狀密封構件1810是第上圖中之中空導管15〇的一寬廣 平坦的矩形變化形式,變化形式丨85〇包含有一隔離間隙 1 852。變化形式產生一電漿的寬,,帶狀物,,,以更適合用以 一致地覆蓋一個大直徑晶圓均勻,例如一直徑12英吋的晶 圓或工件。管狀密封構件1 850和在頂部11〇中開口 ι86〇、 1 862的寬度W應超過晶圓直徑大約5%或更多。例如,如果 晶圓直徑是10英吋,則矩形管狀密封構件185〇和開口 1860、1862的寬度W是大約η英吋。第2〇圖係顯示第18圖 和第19圖中實施例之管狀密封構件185〇的一變化185〇,, 在圖中外部管狀密封構件1 850的一部份1864係被壓縮。然 而’未被壓縮的弟1 8圖和第1 9圖中實施例為較佳的作法。 第20圖另顯示選用聚焦磁鐵187〇於管狀密封構件 1 850之壓縮和未被壓縮部分之間的過渡區域。聚焦磁鐵 第28頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------------------^---------^ (請先閱讀背面之注意事項再填寫本頁) 530322 A7Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs. 5. Description of the invention () The plasma current spreads through the processing area across the wafer surface to dilute the newly introduced reaction (such as carbon fluoride) gas, and is therefore effective. Ground and salt less reactive gas residence time above the wafer. Another way to reduce the amount of electro-polymerized free gas is to reduce the pressure in the processing chamber. The other method is to reduce the RF source power applied to the coil antenna 170. Fig. 3 is a graph showing that the amount of free gas in the plasma obtained as observed in the present invention decreases as the gap distance between the wafer and the top decreases. Fig. 4 is a graph showing that the content of free fluorine in the plasma decreases as the RF bias power applied to the wafer base u 5 decreases. Fig. 5 is a graph showing that the amount of free fluorine in electropolymerization is reduced by reducing the power of the RF source applied to the coil antenna 170. Fig. 6 is a graph showing that the free fluorine content in the plasma is reduced by reducing the pressure in the reactor processing chamber. Figure 7 is a graph showing that the free fluorine content in the plasma is reduced by increasing the local pressure of an inert diluent such as argon. Figures 3 to 7 only show the propensity for plasma behavior inferred from many oES observations and do not describe actual data. Wide processing window of the present invention: It is preferred that the pressure in the processing chamber is less than Q 5 Torr and can be reduced to 1 millitorr (mT). The processing gas is c4F6. The processing gas is injected into the processing chamber at a first rate of about 15cc / m and a flow rate of i 50CC / m through the gas dispersion sprinkler head. The pressure in the processing chamber is It is maintained at approximately 20 millitorr (mT). Alternatively, the chlorine gas flow rate can be increased to 65 ° C / m and the process chamber pressure to 60 millitorr (mT). The antenna 170 can be activated with 13 megahertz using 500 watts of RF power. The gap between the wafer and the sprinkler head is approximately. .3 British leaves to 2 British pairs. Applied to wafer pedestal page 23 This paper size applies Chinese National Standard (CNS) A4 specification (21〇 * 7 ^^ ~-:-(Please read the precautions on the back before filling this page) t Order- ------- Printed by the Consumer Property Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 530322 A7 ------- B7 V. Description of the invention () The bias RF power can be 2000 watts to 13 million hertz. Other frequency options are also possible. The power source applied to the coil antenna 17 is as low as 50 Hz or as high as 13 megahertz or even higher. The bias power applied to the wafer base is also the same. The processing window of the reactor in Figures 1 and 2 is much wider than the processing window of a conventional inductively coupled reaction state. The diagram in Figure 8 shows that a traditional induction reaction fits Figures 1 and 2 The specific particle flux of free fluorine in the reactor is a function of the power of the RF source. For a conventional inductive coupling reactor, Figure 8 shows that when the power source exceeds 50 and 100 watts, the free fluorine The specific flux starts to increase rapidly. In contrast, in Figures 1 and 2, The degree of power source that the reactor can accept is close to 1000 watts before the specific flux of free fluorine begins to increase rapidly. Therefore, the power source processing window in the present invention is almost ten times that of a traditional inductively coupled reactor, which is one of the inventions Important advantages: The dual advantages of the present invention: The shrinkage of the toroidal plasma current path near the wafer or workpiece produces two independent advantages without the need for other performance criteria: (1) Any important compromise solution to production The density of the plasma does not require any increase in the plasma power source 'and (2) increases the etching selectivity to photoresist or other materials, as described above. If the conventional electric paddle reactor cannot be used to increase the etching selectivity When the same steps are used to increase the plasma ion density, it is generally considered to be impractical. Therefore, the dual advantages achieved by using the toroidal plasma source of the present invention reveal a major reform over conventional techniques; m more . Page 24 This paper size applies Chinese National Standard (CNS) A4 (210 X 297 mm) ---------------------- Order ---- ----- line (please read the first Please fill in this page again) Printed by the Intellectual Property Bureau Employee Consumer Cooperative of the Ministry of Economic Affairs 530322 A7--------- _2Z________ V. Description of the invention () Other preferred embodiments: Figure 9 shows the embodiment shown in Figure 1 A change in the secondary antenna 170 in the figure is replaced by a smaller antenna 91o, which is suitable for the space between the top 110 and the hollow duct 150. The preferred method is that the antenna 9 1 0 is a single coil wound relative to the center of the hollow tube 150. Figures 10 and 11 show a variation of the embodiment shown in Figure 1 which uses a closed penetrable core 1005 extends through the space between the top 110 and the hollow catheter 150. The magnetic core 1015 enhances the inductive coupling of the plasma from the antenna 170 to the hollow tube 150. In addition, it is not necessary to use impedance matching circuit 175 to achieve impedance matching, and instead use a primary coil 1 12 〇, secondary coil 1 1 2 〇 use a regulating capacitor 1 1 3 0 phase around the magnetic core 1 〇 5 connection. The capacitance of the adjustment capacitor 1 130 is selected to cause the secondary coil 1120 to resonate below the frequency of the RF power source 180. For a fixed adjustment capacitor 113, dynamic impedance matching may be provided by frequent adjustments and / or by forward dynamic servo. Figure 12 shows another embodiment of the present invention, in which a hollow tubular sealing member 1250 extends below the reactor and is connected to the inside of the processing chamber through a pair of openings 1260, 1265 at the bottom of the processing chamber. A coil antenna 1270 travels along a toroidal path next to the hollow tube 1250 provided in the mode of the embodiment of FIG. When Fig. 12 shows that the vacuum pump 135 is coupled to the bottom of the main processing chamber, it may instead be coupled to the duct 1250 located below. Figure 13 shows a variation of the embodiment shown in Figure 10 and Figure 11. Page 25 The paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) ------- -------------- Order --------- line (please read the precautions on the back before filling this page) Printed by the Intellectual Property Bureau of the Ministry of Economy Staff Consumer Cooperatives 530322 A7 , ^^ ------ B7 _ Li, description of the invention () 4 dagger, 1 |-, China Power Equipment source power system is applied to a coil, the county circle is wrapped around the end of the Fengzica Shao points. The antenna 170 is replaced by a coil 1320 around the center of one of the upper layers of the magnetic core 105. In addition, the coil 1320 surrounds the magnetic core 1015 and is located in a part (not below) of the guide 1 sn w, & Kawakami. However, the coil 1320 may be wound around any part of the magnetic core 105. Fig. 14 shows an extension of the concept of Fig. 13, in which a second hollow $ -shaped sealing member 1450 passes through the first hollow duct 150 in parallel and provides a parallel toroidal plasma current. The ends of the tubular sealing members 415 are connected to the inside of the processing chamber through respective openings at the top p 1. A magnetic core 1470 extends below the two sealing members 1250, 1450 and passes through the coil antenna 17o. Figure 15 shows an extension of the concept of Figures 4 and 4, where a series of hollow tubular sealing members i50a, 150b, 150c, and 150d provide a plurality of annular plasma current paths through the reactor processing chamber. In the embodiment of Fig. 15, the plasma ion concentration in each of the individual middle-S-shaped tubular sealing members 5a-d is individually controlled by a respective coil antenna 17a-d. Individual cylindrical open cores 1520 ^ 1 520 (1 can be inserted into the corresponding coil antenna 17 ^ -d separately. In this way, the relevant center_to_edge ion density distribution can be adjusted by individual RF power Level of source power 丨 〇〇a_d. Figure 16 shows a variation of the embodiment in Figure 15, in which a series of 2 tubular sealing members 150ad extend through the side wall of the reactor instead of the top 110. In addition, in Another variation shown in Figure 16 is the use of a single common magnetic core 1470 adjacent to all the hollow tubular sealing members i50a_d, while the single common magnetic core 1470 has an antenna 17 wound thereon, so a single RF source excites Plasma in all hollow tubular sealing members 15oad. Page 26 ^ Paper size applies Chinese National Standard (CNS) A4 < _ grid (21G x 297 male f) ------------ ---------------- Order --------- line (please read the precautions on the back before filling out this page) 530322 Α7 Β7 Staff Consumption of Intellectual Property Bureau, Ministry of Economic Affairs Printed by the cooperative V. Description of the invention (Figure 17A shows the surface across the workpiece to maintain a pair of Examples of surface plasma currents.-For sealing members 150-1 and 150-2 'at right angles to each other and the sealing members 150-1 and 150-2 extend through their respective openings at the top 110, And excited by the respective coil antennas 17〇]. Individual magnetic cores are located within the respective coil antennas 17〇_ and 17 02. This embodiment generates two toroidal plasma current paths at right angles to each other. It is stacked on the wafer 120 to improve uniformity. Two mutually separated right-angled toroids or closed paths are independently powered as shown in the figure, but intersect in the processing area above the wafer. In other areas, no. In order to ensure the separation of the plasma power source applied to the two right-angle paths, the individual RF generators in Fig. 17 are different in frequency. Therefore, the operation of the impedance matching circuits 175a and 175b is decoupled. For example, a, the RF generator 180a can generate a radio frequency signal at η million Hz, and the RF generator 18013 can generate a RF signal at 12 MHz. Alternatively, it can be achieved by offsetting the orientation of the two RF generators 18a, 18b. To independent operation. Figure 17B shows how to use radial blades I. Guide each toroidal plasma current of the two conduits 150 1 and 150-2 through the processing area above the wafer support. Radial blades 丨8 丨 Into each duct opening near the side of the processing chamber < below the edge of the wafer support seat. Radial blade 181 prevents the plasma transfer from one toroidal path to another toroidal path, so two The plasma currents intersect only in the processing area above the wafer support. Page 27 This paper is a standard of China Standard (CNS) A4 丨. _21Q χ 297 public meal 1 --- * I 1 · ------------------- -Order --------- line (please read the precautions on the back before filling this page) Printed by the Intellectual Property Bureau Employee Consumer Cooperative of the Ministry of Economic Affairs 530322 A7 _ _ B7 V. Description of the invention () Large size crystal A suitable example of a circle: In addition to the recent trend in the industry to make smaller size components and higher density components, another tendency is to make larger diameter wafers. For example, a ‘wafer with a diameter of 12 inches is currently in production and may be produced with larger diameter wafers in the future. The advantage of large-diameter wafers is greater yield, and each wafer can tolerate a large number of integrated circuits. The disadvantage is that it is difficult to maintain a uniform plasma on the entire wafer surface when processing a large diameter wafer in the plasma. The following embodiments of the present invention are particularly suitable for providing a uniform plasma ion density distribution over the entire surface of a large diameter wafer, such as a 12 inch diameter wafer. Figures 18 and 19 show a hollow tubular seal member 1810. The hollow tubular seal member 1810 is a broad and flat rectangular variation of the hollow duct 15o in the above figure. The variation 85o includes an isolation gap 1 852. Variations produce a plasma width, ribbon, and more suitable for uniformly covering a large diameter wafer uniformly, such as a 12-inch diameter wafer or workpiece. The width W of the tubular sealing member 1 850 and the openings 86, 1 862 in the top 110 should exceed the wafer diameter by about 5% or more. For example, if the wafer diameter is 10 inches, the width W of the rectangular tubular seal member 1850 and the openings 1860, 1862 is about n inches. Fig. 20 shows a variation 1850 of the tubular sealing member 185 of the embodiment of Figs. 18 and 19, and a portion 1864 of the outer tubular sealing member 1850 is compressed in the drawing. However, the uncompressed embodiment of Fig. 18 and Fig. 19 are the preferred method. Figure 20 also shows the use of a focusing magnet 187 ° in the transition region between the compressed and uncompressed portions of the tubular seal member 1850. Focusing magnet page 28 This paper size is applicable to China National Standard (CNS) A4 (210 X 297 mm) --------------------- ^ ---- ----- ^ (Please read the notes on the back before filling this page) 530322 A7

五、發明說明() 經濟部智慧財產局員工消費合作社印製 8 7 0使管狀法、封構件l 8 5 0之壓縮和未被壓縮部分之間的 電漿有一較佳的移動,並特別地使電漿移動越過壓縮和未 被壓縮部分之間的過渡區域時有一較均勻散開。 第2 1圖係顯示在弟1 8圖中使用圓柱形磁蕊2 1 2 〇可如 何被插入穿過由管狀密封構件丨85〇所限制之外部區域 2 1 2 0。在圖中圓柱形磁凝2 1 2 0的轴位置可被調整,以調整 遍佈晶圓表面之離子密度分配。圓柱形蕊2丨丨〇通常與管狀 密封構件1 850對稱的軸心平行。第22圖係顯示第21圖的一 變化,在圖中線圈21 10整個穿過由管狀密封構件185〇所圍 繞之外部區域2 1 20係被位在外部區域2 1 20之各自一半位 置的一對縮短磁蕊2210、2220所替代。側線圈165,186係 被各自磁蕊對2 2 1 0、2 2 2 0附近的一對線圈繞組2 2 3 〇、2 2 4 0 所替代。在此實施例中,磁蕊對22丨〇、222〇之間的位移D 可被改變’以調整在晶圓中心附近的離子密度與在晶圓圓 周的離子密度相較。一更寬的位移D減少在晶圓中心附近 的感應耦合’因此而減少在晶圓中心的電漿離子密度。因 此一個附加控制元件用以精確調整遍佈晶圓表面的離子 密度$間分配。第23圖係顯示第22圖的一變化,在圖中分 離的線圈2230、2240係被一單一中心線圈23 10所代替,該 中心線圈23 10係以,磁蕊對221〇、222〇為中心。 第24圖和第25圖係顯示一實施例,其提供電漿離子密 度分配的更大均勻度遍佈晶圓表面。在第24圖和第25圖的 實施例中,建立兩條超環面電漿電流路徑是互為橫向並彡 呈直角。其中一第二寬矩形中空密封構件2420橫向地伸出 第29頁 本紙張尺度· T _家標準(CNS)A4祕(21() χ撕公餐 ---------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 530322V. Description of the invention () Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 870 to make the plasma method between the compressed and uncompressed parts of the tube-shaped sealing member 850 have a better movement, and in particular There is a more even spread when moving the plasma across the transition between the compressed and uncompressed parts. Figure 21 shows how the cylindrical core 2 1 2 0 can be inserted through the outer area 2 1 2 0 confined by the tubular sealing member 85 2 in Figure 18. In the figure, the axis position of the cylindrical magnetic coagulation 2 120 can be adjusted to adjust the ion density distribution across the wafer surface. The cylindrical core 2 is generally parallel to the axis of symmetry of the tubular sealing member 1 850. Fig. 22 shows a variation of Fig. 21, in which the coil 21 10 passes completely through the outer area 2 1 20 surrounded by the tubular sealing member 185, which is located at one half of the outer area 2 1 20 Replaced by shortened cores 2210, 2220. The side coils 165 and 186 are replaced by a pair of coil windings 2 2 3 0 and 2 2 4 0 in the vicinity of the respective magnetic core pairs 2 2 0 and 2 2 2 0. In this embodiment, the displacement D between the magnetic core pair 22o, 2220 can be changed 'to adjust the ion density near the center of the wafer and the ion density around the wafer circumference. A wider displacement D reduces the inductive coupling near the center of the wafer 'and therefore reduces the plasma ion density at the center of the wafer. Therefore, an additional control element is used to precisely adjust the ion density distribution among wafer surfaces. Fig. 23 shows a variation of Fig. 22. The separated coils 2230 and 2240 are replaced by a single central coil 23 10. The central coil 23 10 is centered on magnetic core pairs 2210 and 2220. . Figures 24 and 25 show an embodiment that provides greater uniformity of plasma ion density distribution throughout the wafer surface. In the embodiment of Figs. 24 and 25, the two toroidal plasma current paths are established to be transverse to each other and at right angles. One of the second wide rectangular hollow sealing members 2420 extends laterally on page 29 of this paper. · T _ Home Standard (CNS) A4 Secret (21 () χ Tearing Meal ------------ --------- Order --------- Line (Please read the precautions on the back before filling this page) 530322

並與第一管狀密封構件185互呈直角。第 ^ 件2420透過穿過頂部i 10的一對開口 243〇、2440以與處理 鱼内部連接’並包括一隔離間隙2452。 一對側線圈繞組2450、2460沿著第二管狀密封構科 2420的側邊維持一電漿於其中,並由一第二射頻功率供應 器2470透過一阻抗匹配電路248〇驅動。如第24圖所示,兩 主直角電漿電流在晶圓表面上重疊,並在晶圓表面上提供 更均勻覆盍的電漿。此實施例係對於用以處理直徑丨〇英吋 的晶圓或更大的晶圓是特別有利。 如在第17圖的實施例,第24圖的實施例在晶圓12〇上 万產生兩條互呈直角的超環面電漿電流路徑,以提升均勻 性。兩個直角超環面或封閉的路徑是分隔,並如如圖示般 獨立供電’但是在晶圓上方之處理區域中相交,而不在其 他區域相交或相反轉移或互相傳播。為確定施加於每一個 直角路徑的電漿動力源分離控制, 匕制罘24圖中的各自射頻發 電機180、2470的頻率是不同的, 口此阻抗匹配電路1 7 5、 2 4 8 0的操作是分離的。舉例而+ ^ a,射頻發電機180可以11 百萬赫茲產生一射頻信號,而射頻 只A兒機2470可以12百萬 經濟部智慧財產局員工消費合作社印製 赫茲產生一射頻信號。或著, 積由抵消兩射頻發電機 180、2470的向為達到獨立操作。 第2 6圖係顯示第1 8圖中乏奢1 y、 口 τ q施例的一變化 修改的矩形密封構件2650透過處理 主训壁1 〇 5與虛理當内 部連接而非透過頂部110,而矩 處里至内 封構件2650包本有一 隔離間隔2658。為達此目的,矩形 匕口有 在封構件2650具有一水 第30貫At right angles to the first tubular sealing member 185. The second piece 2420 passes through a pair of openings 243, 2440 through the top i 10 to connect with the inside of the processing fish 'and includes an isolation gap 2452. A pair of side coil windings 2450, 2460 maintain a plasma therein along the side of the second tubular sealed structure 2420, and are driven by a second RF power supply 2470 through an impedance matching circuit 2480. As shown in Figure 24, the two main right-angle plasma currents overlap on the wafer surface and provide a more uniformly covered plasma on the wafer surface. This embodiment is particularly advantageous for processing wafers with a diameter of 0 inches or larger. As in the embodiment of Fig. 17, the embodiment of Fig. 24 generates two toroidal plasma current paths at right angles to each other on a wafer of 1.2 million to improve uniformity. The two right-angle toroids or closed paths are separated and independently powered as shown 'but intersect in the processing area above the wafer, and do not intersect or otherwise transfer or propagate to each other in the other areas. In order to determine the plasma power source separation control applied to each right-angle path, the frequencies of the respective RF generators 180 and 2470 in the 罘 24 diagram are different. The impedance matching circuit 1 7 5 and 2 4 8 0 The operations are separate. For example, + ^ a, the RF generator 180 can generate a radio frequency signal at 11 megahertz, and the radio frequency A machine 2470 can produce 12 million Hz. It can be printed by the consumer cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. Or, the product of the two RF generators 180 and 2470 is offset to achieve independent operation. Figure 26 shows the modified rectangular sealing member 2650 in Figure 18 with a lack of extravagance 1 y and a mouth τ q embodiment through processing the main training wall 105 and internal connection of the logic instead of through the top 110, and There is an isolation interval 2658 between the moment and the inner seal member 2650. To achieve this, the rectangular dagger has a water seal on the sealing member 2650.

本紙張尺度適用中國國家標準(CNS)A4^T(2i〇 XThis paper size applies Chinese National Standard (CNS) A4 ^ T (2i〇 X

平頂部2652 —對向下伸出支柱2654位在水平頂部2652的 各自末端與一對向内伸出支柱2656,每個支柱從其西 向對應之向下伸出支柱2654的底部末端伸至位於側壁105 的對應開口 2670、2680。 第27圖係顯示一第二矩形管狀密封構件271〇可被加 入第26圖之實施例,第二矩形管狀密封構件2710包含有一 隔離間隙2752 ,除了矩形密封構件265〇、271〇是互呈直角 (或至少互相橫向)之外,第二管狀密封構件271〇與第26圖 中之矩形密封構件265〇是完全相同的。第二矩形管狀密封 構件穿過側壁1 05的對應開口與處理室内部連接,並包含 有開口 2720。如第25圖之實施例,管狀密封構件^“和 2710產生互呈直角的超環面電漿電流重疊於晶圓表面上 方,以在一個直徑更寬廣的晶圓上提供較高均勻性。把電 漿動力源透過各自側線圈繞組對165、185和245〇、246〇施 加於管狀密封構件的内部。 經濟部智慧財產局員工消費合作社印製 第2 8 A圖係顯示〇可如何利用位於由兩矩形管狀密封 構件2650, 2710所圍繞之外部區域286〇之内的一對互呈直 角的内邵線圈2820、2840代替(或增補)側線圈165,185和 245〇、246。每一個線圈282〇、284〇在一對應的矩形管狀 密封構件2650、2710之中產生超環面電漿電流。線圈 2820、2840可被完全地獨立驅動於不同頻率或相同的頻率 在具有相同的或不同的相位差。或者,它們可在相同的頻 率下但以一個相位差(如9〇度)被驅動,以使已結合的超環 面電漿電流在動力源頻率轉動。在此情況下,線圈282〇 ^ 第31頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 (請先閱讀背面之注意事項再填寫本頁) 1111111 一-口、11111111 ^^ . 530322Flat top 2652 —Pairs 2654 that protrude downwards are at the respective ends of the horizontal top 2652 and a pair of inwardly protruded posts 2656, each of which protrudes downwards from its west to the bottom end of the post 2654 to the side wall The corresponding openings 2105 and 2680 of 105. FIG. 27 shows a second rectangular tubular sealing member 2710 which can be added to the embodiment of FIG. 26. The second rectangular tubular sealing member 2710 includes an isolation gap 2752, except that the rectangular sealing members 2650 and 2710 are at right angles to each other. Except (or at least transverse to each other), the second tubular seal member 2710 is identical to the rectangular seal member 2650 in FIG. 26. The second rectangular tubular sealing member is connected to the inside of the processing chamber through a corresponding opening of the side wall 105, and includes an opening 2720. As in the embodiment of FIG. 25, the toroidal plasma current generated by the tubular sealing members ^ "and 2710 at right angles to each other is superimposed over the wafer surface to provide higher uniformity on a wafer with a wider diameter. The plasma power source is applied to the inside of the tubular sealing member through the respective side coil winding pairs 165, 185, and 245, 2460. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs, Figure 2 8 A shows how 〇 A pair of rectangular inner coils 2820, 2840 at right angles within the outer region 286o surrounded by two rectangular tubular sealing members 2650, 2710 replaces (or supplements) the side coils 165, 185, and 245, 246. Each coil 282 〇, 284〇 A toroidal plasma current is generated in a corresponding rectangular tubular sealing member 2650, 2710. The coils 2820, 2840 can be completely independently driven at different frequencies or the same frequency at the same or different phases Alternatively, they can be driven at the same frequency but with a phase difference (such as 90 degrees) to cause the combined toroidal plasma current to rotate at the power source frequency. In this case, Coil 2820 ^ page 31 This paper size applies to Chinese National Standard (CNS) A4 specifications (210 (please read the precautions on the back before filling this page) 1111111 one-port, 11111111 ^^. 530322

經濟部智慧財產局員工消費合作社印製 2840係分別以一共有信號產生器288〇的正弦和餘弦部分 被驅動,如第28A圖所示。其優點是電漿電流路徑以超過 電漿離子頻率的一旋轉頻率轉動方位角地越過晶圓表 面’因此非均勻性將會壓制得比習知方法更好,例如具有 一較低旋轉頻率的MERIE反應器。 請參考第28B圖,電漿離子密度的輻射狀調整通常可 由一對磁圓柱形蕊2892, 2894的供應所提供,在線圈282〇 之内的圓柱形磁蕊2892,2894可被軸向地移向或遠離互 相’而在線圈2820之内的一對圓柱形磁蕊2896,2898可被 軸向地移向或遠離彼此。當每對磁蕊被移向彼此時,接近 每個直角電漿電流中心的感應|馬合較電流邊緣更為增 強,因此在晶圓中心的電漿密度一般也會被增強。如此中 心-至-邊緣的電漿離子濃度可藉由移動磁蕊2892、2894、 2896、2898所控制。 第29圖係顯示本發明的一較佳實施例,其中兩管狀密 封構件2650, 2710被結合成為一單一密封構件291〇,密封 構件2910以360度延伸圍繞反應器的中心軸而組成一單一 增壓室。在第29圖之實施例中,增壓室2910具有一半球狀 下壁2 920與相同的一半球狀上壁293〇。增壓室291〇是介於 球狀下壁2920與半球狀上壁2930之間的增壓室。一隔離間 隔2921可延伸圍繞半球狀上壁292〇,和/或一隔離間隔293 1 可延伸圍繞半球狀上壁2930。增壓室2910透過在頂部110 的一環狀開口 2925連接至處理室内部,環狀開口 2925係 360度環繞該處理室之對稱軸。 第32頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 297公釐) --------^--------- (請先閱讀背面之注意事項再填寫本頁) 530322 經濟部智慧財產局員工消費合作社印製 Α7 Β7 五、發明說明() 增壓室2 9 1 0完全地環繞位於頂部1 1 〇上方之一區域 2950。在第29圖之實施例中電漿動力源係藉由一對互呈直 角的線圈2960、2965連接至增壓室2910内部。另外,穿過 一垂直導管298〇連通至線圈2960、2965的入口係被提供, 垂直導管2980通過增壓室29 10的中心。較佳的方法是,線 圈2960、2965係被驅動於90度相位差,如第28圖中之實施 例,以達成一方位角式尋超環面電漿電流(如在晶圓平面 内循環之一電漿電流)。旋轉的頻率即是所施加之射頻功 率的頻率。或者,線圈2960、2965可被驅動於不同的頻率。 第30圖是對應於第29圖的一頂視圖。第31A圖和第31B圖是 對應於第3 0圖的前視圖隅侧視圖。 一對互呈直角的線圈2960、2965可被任意數目η的分 離驅動線圈與其設置間隔360/η度的纏繞軸所取代。舉例而 Τ ’第3 2圖係顯示兩線圈2 9 6 0、2 9 6 5被三個線圈3 2 1 0、 3 220、3230所取代,線圈3210、3220、3230具有以120度 間隔所設置之纏繞軸,並被三個各自驅動射頻供應器 3420、3250、3260透過各自的阻抗匹配電路3241、3251、 3 261所驅動。如第33圖所示,為產生一旋轉環狀電漿電 流’三線圈3 2 1 0、3 2 2 0、3 2 3 0分別以較一共用動力源3 3 1 0 不協調120度的方式被驅動。第32圖與第33圖的實施例係 較進有兩線圈的第2 9圖之實施例為佳,因為線圈之間將會 有更多的相互感應環繞於垂直線圈2980而不是穿過它。 第34圖係顯示一實施例,其中三個線圈係位在封閉區 域2 9 5 0的外面,而它們的感應線圈則藉由延伸穿過導管 第33頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 530322Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs, the 2840 series is driven by the sine and cosine parts of a common signal generator 2880, as shown in Figure 28A. The advantage is that the plasma current path rotates azimuthally across the wafer surface at a rotational frequency that exceeds the plasma ion frequency, so non-uniformities will be suppressed better than conventional methods, such as a MERIE reaction with a lower rotational frequency Device. Please refer to Figure 28B. Radial adjustment of plasma ion density can usually be provided by a pair of magnetic cylindrical cores 2892, 2894. The cylindrical magnetic cores 2892, 2894 within the coil 2820 can be moved axially. A pair of cylindrical magnetic cores 2896, 2898 'toward or away from each other' within coil 2820 can be moved axially towards or away from each other. When each pair of magnetic cores is moved toward each other, the induction near the right-angle plasma current center is stronger than the current edge, so the plasma density in the center of the wafer is generally enhanced. In this way, the plasma ion concentration at the center-to-edge can be controlled by moving the magnetic cores 2892, 2894, 2896, 2898. FIG. 29 shows a preferred embodiment of the present invention, in which two tubular sealing members 2650, 2710 are combined into a single sealing member 2910, and the sealing member 2910 extends 360 degrees around the central axis of the reactor to form a single increase. Pressure chamber. In the embodiment of Fig. 29, the plenum 2910 has a semi-spherical lower wall 2 920 and the same semi-spherical upper wall 293. The plenum 2910 is a plenum between the spherical lower wall 2920 and the hemispherical upper wall 2930. An isolation compartment 2921 may extend around the hemispherical upper wall 2920, and / or an isolation compartment 2931 may extend around the hemispherical upper wall 2930. The plenum 2910 is connected to the interior of the processing chamber through a ring-shaped opening 2925 at the top 110. The ring-shaped opening 2925 surrounds the axis of symmetry of the processing chamber 360 degrees. Page 32 This paper size applies Chinese National Standard (CNS) A4 specification (21,297 mm) -------- ^ --------- (Please read the precautions on the back before filling (This page) 530322 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of the invention () The pressurizing chamber 2 9 1 0 completely surrounds an area 2950 above the top 1 1 0. In the embodiment shown in FIG. 29, the plasma power source is connected to the interior of the plenum 2910 through a pair of coils 2960, 2965 at right angles to each other. In addition, an inlet system communicating with the coils 2960, 2965 through a vertical duct 2980 is provided, and the vertical duct 2980 passes through the center of the plenum 2910. A better method is that the coils 2960 and 2965 are driven at a 90-degree phase difference, as shown in the embodiment in FIG. 28, to achieve an azimuth-type torpedo plasma current (such as circulating in the wafer plane). A plasma current). The frequency of rotation is the frequency of the applied RF power. Alternatively, the coils 2960, 2965 may be driven at different frequencies. Fig. 30 is a top view corresponding to Fig. 29. 31A and 31B are a front view and a side view corresponding to FIG. 30. A pair of coils 2960, 2965 at right angles to each other may be replaced by an arbitrary number of η separated driving coils and a winding shaft disposed at an interval of 360 / η degrees. As an example, the T'32 figure shows that the two coils 2 9 60, 2 9 6 5 are replaced by three coils 3 2 1 0, 3 220, 3230. The coils 3210, 3220, and 3230 are arranged at 120-degree intervals. The winding shaft is driven by three respective driving RF supplies 3420, 3250, 3260 through respective impedance matching circuits 3241, 3251, 3 261. As shown in Figure 33, in order to generate a rotating circular plasma current, the three coils 3 2 1 0, 3 2 2 0, 3 2 3 0 are not coordinated by 120 degrees with a common power source 3 3 1 0, respectively. driven. The embodiment of Figs. 32 and 33 is better than the embodiment of Figs. 29 with two coils, because there will be more mutual induction between the coils surrounding the vertical coil 2980 rather than passing through it. Figure 34 shows an embodiment in which three coils are located outside the enclosed area 2950, and their induction coils are extended through the catheter. Page 33 This paper applies Chinese National Standards (CNS) A4 specification (210 X 297 mm) -------------------- Order --------- line (please read the precautions on the back before filling (This page) 530322

五、發明說明( 經濟部智慧財產局員工消費合作社印製 2980之各自垂直磁蕊34 1〇與封閉區域295〇結合。每個磁苗、 3410具有延伸至導管298〇上方,並圍繞三線圈= 3220、3230其中之一。每個磁蕊341〇的底部係位於封閉區 域2950内並具有一水平支柱。三個磁蕊341〇的水平支柱其 相間隔120度,以提供感應耦合至增壓室291〇内部,與第 32圖所示之位於封閉區域内之三線圈所提供之感應輕合 類似。 在第1 8圖至第28圖之實施例中所顯示之平寬矩形管 狀密封構件的優點為,管狀密封構件之廣大的寬度與相對 較低的高度 在第18圖至第28圖之實施例中所顯示之平寬矩形管狀 圍攔的優點為,管狀圍欄之廣大的寬度與相對較低的^度 使得環狀電漿電流成為一寬薄電漿帶狀物,該電漿帶狀= 更快速地覆盍一直徑較大晶圓的整個表面。整個管狀圍欄 不需是最大寬度。距離處理室内部最遠的管狀圍攔外層可 被縮減,如上述之第20圖之實施例所示。如此之情況下, 在較寬部分1851與較窄部分1 852之間的變化轉角設置聚 焦磁鐵1 8 7 0是較佳的作法,以使位於較窄部分丨8 5 2的雨裝 整個分佈遍佈較寬部分1 85 1的整個寬度。如需要在晶圓表 面達到電漿離子濃度的最大值時,較窄部分1 852的截面於 最好幾乎與較寬部分1851的截面積一樣大。舉例而言,幸交 窄部分1 852可為高度與寬度大約相同的一通遒,而較寬部 分1851的高度則小於寬度。 此處所描述之具有芝氣蕊心線圈(即不且古一 # q —檢疏的 第34·頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------訂---------線 (請先閱讀背面之注意事項再填寫本頁) A7 B7V. Description of the invention (The respective vertical magnetic cores 34 10 printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs printed 2980 are combined with the enclosed area 2950. Each magnetic seedling, 3410 has an extension above the duct 2980 and surrounds three coils = One of 3220 and 3230. The bottom of each core 3410 is located in the enclosed area 2950 and has a horizontal pillar. The three pillars 3410 are horizontally spaced 120 degrees apart to provide inductive coupling to the plenum. The inside of 291〇 is similar to the induction closing provided by the three coils located in the enclosed area shown in Fig. 32. The advantages of the flat and wide rectangular tubular sealing member shown in the embodiment of Figs. 18 to 28 For the wide width and relatively low height of the tubular sealing member, the flat wide rectangular tubular fence shown in the embodiments of FIGS. 18 to 28 has the advantage that the wide width and relatively low of the tubular fence The diameter of the annular plasma current becomes a wide and thin plasma ribbon, which is more quickly covering the entire surface of a larger diameter wafer. The entire tubular fence need not be the maximum width. Distance deal with The outermost inner wall of the tubular fence can be reduced, as shown in the above-mentioned embodiment of Fig. 20. In this case, the focusing magnet 18 is set at a changing corner between the wider portion 1851 and the narrower portion 1 852. 7 0 is the preferred method, so that the entire distribution of the rainwear located in the narrower part 8 2 5 is spread over the entire width of the wider part 1 85 1. If it is necessary to reach the maximum plasma ion concentration on the wafer surface, The narrower portion 1 852 preferably has a cross-section that is almost as large as the cross-sectional area of the wider portion 1851. For example, the narrower portion 1 852 may be a pass that is approximately the same height and width as the wider portion 1851. It is smaller than the width. It is described here with the Zhiqi core core coil (that is, not the ancient one # q — page 34 of the inspections. The paper size applies to the Chinese National Standard (CNS) A4 specification (210 X 297 mm)- ------- Order --------- Line (Please read the precautions on the back before filling this page) A7 B7

530322 五、發明說明() 線圈)可取代所使用的磁蕊線圈,將可成為開放式磁性軌 道類型(Arod◎型磁蕊)或是圖示之為封閉式磁性軌遒類 型。另外,此處所描述之不同實施例具有由不同射頻頻率 所驅動之兩個或更多的環狀軌道也由相同頻率所驅動,或 相同或不同位相。 第3 5圖是第1 7圖之實施例的一版本,其中之該等相互 橫切的中空導管被收縮如第20圖所示之實施例。 第36圖是第24圖之實施例的一版本,但分別使用各自 線圈3 6 3 0,3 6 4 0纏繞於一對磁蕊3 6 1 〇,3 6 2 0,以用於各自 射頻功率來源的連結。 弟3 7圖係對應弟3 5圖的一實施例,但具有三個而非兩 個再次進入導管,故總共具有六個再次進入口至處理室。 具有一些對稱設置的導管和多過兩個的再次進入口(如第 3 7圖之實施例)被相信對於直徑處理3 〇〇毫米或更大尺寸 的晶圓是特別有利。 第3 8圖係對應第3 8圖的一實施例,但具有三個而非兩 個再次進入導管,故總共具有六個再次進入口至處理室。 第3 9圖係對應第3 5圖的一實施例,在圖中外部導管在 一共有增壓室3910中相互連接。 第40圖係對應第36圖的一實施例,在圖中外部導管在 一共有增壓室40 10中相互連接。 第4 1圖係對應第3 7圖的一實施例,在圖中外部導管在 一共有增壓室4110中相互連接。 第42圖係對應第3 8圖的一實施例,在圖中外部導管在 第35育 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------1 — —— ——書------- —訂---------線# (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 530322 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(). 一共有增壓室42 10中相互連接。 第43圖係對應第17圖的一實施例,在圖中 一共有增壓室4310中相互連接。 本發明之有利特徵: 本發明的反應器提供許多增進蝕刻選擇比的機會,而 不需犧牲其他性能特徵,例如蝕刻比率。舉 a u肉&,在光 阻和其他的材料中壓縮超環面電漿電流即是本於明 重要優點。 ' 本發明在將射頻電漿動力源應用於超環面電聚兩节 方面上提供很大的調整性。如先前所述,動一 奴疋精由 一天線與超環面電漿電流感應地耦合。在許多實施例中, 天線通常是藉由接近或緊鄰外部導管或增壓室的方式連 接至外#導管或增壓室。例如,一線圈天線沿著導管戈挎 壓罜的旁邊伸出。然而,在其他實施例中,天線則被限制 於在導管或增壓室和主要反應器密封構件之間的封閉區 域中(如頂邵)。在後述的情況中,天線可被視為是在導管” 下万’’而非沿著導管。而具有延伸穿過封閉區域(在導管和 主要處理皇金封構件之間)的一磁蕊(或蕊心組)的實施例 與磁蕊的一延伸超過封閉區域而天線被纏繞於磁蕊之延 伸的實施例則可提供更大的調整性。在此實施例中天線係 透過磁蕊而產生感應耦合,因此並不需要鄰近導管中的超 ¥面電漿電流。在如此的一實施例中,使用一封閉磁蕊, 並且在磁蕊最遠離超環面電漿電流或導管的部分纏繞天 線。因此,實際上天線幾乎可以設置於任何地方,如距離 第36頁 ” > * --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中家標準(CNS)A4規格(21G X 297公f 1 - 530322530322 5. Description of the invention () Coil) It can replace the magnetic core coil used, and it can be an open magnetic track type (Arod ◎ type magnetic core) or a closed magnetic track type shown in the figure. In addition, the different embodiments described herein have two or more ring-shaped orbits driven by different radio frequency frequencies that are also driven by the same frequency, or the same or different phases. Fig. 35 is a version of the embodiment of Fig. 17 in which the cross-cut hollow tubes are contracted as shown in the embodiment of Fig. 20. Fig. 36 is a version of the embodiment of Fig. 24, but each coil 3 6 3 0, 3 6 4 0 is wound around a pair of cores 3 6 1 0, 3 6 2 0 for the respective RF power. A link to the source. Figure 37 is an example corresponding to Figure 35, but has three reentry catheters instead of two, so there are a total of six reentry ports to the treatment chamber. Having some symmetrically arranged catheters and more than two re-entry ports (as in the embodiment of Figure 37) is believed to be particularly advantageous for wafers with a diameter of 300 mm or larger. Fig. 38 corresponds to the embodiment of Fig. 38, but has three reentry catheters instead of two, so there are a total of six reentry ports to the processing chamber. Fig. 39 is an embodiment corresponding to Fig. 35, in which the external ducts are connected to each other in a common plenum 3910. Fig. 40 corresponds to the embodiment of Fig. 36, in which the external ducts are connected to each other in a common plenum 4010. Fig. 41 corresponds to an embodiment of Fig. 37, in which external ducts are connected to each other in a common plenum 4110. Fig. 42 is an embodiment corresponding to Fig. 38. In the figure, the external conduit is in accordance with the Chinese National Standard (CNS) A4 specification (210 X 297 mm) at the 35th edition of the paper scale. ----- 1- —— ——Book ------- —Order --------- Line # (Please read the precautions on the back before filling this page) Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs Consumer Cooperatives 530322 Economy Printed by the Consumers' Cooperative of the Ministry of Intellectual Property Bureau A7 B7 V. Description of the invention (). A total of 42 and 10 booster chambers are connected to each other. Fig. 43 corresponds to the embodiment of Fig. 17 and a common plenum 4310 is connected to each other in the figure. Advantageous Features of the Invention: The reactor of the present invention provides many opportunities to improve the etch selection ratio without sacrificing other performance characteristics, such as the etch ratio. For example, compressing the toroidal plasma current in photoresist and other materials is an important advantage of Yuming. '' The invention provides great adjustability in the application of the RF plasma power source to the two sections of the toroidal electro-polymerization. As mentioned earlier, a slave is inductively coupled to the toroidal plasma current by an antenna. In many embodiments, the antenna is typically connected to the outer #conduit or plenum by approaching or in close proximity to the outer conduit or plenum. For example, a coil antenna extends along the side of the catheter tube. However, in other embodiments, the antenna is limited to the enclosed area (e.g., Ding Shao) between the duct or plenum and the main reactor sealing member. In the case described below, the antenna can be considered to be "underneath" the catheter rather than along the catheter. Instead, the antenna has a magnetic core (which extends through the enclosed area (between the catheter and the main processing member). Or core core group) embodiment and an embodiment where the core extends beyond the closed area and the antenna is wrapped around the extension of the core can provide greater adjustment. In this embodiment, the antenna is generated through the core. Inductive coupling, so there is no need for the super plasma current in the adjacent duct. In such an embodiment, a closed magnetic core is used, and the antenna is wound around the part of the magnetic core that is farthest from the toroidal plasma current or the duct. . Therefore, in fact, the antenna can be installed almost anywhere, such as the distance from page 36 "> * -------------------- Order ------- --Line (Please read the precautions on the back before filling this page) This paper size is applicable to the China Standard (CNS) A4 specification (21G X 297 male f 1-530322

電漿處理罜相當遙遠的一位置,在透過一磁蕊使它與超環 面笔漿電流遠距離地產生摘合。 五、發明說明() 經濟部智慧財產局員工消費合作社印製 最後,本發明在一直徑很大的晶圓或工件的表面上提 供句勻的电桌覆盍。這是因為在其中之一實施例中藉由使 超%面電漿電流形成—具有超過晶圓的一寬度的一寬廣 電漿帶狀物。纟另一個實施例中,遍佈整個晶圓表面的電 桌離子凌度的均勻性的達成是藉由提供的二個以上相互 橫切或互呈直角的超環面電漿電流,而此兩超環面電漿電 流在並卵圓上方之處理區域相交。超環面電漿電流其其它 万向I電流間會相互抵消,抵消之量為360/n。每一個超環 面電漿電流都可被形成一電漿的寬廣帶狀物,以覆蓋一直 徑很大的晶圓。每—個超環面電漿電流都可被—分離的線 圈天線啟動,該線圈天線係沿著—超環面電漿電流的方向 排列。在-較佳實施例中,將不同相位的射頻信號施加於 各自的線圈天線,使得位於晶圓上方之處理區域產生一轉 動超:裒面電漿電流,以提升均勾性。在此一較佳實施例 中,最佳架構是超環面電漿電流在一圓形連續增壓室中流 動,該增壓室透過與處理室之主要部分連接在頂部或㈣ 中的-圓形連續的環狀開口。該後者特點使得整個超環面 電漿電流能以連續模式產生方位角地轉動。 因此,儘管本發明已經由這些相關之示範實施例所揭 露,也應該能夠根據下列的專利範圍所定義的發明範圍和 精神理解其它的變化與修正。 第37頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁)Plasma treatment is relatively remote, and a magnetic core is used to make it engage with the toroidal pen current at a long distance. V. Description of the invention () Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs Finally, the present invention provides a uniform electric table cover on the surface of a wafer or workpiece with a large diameter. This is because in one of the embodiments by forming a super% plasma current-having a wide plasma ribbon that exceeds a width of the wafer.纟 In another embodiment, the uniformity of the ion density of the electrical table across the entire wafer surface is achieved by providing more than two toroidal plasma currents that cross each other or at right angles to each other. The toroidal plasma currents intersect in the processing area above the zygote. The toroidal plasma currents of the other universal I currents will cancel each other out by 360 / n. Each toroidal plasma current can be formed into a wide ribbon of plasma to cover a wafer with a large diameter. Each of the toroidal plasma currents can be activated by a -separated coil antenna, which is arranged along the direction of the -toroidal plasma current. In the preferred embodiment, RF signals of different phases are applied to the respective coil antennas, so that a processing region above the wafer generates a rotating super plasma current to improve uniformity. In this preferred embodiment, the best structure is that the toroidal plasma current flows in a circular continuous plenum, which is connected to the top or the main part of the processing chamber by a -circle connected to the main part of the processing chamber. A continuous annular opening. This latter feature enables the entire toroidal plasma current to rotate in azimuth in a continuous mode. Therefore, although the present invention has been disclosed by these related exemplary embodiments, it should be possible to understand other changes and modifications in accordance with the scope and spirit of the invention as defined by the following patent scope. Page 37 This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) -------------------- Order ------- --Line (Please read the notes on the back before filling this page)

Claims (1)

530322 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 夂、申請專利範圍 I一種用以定義一真空内部環境以處理一基材之電漿處 理室,該處理室至少包括: 一基材支撐座; 一有孔的氣體散流板,面向該基材支撐座,並用以流通處 理氣體進入鄰近該基材支撐座的處理室内部環境,該氣體 散流板和基材支撐座界定一基材處理區域於其中; 一中空導管,具有個別的末端開口進入該基材處理區域位 於該氣體散流板的對立兩邊,而該導管分享該内部環境; 該導管,用以接受在該導管内之處理氣體的一射頻領域, 以維持在一陸經中的一電漿,該路徑延伸圍繞該導管内部 並跨越在該處理室内部環境内之基底處理區域。 2 ·如申請專利範圍第1項所述之電漿處理室,其中上述之 路徑是再次進入口。 3 ·如申請專利範圍第1項所述之電漿處理室,其中上述之 路徑是超環面。 4·如申請專利範圍第1項所述之電漿處理室,一電漿電流 循環於該路徑周圍。 5 ·如申請專利範圍第1項所述之電漿處理室,其中上述之 導管的截面區域大體上超過該基材處理區域。 6·如申請專利範圍第1項所述之電漿處理室,其中上述之 第38頁 (請先間讀背面之注意事項再填寫本頁) 擊· - -線· 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 530322 A8 B8 C8 D8 六、申請專利範圍 橫越該基材支撐座的電漿離子密度大體上是均勻的。 (請先閱讀背面之注意事項再填寫本頁) 7·如申請專利範圍第1項所述之電漿處理室,其中上述之 電漿的感應電場線延伸越過基材電漿處理區域從該導管 末端之一到另一末端。 8·如申請專利範圍第7項所述之電漿處理室,其中上述之 電場線通常是相互平行。 9·如申請專利範圍第8項所述之電漿處理室,其中上述之 電場強度係均句分佈遍佈該基材電漿處理區域。 I Ο .如申請專利範圍第1項所述之電漿處理室,其中上述之 導管包含一隔離間隙通常位於該各自末端之間,以防止該 導管在該等末端之間造成一連續傳導路徑的導管。 II ·如申請專利範圍第i項所述之電漿處理室,其中上述之 導管具有一外部直徑,該外部直徑是小於該處理室之直 徑。 經濟部智慧財產局員工消費合作社印製 12·如申請專利範圍第1項所述之電漿處理室,其中上述之 導管的每一末端都具有一橫截尺寸,該尺寸至少與該基材 支撐座的尺寸一樣大。 13.如申請專利範圍第1項所述之電漿處理室,其中上述之 第39頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) 530322 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 電漿處理室更包括一射頻功率產生器。 14.如申請專利範圍第3項所述之電漿處理室,其中上述之 產生器至少包括一射頻感應來源。 1 5 .如申請專利範圍第1 4項所述之電漿處理室,其中上述之 感應來源係鄰近於該導管。 1 6.如申請專利範圍第1項所述之電漿處理室,其中上述之 電漿處理室更包括一偏壓射頻功率來源連接至該基材支 撐座。 1 7.如申請專利範圍第1項所述之電漿處理室,其中上述之 導管更包括一氣體射入口。 18.如申請專利範圍第17項所述之電漿處理室,其中上述之 氣體射入口係用以流動稀釋劑氣體,而該氣體散流板係用 以流動主要的反應處理氣體。 1 9.如申請專利範圍第1項所述之電漿處理室,其中上述之 氣體散流板係用以在不同的輻射狀位置流入惰性處理氣 體和反應處理氣體的不同混合物。 第40頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) - · 線·530322 A8 B8 C8 D8 Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs, patent application scope I. A plasma processing chamber used to define a vacuum internal environment for processing a substrate, the processing chamber includes at least: a substrate support A perforated gas diffuser facing the substrate support and used to circulate processing gas into the environment inside the processing chamber adjacent to the substrate support; the gas diffuser and the substrate support define a substrate The processing area is therein; a hollow duct with individual end openings to enter the substrate; the processing area is located on opposite sides of the gas diffuser, and the duct shares the internal environment; the duct is used to receive the treatment in the duct A radio frequency field of gas to maintain a plasma in a land warp, the path extends around the interior of the duct and across a substrate processing area within the interior environment of the processing chamber. 2 · The plasma processing chamber according to item 1 of the scope of patent application, wherein the above path is the re-entry port. 3. The plasma processing chamber according to item 1 of the scope of patent application, wherein the above path is a toroid. 4. As in the plasma processing chamber described in item 1 of the scope of patent application, a plasma current circulates around the path. 5. The plasma processing chamber according to item 1 of the scope of patent application, wherein the cross-sectional area of the above-mentioned duct substantially exceeds the substrate processing area. 6. Plasma processing room as described in item 1 of the scope of patent application, of which the above page 38 (please read the precautions on the back before filling out this page) Click ·--Line · This paper standard applies to Chinese national standards (CNS) A4 specification (210 X 297 mm) 530322 A8 B8 C8 D8 6. The scope of patent application The plasma ion density across the substrate support is generally uniform. (Please read the precautions on the back before filling this page) 7. The plasma processing chamber as described in item 1 of the patent application scope, in which the induced electric field lines of the plasma above extend beyond the plasma processing area of the substrate from the duct One end to the other end. 8. The plasma processing chamber according to item 7 of the scope of patent application, wherein the electric field lines mentioned above are usually parallel to each other. 9. The plasma processing chamber according to item 8 in the scope of the patent application, wherein the above electric field intensity is evenly distributed throughout the plasma processing area of the substrate. I 0. The plasma processing chamber according to item 1 of the scope of the patent application, wherein the above-mentioned conduit includes an isolation gap generally located between the respective ends to prevent the conduit from creating a continuous conduction path between the ends. catheter. II. The plasma processing chamber according to item i of the patent application range, wherein the above-mentioned duct has an outer diameter which is smaller than the diameter of the processing chamber. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs12. The plasma processing room described in item 1 of the scope of patent application, wherein each end of the above-mentioned conduit has a cross-sectional dimension that is at least supported by the substrate The size of the seat is the same. 13. The plasma processing room as described in item 1 of the scope of patent application, in which the paper size on page 39 above applies to the Chinese National Standard (CNS) A4 specification (210 X 297 g) 530322 A8 B8 C8 D8 Printed by the Consumer Cooperative of the Property Bureau. 6. The patent application scope. The plasma processing room also includes a radio frequency power generator. 14. The plasma processing chamber according to item 3 of the scope of patent application, wherein the generator mentioned above includes at least one source of radio frequency induction. 15. The plasma processing chamber according to item 14 of the scope of patent application, wherein the above-mentioned induction source is adjacent to the conduit. 16. The plasma processing chamber according to item 1 of the scope of patent application, wherein the plasma processing chamber further includes a bias RF power source connected to the substrate support. 1 7. The plasma processing chamber according to item 1 of the scope of the patent application, wherein the conduit further includes a gas injection port. 18. The plasma processing chamber according to item 17 of the scope of the patent application, wherein the above-mentioned gas injection port is used to flow the diluent gas, and the gas diffusion plate is used to flow the main reaction processing gas. 1 9. The plasma processing chamber according to item 1 of the scope of the patent application, wherein the gas diffuser plate is used to flow in different mixtures of inert processing gas and reaction processing gas at different radial positions. P.40 This paper is sized for China National Standard (CNS) A4 (210 X 297 mm) (Please read the precautions on the back before filling this page)-· Line ·
TW90119822A 2000-08-11 2001-08-13 Externally excited torroidal plasma source TW530322B (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US09/637,174 US6551446B1 (en) 2000-08-11 2000-08-11 Externally excited torroidal plasma source with a gas distribution plate
US09/636,435 US6494986B1 (en) 2000-08-11 2000-08-11 Externally excited multiple torroidal plasma source
US09/638,075 US7094316B1 (en) 2000-08-11 2000-08-11 Externally excited torroidal plasma source
US09/636,436 US6410449B1 (en) 2000-08-11 2000-08-11 Method of processing a workpiece using an externally excited torroidal plasma source
US09/636,434 US6468388B1 (en) 2000-08-11 2000-08-11 Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate

Publications (1)

Publication Number Publication Date
TW530322B true TW530322B (en) 2003-05-01

Family

ID=28795348

Family Applications (1)

Application Number Title Priority Date Filing Date
TW90119822A TW530322B (en) 2000-08-11 2001-08-13 Externally excited torroidal plasma source

Country Status (1)

Country Link
TW (1) TW530322B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8741165B2 (en) 2006-11-21 2014-06-03 Lam Research Corporation Reducing twisting in ultra-high aspect ratio dielectric etch
TWI700968B (en) * 2018-10-05 2020-08-01 聚昌科技股份有限公司 Rf coil adjustable plasma reaction chamber structure

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8741165B2 (en) 2006-11-21 2014-06-03 Lam Research Corporation Reducing twisting in ultra-high aspect ratio dielectric etch
TWI700968B (en) * 2018-10-05 2020-08-01 聚昌科技股份有限公司 Rf coil adjustable plasma reaction chamber structure

Similar Documents

Publication Publication Date Title
US6410449B1 (en) Method of processing a workpiece using an externally excited torroidal plasma source
US6348126B1 (en) Externally excited torroidal plasma source
US6939434B2 (en) Externally excited torroidal plasma source with magnetic control of ion distribution
US6551446B1 (en) Externally excited torroidal plasma source with a gas distribution plate
US6453842B1 (en) Externally excited torroidal plasma source using a gas distribution plate
US6468388B1 (en) Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate
US6494986B1 (en) Externally excited multiple torroidal plasma source
KR100809889B1 (en) Plasma chamber with externally excited torroidal plasma source
US5795429A (en) Plasma processing apparatus
TWI263276B (en) Plasma etching method and plasma etching apparatus
US5683548A (en) Inductively coupled plasma reactor and process
JP2635267B2 (en) RF plasma processing equipment
KR101038165B1 (en) Resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7094316B1 (en) Externally excited torroidal plasma source
KR20120112184A (en) Plasma processing apparatus and plasma processing method
JPH0927485A (en) Plasma etching method
TW530322B (en) Externally excited torroidal plasma source
JP4302630B2 (en) Inductively coupled plasma generator
US6136140A (en) Plasma processing apparatus
JP3832934B2 (en) Reactive ion etching system
US20170200585A1 (en) Source rf power split inner coil to improve bcd and etch depth performance
KR100625319B1 (en) Inductive coupling plasma treatment apparatus
CN113471049B (en) Method for processing workpiece, plasma etching machine and semiconductor device
TW586335B (en) Plasma etch reactor with dual sources for enhancing both etch selectivity and etch rate
KR100404723B1 (en) Device for Generating Inductively Coupled Plasma with Lower Aspect Ratio

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees