TW529091B - A slot via filled dual damascene structure without middle stop layer and method for making the same - Google Patents

A slot via filled dual damascene structure without middle stop layer and method for making the same Download PDF

Info

Publication number
TW529091B
TW529091B TW091100728A TW91100728A TW529091B TW 529091 B TW529091 B TW 529091B TW 091100728 A TW091100728 A TW 091100728A TW 91100728 A TW91100728 A TW 91100728A TW 529091 B TW529091 B TW 529091B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
dielectric
trench
dielectric material
Prior art date
Application number
TW091100728A
Other languages
Chinese (zh)
Inventor
Fei Wang
Lynne A Okada
Ramkumar Subramanian
Calvin T Gabriel
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/776,736 external-priority patent/US6372635B1/en
Priority claimed from US09/778,064 external-priority patent/US6429116B1/en
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Application granted granted Critical
Publication of TW529091B publication Critical patent/TW529091B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

An interconnect structure and method of forming the same in which a diffusion barrier/etch stop layer (22) is deposited over a conductive layer (20). An organic low k dielectric material (24) is deposited over the diffusion barrier/etch stop layer (22) to form a first dielectric layer (24). The first dielectric layer (24) is etched to form a slot via (50) in the first dielectric layer (24). An inorganic low k dielectric material (30) is deposited within the slot via (50) and over the first dielectric layer (24) to form a second dielectric layer (30) over the slot via (50) and the first dielectric layer (24). The re-filled via (50) is simultaneously etched with the second dielectric layer (30) in which a trench (38) is formed. The trench (38) extends in a direction that is normal to the length of the slot via (50). The entire width of the trench (38) is directly over the via (36). The re-opened via and the trench (38) are filled with a conductive material (40). In other embodiment, the first dielectric layer 24 comprises an inorganic low k dielectric layer and the second dielectric layer 30 comprises an organic low k dielectric layer.

Description

529091 五、發明說明(1) [技術領域] 本發明 成,尤係有 屬連線區中 [背景技術] 對與超 效能不斷增 我們發現此 提供低電阻 通孔接點及 時,尤其會 傳統的 成之半導體 圖樣。形成 該等導電圖 通常係由用 (亦即上層 塞建立與半 之電接點。 係以大致平 寸微縮到次 的半導體晶 通常在 層,然後利 係有關在製造半導體裝置時金屬連線層之形 關利用一種通孔填充雙道金屬鑲嵌技術而在金 形成金屬鑲嵌結構。 大型積體電路 加之需求,要 種不斷增加之 -電容值(RC: 溝渠具有因尺 發生上述的情 半導體裝置通 基材、以及複 積體電路,該 樣包含由佈線 來填滿通孔的 及下層)上的 導體基材上的 在溝渠中形成 行於半導體基 微米的層級, 片已變得更為 包含至少一個 用傳統的微影 半導體的佈線 求在連線技術 需求是很難滿 的連線圖樣^ 寸微縮而造成 形。 常包含經常由 數個循序形成 積體電路包含 間之間隔隔離 導電塞在電氣 導電圖樣’而 主動區(例如 導電線路,且 材之方式而延 所以包含五層 普遍了。 導電圖樣的導 及餘刻技術在 相關聯的高密度及 上有相應的改變。 足的’這是因為要 尤其在次微米的 的較大的縱橫比 摻雜 的電 複數 的若 上連 填滿 源極 該等 伸。 或更 單結 介質 個導 干導 接在 接觸 /汲 導電 由於 多層 晶碎 層及 電圖 電線 不同 孔的 極區 線路 裝置 金屬 所構 導電 樣, 路。 層 導電 )間 通常 的尺 線路 電層上沈積電介質 該電介質層中形成529091 V. Description of the invention (1) [Technical Field] The present invention is especially in the connection area. [Background] The continuous increase in super efficiency and we find that this provides low-resistance through-hole contacts in time, especially the traditional Into a semiconductor pattern. The formation of such conductive patterns is usually achieved by using (ie, upper plugs to establish electrical contacts with half.) Semiconductor crystals that are scaled down to approximately the same size are usually in layers, and then related to the metal wiring layer when manufacturing semiconductor devices. Zhixingguan uses a through-hole filling two-channel metal damascene technology to form a metal damascene structure in gold. In addition to the demand for large integrated circuits, the type of capacitance must be increased-RC: The trench has the above-mentioned conditions. The substrate and the complex circuit, which include the conductors on the conductive substrate on which the vias are filled to fill the vias and the lower layer), form a layer of semiconductor-based micrometers in the trenches. A conventional lithography semiconductor is used to obtain wiring patterns that are difficult to meet due to the wiring technology requirements. The size of the wiring pattern is small. It often includes several circuits that are formed in sequence to form a integrated circuit. The conductive pattern 'and the active area (such as conductive lines, and the way the material extends, so it is common to include five layers. Conductive pattern guidance and engraving techniques There is a corresponding change in the associated high density. This is sufficient because it is necessary to fill the source isotopes of the electrical complexes doped with large aspect ratios, especially at submicrons, or A single junction dielectric is connected to the conductive pattern formed by the contact / drain conductive layer due to the multilayer crystal chip layer and the polar circuit device metal of the different holes of the electric wire. Formed in the dielectric layer

92022.ptd 第7頁 529091 五、發明說明(2) 開孔,然後以諸如鎢(W )等的導電材料填滿該開孔,而 形成填滿通孔之導電塞。通常利用化學機械研磨92022.ptd Page 7 529091 V. Description of the invention (2) Open the hole, and then fill the opening with a conductive material such as tungsten (W) to form a conductive plug that fills the through hole. Chemical mechanical grinding

(Chemical Mechanical Polishing;簡稱 CMP)技術去 除該電介質層表面上的過量導電材料。一種此類的方法被 稱為金屬鑲嵌(damascene ),且金屬鑲嵌技術基本上涉 及下列步驟:在電介質層中形成開孔;以及以金屬填滿該 開孔。雙道金屬鑲散(d u a 1 d a m a s c e n e )技術涉及下列步 驟:形成包含低層接觸區或通孔部分之開孔,而該低層接 觸區係連接到上層溝渠部分,然後以通常為金屬之導電材 料填滿該開孔,以便同時形成導電塞、以及與導電塞有電 氣接觸之導電線路。(Chemical Mechanical Polishing; CMP for short) technology to remove excess conductive material on the surface of the dielectric layer. One such method is called damascene, and damascene technology basically involves the following steps: forming an opening in the dielectric layer; and filling the opening with metal. The dual metal damascene technique involves the following steps: forming an opening including a lower-level contact area or a via portion, and the low-level contact area is connected to an upper trench portion, and then filled with a conductive material that is usually metal The opening is used to form a conductive plug and a conductive line in electrical contact with the conductive plug at the same time.

高效能的微處理器應用要求半導體電路有很快的速 度。半導體電路的控制速度係與連線圖樣的電阻及電容值 成反向的變化。當積體電路變得更複雜且微細結構的尺寸 (feature size)及間隔變得更小時,積體電路的速度變得 較不取決於電晶體本身’而更為取決於連線圖樣。尺寸微 縮要求接點較小且橫斷面較小的長連線。因此,連線圖樣 限制了積體電路的速度。如果連接節點佈線了 一段相^長 的距離(例如在次微米技術中的幾百微米或更長的距^、 )’則連線電容值限制了電路節點電容值的負載,並因 限制了電路的速度。當根據次微米的設計規則(例如約而 〇· 1微米或更小的一設計規則)而使元件集積密度增加 使微細結構的尺寸減小時,由於積體電路速度延遲4 1 的品質不合格比率大幅限制了產出率,並大幅提高了 ^ ^High-performance microprocessor applications require semiconductor circuits to be fast. The control speed of the semiconductor circuit changes inversely with the resistance and capacitance values of the wiring pattern. As the integrated circuit becomes more complicated and the microstructure size and interval become smaller, the speed of the integrated circuit becomes less dependent on the transistor itself 'and more dependent on the wiring pattern. Miniaturization requires long connections with small contacts and small cross sections. Therefore, the wiring pattern limits the speed of the integrated circuit. If the connection node is routed for a relatively long distance (for example, a distance of several hundred micrometers or more in the sub-micron technology), then the connection capacitance value limits the load of the circuit node capacitance value, and because the circuit is limited speed. When the sub-micron design rule (for example, a design rule of about 0.1 micron or less) is used to increase the element density and reduce the size of the microstructure, the quality failure rate of the integrated circuit is delayed by 4 1 Significantly limits the output rate and significantly increases ^ ^

92022.ptd 第8頁 529091 五、發明說明 成本。 在先 屬線路。 選材料上 比鎢有更 佈線上成 在以 係同時沈 成的下方 到覆蓋該 孔及溝渠 蝕刻阻擋 電介質層 中間蝕刻 的諸如通 的圖樣之 層。然後 硬質掩蓋 微細結構 步驟蝕刻 兩個電介 同的蝕刻 料的通孔 質層中形 術中,鋁 合金在作 受到注意 氣特性, 較佳的金 準的方式 電線路、 中的各導 線層的電 通常係在 沈積 積中 ,以 微細 在該 第二 第二 該蝕 電介 方式 品去 底部 孔及 第一 間餘 便界 結構 中間 電介 電介 刻, 質層 減少 除曾 蝕刻 於該 後將 到該 該中 沈積 質掩 諸如 二電 钱刻 數目 連線 現在 中形 極大型積體電路的連線金 這些金屬線路中的鋁之候 鋁有較低的電阻係數,且 在用來作為導電塞及導電 金屬鑲嵌結構時, 線路連接到先前形 。將導電材料沈積 生之開孔(例如通 導電連線層的底部 料。然後在該第一 (3) 前的技 銅及銅 ,相當 佳的電 為一種 自動對 積一導 導電層 導電連 )中。 層之上 之上沈 阻擋層 孔等的 後,即 可在該 層及該 。持續 該第一 質層之 劑化學 内之該 成的通 係用於 為取代 。銅比 使得銅 屬。 形成一 以及用 電元件 介質材 覆蓋並 層的電 刻阻擋 定將隨 〇 ---曰 蝕刻阻 質層上 質層而 以便用 。此種 了製造 經保護 阻擋層 第二電 個雙道 來將該 之通孔 料中產 保護該 介質材 層。然 後蝕刻 產生了 擋層上 沈積硬 蝕刻出 與該第 在單一 步驟的 了導電 。利用 介質層 圖樣# 第一電 間蝕刻 第二電 蓋層。 溝渠等 介質層 步驟中 。然後 層中的 於該第 成的溝 刻到該 介質層 阻擋層 介質 穿過該 的所需 相同的 蝕刻出 以一不 導電材 一電介 渠,而92022.ptd Page 8 529091 V. Description of the invention Cost. Prior line. The selected material is more than tungsten, and the wiring is formed below the simultaneous deposition of the system to cover the hole and the trench. The etch stop dielectric layer is a layer such as a through pattern etched in the middle. Then, the microstructure is hardly masked, and the through-hole stratification of two dielectrics with the same etchant is performed. The aluminum alloy is used to attract attention to the gas characteristics. It is usually in the sedimentation product, and the bottom hole and the first interstitial structure are etched in the second, second, and etched dielectrics in a fine manner. The dielectric layer is reduced. The metal deposition masks such as the number of engraved wires connected to the medium-sized ultra-large integrated circuits are now connected to gold. These aluminum circuits in aluminum metal have a lower resistivity and are used as conductive plugs and When the conductive metal is inlaid, the wiring is connected to the previous shape. A conductive material is deposited to make a hole (such as through the bottom of the conductive connection layer. Then the copper and copper before the first (3), a relatively good electricity is an automatic convolution of a conductive conductive layer) in. After the layer sinks the barrier layer holes, etc., it can be on the layer and the. The system that continues to form within the agent of the first stratum is used to replace. The copper ratio makes the copper genus. The formation of an electrical barrier and a layer covered with an electrical element dielectric material will be used as the etch resist layer on the resist layer. In this way, a second double-lane with a protective barrier layer is manufactured to protect the dielectric material layer from the through-hole material. Then the etch produced a hard etch on the barrier layer, which was conductive with the first step. Using the dielectric layer pattern #, the first electrical etch is the second electrical capping layer. Ditches and other dielectric layers. Then the grooves in the layer are etched to the dielectric layer, and the barrier layer dielectric is etched through the desired same with a non-conductive material and a dielectric channel, and

92022.ptd 第9頁 529091 五、發明說明(4) _ 在早一沈積步驟中η + 料。(如果將銅用::在該通孔及該溝渠中沈積導電材 積壁障層,以防1::為導電材料,m以傳統的方式先沈 連線層中之墓鋼的擴散。)該導電材料可與下方導雷 連線:中之導電材料有電接觸。 V電 究將低k值的電介_曾从的工作效能,現在有愈來愈多的人研 料。降低金屬連線料用來取代較高k值的電介質材 將可降低晶片的Rra,採用的電介質層之整體k值時, 而,諸如苯環丁烯(f,因而提昇了該晶片的效能。然 (HSQ)、氧氟化矽 ^) 'hydrogen silseQUi〇Xane 傳統採用的較言k :的低k值材料經常是比諸如氧化物等 層的圖樣之後用來去材料更難以處理。例如,在產生一 k值電介質材料。/光阻材料的技術易於損壞無機的低 時,可能會損傷在:機的=用來形成溝渠的光阻層 構。這是以傳统方;^的低k值電介質層中形成之微細結 夕盧,斤H m ^成雙道金屬鑲嵌配置時要特別顧慮 :換::因1將會搞及在較低通孔層中的無機材料兩 通孔層;形成通孔之光阻時,將第-次損及 樣,並去除“且材料。當產生上層的溝渠層之圖 機低k值電介質材料。也將第二次損及該通孔層中相同的無 質層嵌配置的另-改良領域係有關在下電介 電介質# w二貝層之間使用中間蝕刻阻擋層。在蝕刻該下 成諸如通孔之後,仍然保留著該中間蚀刻 田曰子有该中間蝕刻阻擋層時,通常將增加連線區92022.ptd Page 9 529091 V. Description of the invention (4) _ In the earlier deposition step, η + material. (If copper is used: deposit a barrier layer of conductive material in the through hole and the trench to prevent 1 :: as a conductive material, and m will first sink the tomb steel in the wiring layer in a traditional manner.) The The conductive material can be connected to the lightning conductor below: the conductive material in has electrical contact. V-Electricity will be a low-k dielectric. The efficiency of the work has been studied by more and more people now. Reducing the metal wire used to replace the higher-k dielectric material will reduce the Rra of the wafer. When the overall k value of the dielectric layer is used, such as benzocyclobutene (f), the efficiency of the wafer is improved. (HSQ), silicon oxyfluoride ^) 'hydrogen silseQUio × Xane Traditionally, k: low-k materials are often more difficult to handle than the pattern of layers such as oxides. For example, a k-value dielectric material is being produced. / Photoresistive material technology is easy to damage the inorganic low, it may be damaged in: organic = photoresistive layer used to form trenches. This is based on the traditional formula; the fine junctions formed in the low-k dielectric layer, H m ^ in a two-lane metal damascene configuration, special care must be taken: change :: because 1 will be involved in lower through holes The inorganic material in the layer has two through-hole layers; when the photoresist of the through-hole is formed, the first time is lost and the material is removed. Another area of improvement that compromises the same non-defective layer embedding configuration in the via layer is the use of an intermediate etch stop layer between the lower dielectric layer and the second layer. After etching the underlayer, such as a via, it remains When the intermediate etch field is provided with the intermediate etch barrier layer, the connection area will usually be increased.

麵 第ίο頁 529091 五、發明說明(5) 之整體 k值< 了產生雙道金 例如,需要有 圖樣產生步驟 線層時,可能 些額外的步驟 以雙道金 一相關領域是 俯視圖所示, 對準溝渠(及 導電材料填充 (62)。 第15圖中 質層(64 )之 (6 8 )沒有對 中蝕刻第二電 上層的溝渠圖 一部分,這是 此外,設有中間蝕刻阻擋層時,將增加為 屬鑲嵌結構所必須採用的製程步驟之數目。 中間蝕刻阻擋層沈積步驟及中間蝕刻阻擋層 。當如同現有製造技術中常見的,有多個連 在不同的連線層中重複這些額外的步驟。這 增加了製造成本且降低了產出率。 屬鑲嵌技術形成溝渠及通孔連線結構時的另 沒有對準通孔及溝渠的可能性。如第1 5圖之 已在一下電介質層中形成的通孔(70)沒有 後續形成的導電線路(6 0 ))。因此,只以 了通孔(70)的一部分,而形成了導電塞 的結構 上的中 準溝渠 介質層 樣而進 因為該 進行填 此種情 續以導電材料 先預期的窄。 (6 2 )之電阻值,因 目前需要一種用 質層的雙道金屬鑲嵌 置沒有嚴重損及所形 之側視圖係 間蝕刻阻擋 圖樣。當在 (66 )中之 行該蝕刻。 通孔並不是 充時,所形 形將會在不 而造成 RC 來提供可在 連線結構之 成的通孔之 示於第 層(65 同時的 溝渠、 因而將 在該溝 成的導 合意的 值的增 通孔層 方法及 風險’ 1 4圖。 )中形 各向異 及通孔 只開啟 渠的正 電塞( 情形下 加0 中採用 配置, 同時減 在第一電介 成之圖樣 性蝕刻製程 時,將根據 目標通孔的 下方。在後 6 2 )將比原 增加導電塞 低k值電介 該方法及配 少了與在下Page 529, page 529091 5. The overall k value of the description of the invention (5) < generates double gold, for example, when a pattern is required to generate a step line layer, some extra steps may be shown in double gold. The related field is shown in the top view. Align the trench (and conductive material filling (62). Figure 15 (6 8) of the mass layer (64) does not align the part of the trench pattern of the second electrical upper layer. This is in addition to an intermediate etch barrier. The number of process steps that must be used for a damascene structure will be increased. The intermediate etch barrier layer deposition step and the intermediate etch barrier layer. As is common in the existing manufacturing technology, there are multiple repeats in different connection layers. These additional steps. This increases the manufacturing cost and reduces the output rate. It is a possibility that the trench and via connection structure is not aligned when the mosaic technology forms the connection structure. As shown in Figure 15 The via hole (70) formed in the lower dielectric layer has no subsequent conductive line (60). Therefore, only a part of the through-hole (70) is used to form the medium trench of the conductive plug structure. The dielectric layer is advanced because the filling should be performed. In this case, the conductive material is narrower than expected. The resistance value of (6 2) is due to the current need for a two-layer metal damascene with a quality layer without seriously damaging the side-to-side etching stop pattern. The etching should be performed in (66). The through-holes are not time-consuming, and the shape will not cause RC to provide through-holes that can be formed in the connection structure on the first layer (65 simultaneous trenches, so the guidance formed in the trenches is desirable). The method and risk of increasing the value of the via layer is shown in Fig. 1). The anisotropy of the medium shape and the via hole only open the positive plug of the channel (in the case of adding 0, the configuration is used, while reducing the pattern of the first dielectric. During the etching process, it will be based on the bottom of the target through-hole. After 6 2), a lower k-value dielectric will be added than the original conductive plug.

ΗΗ

92022.ptd 第11頁 529091 五、發明說明(6) 電介質層與上電介 慮。目前也需要保 供完整寬度的通孔 度。 [本發明之揭示] 本發明之實施 法,而滿足了上述 驟:在導電層上沈 積第一電介質材料 質材料是有機電介 該第一電介質層中 質層之間使 證在溝渠中 ,該通孔中 例提供了 用中間蝕刻阻擋層有關之顧 形成的導電線路之正下方提 之導電塞具有完整的目標寬 種用 的需 來形 求, 並在 介質層 中且在 重新填 層。該 填滿的 在該第 延伸之 結構沿 構的整 例中, 介質材 内沿 該第 滿的 第二 溝槽 二電 微細 著該 體寬 該第 料是 著第一 一電介 溝槽通 電介質 通孔、 介質層 結構。 第二方 度是在 一電介 這些及其他 積擴散壁障層 ,以便形成第一電介 質材料。蝕刻該第一 形成溝槽通 方向延伸溝 質層上沈積 有機電 在第一電介質 保證所形成的導電 孔及該第一 層是無機電 及該第二電 内沿著垂直 蝕刻該溝槽 向的寬度小 該溝槽通孔 質材料是無 介質材料。 層中設有寬 塞之寬度將 刻該 孔。 槽長 第二 電介 介質 介質 於該 通孔 於該 之上 機電 該溝 度。 電介 質層 材料 層中 第一 成連線結 該方法包 該擴散壁 質層。該 電介質層 槽通孔在 然後在該 質材料’ 上形成第 。同時餘 之溝渠, 方向的第 的至少一部分 溝槽 。在 介質 長度,而 本發明的 材料’而 構之方 含下列步 障層上沈 第一電介 ,以便在 該第一電 溝槽通孔 以便在該 二電介質 刻該重新 因而形成 二方向而 。該微細 該微細結 其他實施 該第二電 於溝渠寬度的溝槽通孔時 與上層導電線路之寬度相92022.ptd Page 11 529091 V. Description of the Invention (6) Dielectric layer and dielectric. There is also a need to provide a full width through hole. [Disclosure of the present invention] The implementation method of the present invention satisfies the above step: the first dielectric material is deposited on the conductive layer. The material is an organic dielectric, and the first dielectric layer is interposed between the medium layers in the trench. The example of the through hole provides the requirement that the conductive plug mentioned directly below the conductive line formed by the intermediate etching barrier layer has a complete target width, and is filled in the dielectric layer and refilled. In the entire example of the filled structure extending along the first extension, the dielectric material along the second second groove is electrically narrowed to the body width, and the first material is the first dielectric groove to energize the dielectric. Via, dielectric layer structure. The second aspect is to diffuse these and other barrier layers in a dielectric to form the first dielectric material. The first formation trench is etched to deposit organic electricity on the trench extending layer, and the first dielectric layer ensures that the conductive hole formed and the first layer are inorganic and the second layer is etched vertically along the trench. The width of the trench via material is a dielectric material. The hole is engraved with a wide plug in the layer. Slot length second dielectric medium dielectric on the through hole above the electromechanical groove. The dielectric layer is the first material layer in the material layer. The method includes the diffusion wall layer. The dielectric layer vias are then formed on the material. At the same time, Yu Zhiguo, the direction of at least part of the trench. In the length of the dielectric, the material of the present invention's structure includes the following steps of sinking the first dielectric so as to pass through the first electrical trench so as to re-engage in the two dielectrics and thus form two directions. The micro-fine junction is another implementation of the second through-trench through-hole with the width of the upper conductive line.

92022.ptd 第12頁 529091 五、發明說明(7) 一 此外’因為係在首度形成該通孔之後’在該通孔之内 沈積第二電介質材料,所以通孔的第二蝕刻係穿過新沈積 的電,質材料。此種方式之優點為減少了光阻去除製程損 及&第一電介質層中的有機電介質材料之量。本發明之方 法可在該第一電介質層中採用低k值的有機電介質材料, 並可在該第二電介質層中採用低k值的無機電介質材料。 在光阻去除製裎中,該低k值的有機電介質材料比低k值的 二=電;ί貝持料較不易受損。因此,由於在上電介質層中 ί 值的無機電介質材料,所以在形成連線的雙道 二,方法中,只會對該低k值的無機電介質材料進行 一火有可能造成損傷的光阻去製 ,整性且在第一電介質層")成通孔;加 二電=層一 值的Λ機電介質材料且該* 機電介質材料重新填滿'電:^ ::甬以低k值的有 在形成連線的雙道金屬鑲山丨貝層中之通孔是有利的。 除製程對該通孔處的低耿方法期間’有損傷性的光阻去 了。此種方式具有增Hi的無機電介質材#之損傷減少 成通孔及導電塞之效果。°凡整性且在第一電介質層令形 使用諸如有機及無機 料時,可蝕刻電介質層,Ζ料等兩種不同類型的低k值材 方式不需要用到中間蝕刻=會蝕刻另一電介質層。此種 該下電介質層之下,以抗2層。在某些實施例中,係在 、覆蓋材料形成該擴散壁障 92022.ptd 第13頁 529091 五'發明說明 層,因而 之圖樣, 阻擋層時 更佳之通 本發 法,而滿 導電層上 一電 質材 具有 而延 積第 電介 槽通 電介 電介 二方 的長 餘刻 導電 電介 介質 了前 介質 寬度 伸之 二電 質材 孔, 質層 質層 向是 度。 後的 材料 質層 層中 本發 文所 (8) 可以更 此種方 ,可在 。 明之另 足了前 形成擴 層。該 在該苐 、以及 長度。 介質層 料。同 以便在 中形成 的平面 大致相 蝕刻後 該通孔 填滿該 中之電 之電介 明的另 述之需 精確之方 式在該下 該下電介 實 式在該下電介質層上產生光阻層 電介質層上不使用有圖樣的蝕刻 質層中形成比其他方式可形成的 施例 文所述之 散壁障層 電介 介質層中形 第 電 沿著 在該 〇該 時餘 該第 通孔 中的 互垂 的該 係大 通孔 介質 質材 實 求 提供了 一種形 需求, ;以及 質層中 該第一電介 第一 第二 刻該 二電 〇該 第二 直的 通孔 致整 及該 材料 料是 施例 該連 電介質 電介質 第二電 介質層 溝渠具 方向而 。該溝 之寬度 個的在 溝渠。 是無機 有機電 提供了 線結構 該方法 在該擴 之電介 成溝槽 質層的 層上且 層中之 介質層 中形成 有寬度 延伸之 渠的寬 大致等 該線路 在其他 電介質 介質材 一種連 包含: 成連線結 包含下列 散壁障層 質材料是 通孔,該 平面中的 在該溝槽 電介質材 及該重新 溝渠,並 、以及沿 長度。該 度小於該 於線路的 的正下方 實施例中 材料,而 線結構, 在導電層 構之 步驟 上形 有機 溝槽 第一 方 :在 成第 電介 通孔 方向 中沈 無機 通孔 料是 填滿的溝 在該 著該 第一 溝槽 寬度 〇 缺 ο、、 ,該 該第 第一 第二 及第 通孔 ,且 後以 第一 二電 而亦滿足 上的擴散92022.ptd Page 12 529091 V. Description of the invention (7) In addition, because the second dielectric material is deposited in the via hole 'after the first formation of the via hole', the second etching of the via hole passes through Newly deposited electrical and qualitative materials. The advantage of this method is that the photoresist removal process loss and the amount of organic dielectric material in the first dielectric layer are reduced. The method of the present invention can use a low-k organic dielectric material in the first dielectric layer, and can use a low-k inorganic dielectric material in the second dielectric layer. In the photoresist removal process, the low-k value organic dielectric material is lower than the low-k value of two = electricity; 贝 shell is less vulnerable to damage. Therefore, because of the value of the inorganic dielectric material in the upper dielectric layer, in the two-way method of forming a connection, only a low-k value inorganic dielectric material is subjected to a photoresist that may cause damage. System, integrity and through-holes in the first dielectric layer "); add two electric = layer one value of Λ electromechanical dielectric material and the * electromechanical dielectric material is refilled with 'electricity: ^ :: 甬 with low k value It is advantageous to have through-holes in the two-layer metal inserts forming the connection. The photoresist, which is damaging during the low-gap method at the through hole, is removed during the removal process. This method has the effect of reducing the damage of the Hi dielectric inorganic material #, forming through holes and conductive plugs. ° Where the integrity and when the first dielectric layer is shaped, such as organic and inorganic materials, two different types of low-k materials such as dielectric layers and Z materials can be etched. Intermediate etching is not needed = another dielectric will be etched Floor. This layer is under the lower dielectric layer to resist 2 layers. In some embodiments, the diffusion barrier is formed by a covering material 92022.ptd Page 13 529091 Five 'invention description layer, so the pattern is better when the barrier layer is in accordance with the present method, and the conductive layer is The dielectric material has a long-etched conductive dielectric medium that extends the second dielectric groove and the energized dielectric medium. The dielectric material has two holes extending in the width of the front medium. (8) can be more in this way, can be found in. The next step is to form a layer. The in the 苐, and the length. Dielectric material. In order to substantially etch the plane formed in the medium, the via hole is filled with the electricity in the electric medium, and another precise method is required to generate a photoresist on the lower dielectric layer under the lower dielectric type. The layered dielectric layer does not use a patterned etched layer formed in the dielectric layer of the bulk-barrier layer dielectric layer described in the example which can be formed by other methods than the formed dielectric layer. The material of the series of large through-hole dielectric materials that are perpendicular to each other provides a shape requirement; and the first dielectric in the texture layer, the second moment, the two electricity, the second straight through-hole shaping, and the material. The material is exemplified by the direction of the second dielectric layer trench of the connected dielectric dielectric. The width of the trench is in the trench. It is inorganic organic electricity that provides a line structure. This method forms a channel with a width extending channel on the layer of the expanded dielectric dielectric layer and the dielectric layer in the layer is approximately the same as that of the line in other dielectric dielectric materials. Containing: The wiring junction includes the following bulkhead barrier layer material is a through hole, the dielectric material in the trench and the re-ditch in the plane, and along the length. The degree is smaller than that of the material in the embodiment directly below the line, and the line structure forms an organic trench on the step of conducting the first layer: the inorganic via material is filled in the direction of the first dielectric via. The full trench is located at the width of the first trench, and the first, second, and through holes, and then the first and second electrical channels also satisfy the upper diffusion.

92022.ptd 第14頁 529091 五、發明說明(9) 壁障層;以及在該擴散壁障層上的包含有機電介質材料之 第一電介質層。產生有圖樣的溝槽沿著該第一電介質層中 之第一方向而延伸。在該第一電介質層上的第二電介質層 包含無機電介質材料,而某些該無機電介質材料係在產生 有圖樣的該溝槽内的該第一電介質層中。在該第一電介質 層的產生有圖樣的該溝槽中形成之通孔内設有導電栓。該 第二電介質層中形成了導電線路,且該導電線路沿著垂直 於該第一方向的第二方向而延伸。該導電線路及該導電栓 具有大致相同的寬度。該導電栓的幾乎整個寬度都是在該 導電線路的正下方。在其他的實施例中,該第一電介質層 包含無機電介質材料,且該第二電介質層包含有機電介質 材料。 若參閱下文中對本發明的詳細說明,並配合各附圖, 將可更易於了解本發明前文所述的及其他的特徵、方面、 及優點。 [實施本發明之模式] 本發明解決了與將低k值的電介質材料用於半導體晶 片的金屬連線區中的自動對準式雙道金屬鑲嵌配置的電介 質層相關聯之問題。更具體而言,本發明降低了薄膜的整 體電介質常數值,並防止廣泛地損及雙道金屬鑲嵌配置的 下電介質層,且同時保證雙道金屬鑲嵌配置中的導電塞具 有在導電線路之下形成的完整寬度。係部分地藉由提供低 k值的有機電介質層作為雙道金屬鑲嵌配置中之底部電介 質層,而達到上述的目的。在第一電介質層中形成溝槽通92022.ptd Page 14 529091 V. Description of the invention (9) A barrier layer; and a first dielectric layer containing an organic dielectric material on the diffusion barrier layer. The patterned trenches extend along a first direction in the first dielectric layer. The second dielectric layer on the first dielectric layer contains an inorganic dielectric material, and some of the inorganic dielectric material is in the first dielectric layer in the patterned groove. A conductive plug is provided in a through hole formed in the patterned groove of the first dielectric layer. A conductive line is formed in the second dielectric layer, and the conductive line extends along a second direction perpendicular to the first direction. The conductive lines and the conductive plugs have approximately the same width. Almost the entire width of the conductive plug is directly below the conductive line. In other embodiments, the first dielectric layer includes an inorganic dielectric material, and the second dielectric layer includes an organic dielectric material. If you refer to the following detailed description of the present invention and the accompanying drawings, it will be easier to understand the features, aspects, and advantages of the present invention and other features described above. [Mode for Carrying Out the Invention] The present invention solves a problem associated with a dielectric layer of an auto-aligned two-lane metal damascene configuration using a low-k dielectric material for a metal wiring region of a semiconductor wafer. More specifically, the present invention reduces the overall dielectric constant value of the thin film and prevents extensive damage to the lower dielectric layer of the dual-channel metal damascene configuration, and at the same time ensures that the conductive plugs in the dual-channel metal damascene configuration are below the conductive lines The full width formed. This is achieved in part by providing a low-k organic dielectric layer as the bottom dielectric layer in a dual metal damascene configuration. Forming a trench via in the first dielectric layer

92022.ptd 第15頁 529091 五、發明說明(ίο) 孔,且該溝槽通孔垂直地延伸到將在第二電介質層中形成 的溝渠。該溝槽通孔的長度低於該溝渠的寬度。在形成該 溝槽通孔,並去除用來產生該溝槽通孔的光阻層之後,即 以用來形成該第二電介質層的無機電介質材料重新填滿該 溝槽通孔。當蝕刻該第二電介質層以便在該第二電介質層 中形成線路或其他微細結構時,再度蝕刻該填滿的溝槽通 孔,以便重新開啟在該溝渠正下方的通孔。該溝槽通孔因 而保證最後形成的該通孔具有在該溝渠正下方的完整寬 度。此外,在重新填滿該溝槽通孔之後,並不會對該無機 電介質材料進行有損傷性的光阻去除製程。由於係在下電 W 介質層及上電介質層中使用兩種具有不同餘刻敏感度的低 k值電介質材料,所以該等電介質層之間可不必設有蝕刻 阻播層。此種方式降低了連線結構的整體k值,並減少 了製程步驟且降低了製造成本。在其他的實施例中,該第 一電介質層是低k值的無機電介質層,且該第二電介質層 是低k值的有機電介質層。 第1圖示出在製程的一個階段中根據本發明實施例而 處理的晶片的金屬連線部分之橫斷面圖。在該階段中,已 形成了導電層(20),且可以諸如金屬或其他的導電材料 形成該導電層(20 )。在特別較佳之實施例中,由於前文 所述的銅優於其他金屬之處’所以該導電金屬是銅或鋼合 金。諸如在導電層(2〇)之上沈《,而形成第-蚀刻阻擋 層(2 2 )。在某些實施例中,孫α瑞各a * ^ ^ ^ a Q / τ 係以奴化矽構成該第一蝕刻 阻擋層(22 )。亦可將諸如氧氮化矽或氮化矽等的其他材92022.ptd Page 15 529091 V. Description of the Invention (ίο) and the trench via extends vertically to the trench to be formed in the second dielectric layer. The length of the trench via is shorter than the width of the trench. After the trench via is formed and the photoresist layer used to generate the trench via is removed, the trench via is refilled with the inorganic dielectric material used to form the second dielectric layer. When the second dielectric layer is etched to form a circuit or other fine structure in the second dielectric layer, the filled trench via is etched again to reopen the via immediately below the trench. The trench via thus guarantees that the via formed at the end has a full width directly below the trench. In addition, after the trench through-holes are refilled, a photoresist removal removal process is not performed on the inorganic dielectric material. Since two kinds of low-k dielectric materials with different sensitivities are used in the lower W dielectric layer and the upper dielectric layer, there is no need to provide an etching stop layer between the dielectric layers. This method reduces the overall k value of the connection structure, reduces the process steps, and reduces the manufacturing cost. In other embodiments, the first dielectric layer is a low-k inorganic dielectric layer, and the second dielectric layer is a low-k organic dielectric layer. FIG. 1 shows a cross-sectional view of a metal wiring portion of a wafer processed in accordance with an embodiment of the present invention in one stage of a process. At this stage, a conductive layer (20) has been formed, and the conductive layer (20) may be formed such as a metal or other conductive material. In a particularly preferred embodiment, the conductive metal is copper or a steel alloy, because the copper described above is superior to other metals. Such as sinking on top of the conductive layer (20) to form a first etch stop layer (2 2). In some embodiments, Sun α Regal a * ^ ^ ^ a Q / τ is made of sulfide silicon to form the first etch blocking layer (22). Other materials such as silicon oxynitride or silicon nitride can also be used

529091 五 、發明說明(η) 料用於該第一餘刻阻擋層(22 )。第一蝕刻卩且擋層 的主要目的是在蝕刻上方電介質層期間用來保^ ^ 、, (20 )。該第一蝕刻阻擋層(22 )亦用來作為擴散辟^料 層。該第一餘刻阻擔層(22 )的另一目的是用來作^ = 射覆蓋層(Anti—ReHective Coating;簡稱 Arc) ,反 以改善在該第一電介質層中的通孔之形成。作為蝕刻阻拷 層材料的一個例子的碳化矽具有優異的ARC特性,因而田 特別適用於該第一蝕刻阻擋層(2 2 )。 如第2圖所示,然後在第一蝕刻阻擋層(2 2 )上形成 第一電介質層(24 )。在本發明的第一實施例中,形成第 一電介質層(24)的材料是有機電介質材料。在特定的較 佳實施例中’該有機電介質材料是低k值的有機電介質材 料。可自其中包括SILK、苯環丁稀、Nautilus (皆係由 Dow公司所製造)、聚氟四甘醇(由DuPont公司所製造 )、FLARE (由Allied Chemical公司所製造)等的多種 不同材料中選出該低k值的有機電介質材料。舉例而言, 假設係將該第一電介質層(2 4 )形成為約1,0 0 0埃與約 8,0 0 〇埃間之一厚度。 第3圖是在第一電介質層(24)上配置光阻層(28) 並在該光阻層(28)上產生圖樣之後的第2圖所示金屬連 線部分之橫斷面圖。在光阻層(28)内產生之圖樣界定了 諸如溝槽通孔等的微細結構,而該溝槽通孔最後將蝕刻到 第一電介質層(24)。當擴散壁障層包含用來形成第一蝕 刻阻擋層(22 )的 ARC時,即改善了光阻層(28 )中的529091 5. The invention description (η) is used in the first remaining barrier layer (22). The main purpose of the first etched and barrier layer is to protect the upper dielectric layer during etching (20). The first etch stop layer (22) is also used as a diffusion barrier layer. Another purpose of the first remaining resist layer (22) is to be used as an Anti-ReHective Coating (Arc) for improving the formation of through holes in the first dielectric layer. Silicon carbide, which is an example of the material of the etch resist layer, has excellent ARC characteristics, and thus Tian is particularly suitable for the first etch barrier layer (2 2). As shown in Figure 2, a first dielectric layer (24) is then formed on the first etch stop layer (2 2). In the first embodiment of the present invention, the material forming the first dielectric layer (24) is an organic dielectric material. In a particularly preferred embodiment, the organic dielectric material is a low-k organic dielectric material. Available from many different materials including SILK, phentermine, Nautilus (all made by Dow), polyfluorotetraethylene glycol (made by DuPont), FLARE (made by Allied Chemical), etc. The low-k organic dielectric material is selected. For example, it is assumed that the first dielectric layer (2 4) is formed to a thickness between about 1,000 angstroms and about 8,000 angstroms. Fig. 3 is a cross-sectional view of a metal connecting portion shown in Fig. 2 after a photoresist layer (28) is disposed on the first dielectric layer (24) and a pattern is generated on the photoresist layer (28). The pattern created in the photoresist layer (28) defines a fine structure such as a trench via, and the trench via is finally etched to the first dielectric layer (24). When the diffusion barrier layer contains ARC used to form the first etch barrier layer (22), the photoresist layer (28) is improved.

92022.ptd 第17頁 529091 五、發明說明(12) 微細結構之解析度。 與傳統的通孔不同,本發明的溝槽通孔之長度大於將 在該第二電介質層中形成的溝渠之寬度。為了保證該溝槽 將延伸通過該溝渠的整個寬度,係使本發明某些實施例中 的溝槽通孔之長度等於該溝渠的寬度加上兩倍的未對準公 差。例如,假設未對準公差是8奈米,則完美對準的溝 槽通孔將在溝渠的兩端各延伸 8奈米,但是該溝槽通孔 的另一端將與該溝渠的另一端重合。此種方式保證所形成 的通孔將完全延伸到該溝渠的整個寬度。如第1 3圖所示, 該溝槽通孔將垂直於將要形成的溝渠。因為每一溝槽通孔 可能在溝渠的一端上延伸了最大的公差,所以應以兩倍以 上的最大公差來隔離該等溝渠線路,使連接到相鄰線路的 兩個最大未對準的通孔不會相互接觸。 第4圖是在根據本發明實施例而蝕刻第一電介質層 (2 4 )以便形成溝槽通孔(5 0 )之後的第3圖所示該部分 之橫斷面圖。蝕刻劑應具有選擇性,使得第一電介質層 (2 4 )上的材料被蝕刻掉,但是該蝕刻卻受阻於第一蝕刻 阻擋層(22)。用來蝕刻第一電介質層(24)中的有機電 介質材料之蝕刻劑化學品可以是諸如氮氣/氫氣、或氧氣 /氮氣/氬氣。在餘刻了第3圖所示的通孔之後,至少有 某些的第4圖所示之光阻層(28)遺留在第一電介質層 (2 4 )上。然而,在本發明的某些實施例中,係同時執行 對通孔及光阻層(2 8 )之蝕刻,因而在蝕刻通孔時,即去 除了所有的光阻層(28)。在這些實施例中,並不產生第92022.ptd Page 17 529091 V. Description of the invention (12) Resolution of fine structure. Unlike conventional vias, the length of the trench vias of the present invention is greater than the width of the trenches to be formed in the second dielectric layer. To ensure that the trench will extend through the entire width of the trench, the length of the trench via in some embodiments of the present invention is equal to the width of the trench plus twice the misalignment tolerance. For example, if the misalignment tolerance is 8 nm, a perfectly aligned trench via will extend 8 nm at each end of the trench, but the other end of the trench via will coincide with the other end of the trench . This ensures that the vias formed will extend to the full width of the trench. As shown in FIG. 13, the trench through hole will be perpendicular to the trench to be formed. Because each trench via may extend the maximum tolerance on one end of the trench, the trench lines should be isolated with more than twice the maximum tolerance to allow the two largest misaligned vias connected to adjacent lines. The holes do not touch each other. FIG. 4 is a cross-sectional view of the portion shown in FIG. 3 after the first dielectric layer (2 4) is etched to form a trench via (50) according to an embodiment of the present invention. The etchant should be selective so that the material on the first dielectric layer (2 4) is etched away, but the etching is blocked by the first etch blocking layer (22). The etchant chemical used to etch the organic dielectric material in the first dielectric layer (24) may be, for example, nitrogen / hydrogen, or oxygen / nitrogen / argon. After the via holes shown in FIG. 3 are etched, at least some of the photoresist layer (28) shown in FIG. 4 remain on the first dielectric layer (2 4). However, in some embodiments of the present invention, the through-hole and the photoresist layer (2 8) are etched simultaneously. Therefore, when the through-hole is etched, all the photoresist layer (28) is removed. In these embodiments, the first

ΗΗ

92022.ptd 第18頁 529091 五、發明說明(13) 4圖所示之結構,且第3圖之後的下一結構即是第5圖所示 之結構。 第5圖是在去除光阻層(28)且在第一電介質層(24 )之上形成第二電介質層(30)之後的第4圖所示該部分 之橫斷面圖。第二電介質層(30)中之電介質材料亦填滿 了如第4圖所示的先前在第一電介質層(24)中開啟的溝 槽通孔(5 0 )。因而達到下列的效果:先前開啟的溝槽通 孔(50)可能因光阻層(28)的去除而受損,但是現在已 用不會受損的電介質材料重新填滿了該溝槽通孔(5 0 )。 在第5圖中執行的光阻去除製程可能會損及第一電介 質層(24)的上表面,但是低k值的有機電介質材料對該 製程的敏感性低於低k值的無機電介質材料。在本發明的 某些實施例中,在產生通孔的圖樣並蝕刻通孔之前,先在 第一電介質層(24)之上形成一蓋層,即可大部分地避開 此種損傷。例示的材料包括氧化矽或氮化矽,但是亦可使 用其他的材料。可將該蓋層留在原來的位置,也可以將該 蓋層去除。這些圖式並未示出蓋層的形成及去除。在這些 實施例中,一旦去除該蓋層之後即形成第二電介質層(30 )° 在本發明的第一實施例中,第二電介質層(3 0 )中之 電介質材料、以及第一電介質層(24 )中現在已重新填滿 的溝槽通孔(50)中之電介質材料都是無機電介質材料。 在本發明的某些較佳實施例中,該無機電介質材料是低k 值的電介質材料。無機電介質材料的一個例子是二氧化矽92022.ptd Page 18 529091 V. Description of the invention (13) The structure shown in Figure 4 and the next structure after Figure 3 is the structure shown in Figure 5. Fig. 5 is a cross-sectional view of the portion shown in Fig. 4 after the photoresist layer (28) is removed and a second dielectric layer (30) is formed on the first dielectric layer (24). The dielectric material in the second dielectric layer (30) also fills the trench vias (50) previously opened in the first dielectric layer (24) as shown in FIG. Therefore, the following effect is achieved: the previously opened trench via (50) may be damaged due to the removal of the photoresist layer (28), but the trench via is now refilled with a non-destructive dielectric material (50). The photoresist removal process performed in Figure 5 may damage the upper surface of the first dielectric layer (24), but the low-k organic dielectric material is less sensitive to the process than the low-k inorganic dielectric material. In some embodiments of the present invention, a cap layer is formed on the first dielectric layer (24) before the pattern of the vias is created and the vias are etched, so that such damage can be largely avoided. Exemplary materials include silicon oxide or silicon nitride, but other materials may be used. The cover layer can be left in place, or the cover layer can be removed. These drawings do not show the formation and removal of the cap layer. In these embodiments, the second dielectric layer (30) is formed once the cap layer is removed. In the first embodiment of the present invention, the dielectric material in the second dielectric layer (30) and the first dielectric layer The dielectric materials in the trench vias (50) now refilled in (24) are all inorganic dielectric materials. In some preferred embodiments of the invention, the inorganic dielectric material is a low-k dielectric material. An example of a non-electromechanical dielectric material is silicon dioxide

92022.ptd 第19頁 529091 五、發明說明(14) 等的$化矽。低k值的無機電介質材料的 倍半氧烷(MSQ)、氫矽倍半氧烷(HSQ)、及氟化匕舌f埝矽 酸鹽(FTEOS)。在上溝渠層使用低k值電介質材己基正矽 於:在提高電路的工作速度上,線路至線路電袞=優點在 甚至比通孔層中電容值的降地更具有關鍵性。 的降低 電介貝層中提供無機材料,並在另一個電介質屉=中〜個 機材料時,可使該等電介f層之間有良好的、=提供有 當以下文所述之方式蝕刻該重新填滿的通孔時:J擇性。 擇性y以精確地蝕刻該重新填滿的通孔,這是因=蝕刻選 刻到第一電介質材料,而不會蝕刻到周一 ς只會蝕 料。 電介質材 以與上層的第二電介質層所用材料相同的 滿該通孔。我們當了解,在第二電介質層(3〇 ):;新填 介質層(24)中的重新填滿的通孔中使用相 八〜電 料時’可確保利用單—的㈣劑來#刻溝渠=質材 溝槽通孔(5 0 )。 更新填滿的 在某些實施例中,不需要有平面化製程。 施例中,以諸如CMP製程來執行第二電介質層(/、3 =的只 平面化。在任一實施例中,都如第6圖所示的在第二 質層(30)上沈積硬質掩蓋層(32)。該硬質掩蓋層(32 )可包含諸如矽的氧化物或氮化石夕,且係用來在;i蝕刻 步驟期間選擇性地保護第二電介質層(3〇)。在。亥等钱j =第7圖所示,然後在硬質掩蓋層(32)上沈積光阻 層 ’並在該光阻層(34)上產生圖樣。光阻層(3492022.ptd Page 19 529091 V. Description of the invention (14), etc. Low-k inorganic silsesquioxane (MSQ), hydrosilsesquioxane (HSQ), and fluorinated dagger f 埝 OS silicate (FTEOS). The use of low-k dielectric Hexahedron in the upper trench layer: In improving the working speed of the circuit, the line-to-line voltage 优点 = advantage is even more critical than the drop in capacitance in the via layer. In order to provide an inorganic material in the lower dielectric layer, and when another dielectric drawer = medium to a machine material, it can make the dielectric f layers have a good, = provided when the etching method described below When refilling the via: J optional. Selective y is used to precisely etch the refilled vias because the first dielectric material is etched and not etched until Monday. It will only etch. The dielectric material fills the through hole with the same material as that used for the upper second dielectric layer. When we understand that the use of phase materials in the refilled vias in the second dielectric layer (30) :; the newly filled dielectric layer (24) can ensure the use of a single-㈣ 剂 来 # 刻Ditch = quality material groove through hole (50). Update-filled In some embodiments, a planarization process is not required. In the embodiment, the second dielectric layer (/, 3 = planarization only is performed by a CMP process, for example. In any embodiment, a hard mask is deposited on the second layer (30) as shown in FIG. 6). Layer (32). The hard masking layer (32) may include an oxide such as silicon or nitride, and is used to selectively protect the second dielectric layer (30) during the i-etching step. Wait for money j = shown in Figure 7, and then deposit a photoresist layer on the hard masking layer (32) and create a pattern on the photoresist layer (34). Photoresist layer (34

529091 五、發明說明(15) )中的圖樣包含將要蝕刻到第二電介質層(3 0 )之微細結 構。蝕刻硬質掩蓋層(32)及第二電介質層(30),而產 生諸如溝渠開孔等的所需微細結構(請參閱第8圖)。該 蝕刻持續穿過在第二電介質層(30 )中形成的溝渠正下方 的該重新填滿的溝槽通孔(5 0 )之該部分。該蝕刻受阻於 第一蝕刻阻擋層(22)。如第8圖中較清楚示出的,該步 驟中只蝕刻了溝槽通孔(5 0 )的一部分,而溝槽通孔(5 0 )的其餘部分(亦即,並不在該溝渠正下方的部分)仍然 包含該第二電介質材料。因為圍繞該重新填滿的通孔之材 料是有機電介質材料,且係以無機電介質材料重新填滿該 ¥ 通孔,所以在該選擇性蝕刻製程中,除了將該通孔重新開 啟之外,並未蝕刻到該第一電介質層。在本發明的較佳實 施例中,利用多個蝕刻步驟來產生第1 0圖所示之結構。這 些步驟包括:蝕刻硬質掩蓋層(32 ),然後同時蝕刻第二 電介質層(30 )、及第一電介質層(24)中重新填滿的溝 槽通孔(5 0 )。 用來蝕刻氮化物硬質掩蓋層(3 2 )的適用蝕刻劑化學 品是CHF3/N2。用來蝕刻第二電介質層(30 )及重新填滿 的通孔中之無機電介質材料之蝕刻劑化學品可以是 CHF3/02/Ar、C4F8、CF4、或 C2F6。這些蝕刻劑只是舉例, ⑩ 這是因為可根據包含第二電介質層(30)的特定無機電介 質材料而採用適當的餘刻劑。 如前文所述,使用具有不同蝕刻敏感度的兩種不同類 型的電介質材料時,可自該重新填滿的通孔精確地形成通529091 5. The pattern in the description of the invention (15)) contains a fine structure to be etched into the second dielectric layer (30). The hard masking layer (32) and the second dielectric layer (30) are etched to produce a desired fine structure such as a trench opening (see FIG. 8). The etching continues through the portion of the refilled trench via (50) directly below the trench formed in the second dielectric layer (30). The etch is blocked by the first etch stop layer (22). As shown more clearly in FIG. 8, only a part of the trench via (50) is etched in this step, and the rest of the trench via (50) is not directly under the trench. Part) still contains the second dielectric material. Because the material surrounding the refilled via is an organic dielectric material and the ¥ via is refilled with an inorganic dielectric material, in the selective etching process, in addition to reopening the via, and This first dielectric layer is not etched. In the preferred embodiment of the present invention, multiple etching steps are used to produce the structure shown in FIG. These steps include: etching the hard masking layer (32), and then simultaneously etching the second dielectric layer (30) and the trench vias (50) refilled in the first dielectric layer (24). A suitable etchant chemical for etching the nitride hard masking layer (3 2) is CHF3 / N2. The etchant chemical used to etch the second dielectric layer (30) and the inorganic dielectric material in the refilled vias may be CHF3 / 02 / Ar, C4F8, CF4, or C2F6. These etchants are only examples, and this is because an appropriate etchant may be used depending on the specific inorganic dielectric material including the second dielectric layer (30). As mentioned earlier, when using two different types of dielectric materials with different etch sensitivity, the vias can be accurately formed from the refilled vias.

92022.ptd 第21頁 529091 五、發明說明(16) 孔。在蝕刻第二電介質層及重新填滿的通孔期間,將只蝕 刻第一電介質層中在該重新填滿的通孔中之該第二電介質 材料。在重新開啟該通孔期間,不會蝕刻到先前充填的但 現在圍繞第一電介質層中該重新填滿的通孔之該第一電介 質材料。 如第9圖所示,可以蝕刻或氧氣灰化製程來去除光阻 層(3 4 )。雖然在第一實施例中係利用無機電介質材料形 成第二電介質層(30)及重新開啟的通孔(36),但是該 材料只會接受單一次的有可能損及已形成的溝渠或通孔之 光阻去除製程。對於具有通孔(36)及溝渠的該結構而 言,上述的製程優於通孔層及(或)溝渠層中的無機電介 質材料要接受可能損及該通孔的多個製程步驟並接受會損 及該溝渠的單一次光阻去除製程步驟之製程。 如第10圖所示,現在於目前存在於第一電介質層(24 )中的重新開啟的通孔(3 6 )内蝕刻第一蝕刻阻擋層(2 2 )。因而去除了覆蓋在導電層(20)上的導電材料之蝕刻 阻擋材料。可去除諸如破化石夕等的餘刻阻撞材料但對導電 材料(例如銅)不會有不利影響的適用蝕刻劑是 CHF3/N2。該蝕刻劑化學品防止銅的氧化,以氮氣去除側壁 聚合物,並在不會破壞第二電介質層(30)中的電介質材 料或第一電介質層(24 )中的電介質材料之情形下蝕刻該 碳化矽。對第一蝕刻阻擋層(2 2 )的蝕刻留下了諸如通孔 等的第一開孔(3 6 )、以及諸如溝渠等的第二開孔(3 892022.ptd Page 21 529091 V. Description of the invention (16) Hole. During the etching of the second dielectric layer and the refilled vias, only the second dielectric material of the first dielectric layer in the refilled vias will be etched. During the reopening of the via, the first dielectric material that was previously filled but now surrounds the refilled via in the first dielectric layer will not be etched. As shown in FIG. 9, the photoresist layer (3 4) can be removed by an etching or oxygen ashing process. Although in the first embodiment, an inorganic dielectric material is used to form the second dielectric layer (30) and the reopened vias (36), the material will only accept a single light that may damage the formed trenches or vias. Resistance removal process. For the structure with vias (36) and trenches, the above process is superior to the inorganic dielectric material in the via layer and / or trench layer. It must accept multiple process steps that may damage the via and accept A single photoresist removal process step that damages the trench. As shown in Figure 10, the first etch stop layer (2 2) is now etched in the re-opened vias (3 6) that currently exist in the first dielectric layer (24). Thus, the etch-blocking material of the conductive material covering the conductive layer (20) is removed. A suitable etchant that removes the remaining impact materials such as broken fossils but does not adversely affect conductive materials such as copper is CHF3 / N2. The etchant chemical prevents the oxidation of copper, removes the sidewall polymer with nitrogen, and etches the dielectric material in the second dielectric layer (30) or the dielectric material in the first dielectric layer (24) without damaging the dielectric material. Silicon carbide. The etching of the first etch stop layer (2 2) leaves a first opening (3 6) such as a through hole, and a second opening (3 8) such as a trench.

92022.ptd 第Μ頁 529091 五、發明說明(π) 現在於同時沈積步驟中以導電材料(在本發明的某些 實施例中最好是銅)填滿該通孔(3 6 )及該溝渠(3 8 )。 圖中未示出通常用來避免銅擴散的壁障層之沈積,以便不 會模糊了本發明,但是亦可提供該壁障層。此外,在本發 明的某些實施例中,不必然是某些低k值的電介質材料之 壁障層形成可抗拒銅擴散之自發性屏障。在進行化學機械 平面化(CMP )之後,即形成了第11圖所示之雙道金屬鑲 嵌結構,其中通孔(或栓)(40)在電氣上將下導電層 (20 )連接到溝渠(38 )中形成的導電線路(42 )。 在本發明的第二實施例中,第一電介質層包含無機電jp 介質材料,且第二電介質層包含有機電介質材料。前文中 已說明了適用於這些材料的特定蝕刻劑。至於光阻層(3 4 )(第9圖)的去除而言,請注意,因為在該第二實施例 中係利用有機電介質材料形成第二電介質層(3 0 )及重新 開啟的通孔(3 6 ),所以在該有機電介質材料中形成的該 溝渠及通孔(3 6 )比用無機電介質材料所形成者更能耐受 光阻去除製程,因而較不易在該光阻去除製程中受損。 由於是在沈積第二電介質層期間填滿先前形成的溝槽 通孔,所以可形成較不易因諸如光阻去除等的製程而受損 之通孔。此種方式強化了諸如通孔層等的下電介質層中形 成的微細結構之完整性,且可在雙道金屬鑲嵌配置的其中 一層中使用低k值的無機電介質材料。由於使用了溝槽通 孔,因而確保最後所形成的通孔將完全延伸於溝渠之下。 雖然已詳細示出並說明了本發明,但是顯然可了解,92022.ptd Page M 529091 V. Description of the invention (π) Now in the simultaneous deposition step, the through hole (3 6) and the trench are filled with a conductive material (preferably copper in some embodiments of the invention). (3 8). The deposition of a barrier layer commonly used to avoid copper diffusion is not shown in the figure so as not to obscure the present invention, but the barrier layer may be provided. In addition, in some embodiments of the invention, it is not necessary that the barrier layer of some low-k dielectric materials form a spontaneous barrier that resists copper diffusion. After performing chemical mechanical planarization (CMP), a two-channel metal damascene structure as shown in FIG. 11 is formed, in which a via (or bolt) (40) electrically connects a lower conductive layer (20) to a trench ( 38). In a second embodiment of the present invention, the first dielectric layer includes an inorganic dielectric jp dielectric material, and the second dielectric layer includes an organic dielectric material. Specific etchants suitable for these materials have been described previously. As for the removal of the photoresist layer (3 4) (FIG. 9), please note that, in this second embodiment, an organic dielectric material is used to form the second dielectric layer (30) and the reopened via ( 3 6), so the trenches and vias (3 6) formed in the organic dielectric material are more resistant to the photoresist removal process than those formed with the inorganic dielectric material, and therefore are less likely to be damaged in the photoresist removal process. Since the previously formed trench vias are filled during the deposition of the second dielectric layer, vias that are less likely to be damaged by processes such as photoresist removal can be formed. This method enhances the integrity of the microstructure formed in the lower dielectric layer, such as a via layer, and enables the use of low-k inorganic dielectric materials in one of the two-layer metal damascene configurations. Due to the use of trench vias, it is ensured that the resulting vias will extend completely below the trench. While the invention has been shown and described in detail, it will be apparent that

92022.ptd 第23頁 52909192022.ptd Page 23 529091

92022.ptd 第24頁 529091 圖式簡單說明 [圖式之簡單說明] 第1圖是根據本發明實施例在一下方導電連線層上沈 積第一蝕刻阻擋層之後的半導體晶圓的金屬連線部分之橫 斷面圖。 第2圖是根據本發明實施例在第一蝕刻阻擋層上形成 第一電介質層之後的第1圖所示金屬連線部分之橫斷面 圖。 第3圖是根據本發明實施例在對第一電介質層上的光 阻層進行沈積及產生圖樣以便界定一個將要蝕刻到該第一 電介質層的微細結構之後的第2圖所示該部分之橫斷面 圖。 第4圖是根據本發明實施例而在根據光阻層中之圖樣 而餘刻第一電介質層以便形成溝槽通孔之後的第3圖所示 該部分之橫斷面圖。 第5圖是在去除第一電介質層上的光阻層且在該第一 電介質層上形成第二電介質層並填滿該第一電介質層中的 該通孔之後的第4圖所示該部分之橫斷面圖。 第6圖是根據本發明實施例而在第二電介質層上沈積 硬質掩蓋層之後的第5圖所示該部分之橫斷面圖。 第7圖是根據本發明實施例而在對第二電介質層上的 光阻層進行沈積及產生圖樣以便界定將要蝕刻到該第二電 介質層的第一微細結構之後的第6圖所示該部分之橫斷面 圖。 第8圖是根據本發明實施例而在根據光阻層中之圖樣92022.ptd Page 24 529091 Brief description of the drawings [Simplified description of the drawings] FIG. 1 is a metal connection of a semiconductor wafer after a first etch stop layer is deposited on a lower conductive connection layer according to an embodiment of the present invention Sectional cross section. Fig. 2 is a cross-sectional view of a metal wiring portion shown in Fig. 1 after a first dielectric layer is formed on a first etch stop layer according to an embodiment of the present invention. FIG. 3 is a cross-section of the portion shown in FIG. 2 after the photoresist layer on the first dielectric layer is deposited and patterned to define a microstructure to be etched into the first dielectric layer according to an embodiment of the present invention. Sectional view. FIG. 4 is a cross-sectional view of the portion shown in FIG. 3 after the first dielectric layer is etched to form a trench via according to an embodiment of the present invention. FIG. 5 is the part shown in FIG. 4 after the photoresist layer on the first dielectric layer is removed, a second dielectric layer is formed on the first dielectric layer, and the through hole in the first dielectric layer is filled. Cross-section view. FIG. 6 is a cross-sectional view of the portion shown in FIG. 5 after a hard masking layer is deposited on the second dielectric layer according to an embodiment of the present invention. FIG. 7 is a portion shown in FIG. 6 after the photoresist layer on the second dielectric layer is deposited and patterned to define a first fine structure to be etched into the second dielectric layer according to an embodiment of the present invention. Cross-section view. FIG. 8 is a pattern in a photoresist layer according to an embodiment of the present invention.

92022.ptd 第25頁 529091 圖式簡單說明 而蝕刻穿過硬質掩蓋層及第二電介質層以便在該第二電介 質層中產生第一微細結構並蝕刻穿過該重新填滿的溝槽通 孔以便在第一電介質層中界定通孔之後的第7圖所示該部 分之橫斷面圖。 第9圖是根據本發明實施例而在去除光阻層之後的第8 圖所示該部分之橫斷面圖。 第1 0圖是根據本發明實施例而在蝕刻第一蝕刻阻擋層 之後的第9圖所示該部分之橫斷面圖。 第1 1圖是根據本發明實施例而在以導電材料填滿第一 及第二微細結構之後的第1 0圖所示該部分沿著第1 3圖所示 I-Ι線而取之橫斷面圖。 第1 2圖是根據本發明實施例而在以導電材料填滿第一 及第二微細結構之後的第1 0圖所示該部分沿著第1 3圖所示 1 I - I I線而取之橫斷面圖。 第1 3圖是根據本發明實施例的具有溝槽通孔的連線配 置的一部分之俯視圖。 第1 4圖是根據先前技藝而形成的連線結構之橫斷面 圖。 第1 5圖是根據先前技藝而形成第1 4圖所示的連線結構 之俯視圖。 ⑯ [元件符號之說明] 20 導電層 22 障壁/蝕刻阻擋層 24 有機電介質材料(電介質層) 2 8、3 4光阻層92022.ptd Page 25 529091 The diagram is simply illustrated and etched through the hard mask layer and the second dielectric layer to create a first microstructure in the second dielectric layer and etched through the refilled trench via to A cross-sectional view of the portion shown in FIG. 7 after the vias are defined in the first dielectric layer. FIG. 9 is a cross-sectional view of the portion shown in FIG. 8 after the photoresist layer is removed according to an embodiment of the present invention. FIG. 10 is a cross-sectional view of the portion shown in FIG. 9 after the first etch stop layer is etched according to an embodiment of the present invention. FIG. 11 is a cross-sectional view taken along line I-I shown in FIG. 13 after the first and second microstructures are filled with a conductive material according to an embodiment of the present invention. Sectional view. Fig. 12 shows the part shown in Fig. 10 after the first and second microstructures are filled with a conductive material according to the embodiment of the present invention. The part is taken along line 1-II shown in Fig. 13 Cross-section view. Fig. 13 is a plan view of a part of a wiring arrangement having a trench via according to an embodiment of the present invention. Figure 14 is a cross-sectional view of a connection structure formed according to the prior art. Fig. 15 is a plan view showing the connection structure shown in Fig. 14 according to the prior art. ⑯ [Explanation of component symbols] 20 Conductive layer 22 Barrier / etch stop layer 24 Organic dielectric material (dielectric layer) 2 8, 3 4 Photoresistive layer

92022.ptd 第26頁 52909192022.ptd Page 26 529091

92022.ptd 第27頁92022.ptd Page 27

Claims (1)

529091 六、申請專利範圍 1. 一種用來形成連線結構之方法,包含下列步驟: 在導電層(2 0 )上沈積擴散壁障層(2 2 ); 在該擴散壁障層(2 2 )上沈積第一電介質材料 (24),以便形成第一電介質層(24),該第一電介 質材料(24)是低k值的有機電介質材料(24); 餘刻該第一電介質層(24),以便在該第一電介 質層中形成溝槽通孔(5 0 ),該溝槽通孔(5 0 )在該 第一電介質層(24)内沿著第一方向延伸溝槽長度; 在該溝槽通孔(50)中且在該第一電介質層(24 )上沈積第二電介質材料(3 0 ),以便在該溝槽通孔 0 (50)及該第一電介質層(24)上形成第二電介質層 (30),該第二電介質材料(30)是無機電介質材料 (30);以及 同時蝕刻該溝槽通孔(5 0 )及該第二電介質層 (3 0 ),因而形成在該第二電介質層(3 0 )内沿著垂 直於該第一方向的第二方向而延伸之微細結構(36, 38 ),且蝕刻該溝槽通孔(5 0 )的至少一部分,該微細 結構(3 6,3 8 )沿著該第二方向的寬度小於該溝槽長 度,而該微細結構(3 6,3 8 )的整體寬度是在該溝槽通 孔(5 0 )之上。 I 2. 如申請專利範圍第1項之方法,其中係自SILK、苯 環 丁烯、Nautilus、FLARE、及鐵氟龍(Teflon)中之 至少一種材料中選出該低k值的有機電介質材料(24)529091 VI. Scope of patent application 1. A method for forming a connection structure, comprising the following steps: depositing a diffusion barrier layer (2 2) on a conductive layer (2 0); and diffusing the barrier layer (2 2) A first dielectric material (24) is deposited thereon to form a first dielectric layer (24), the first dielectric material (24) is a low-k organic dielectric material (24); the first dielectric layer (24) In order to form a trench via (50) in the first dielectric layer, the trench via (50) extends a trench length in the first direction in the first dielectric layer (24); A second dielectric material (30) is deposited in the trench via (50) and on the first dielectric layer (24) so as to be on the trench via (0) and the first dielectric layer (24). Forming a second dielectric layer (30), the second dielectric material (30) being an inorganic dielectric material (30); and simultaneously etching the trench via (50) and the second dielectric layer (30), thereby forming A microstructure (36, 38) extending in the second dielectric layer (30) along a second direction perpendicular to the first direction And at least a part of the trench via (50) is etched, the width of the microstructure (36, 38) along the second direction is smaller than the length of the trench, and the microstructure (36, 38) The overall width is above the trench via (50). I 2. The method according to item 1 of the scope of patent application, wherein the low-k organic dielectric material is selected from at least one of SILK, benzocyclobutene, Nautilus, FLARE, and Teflon ( twenty four) 92022.ptd 第28頁 529091 六、申請專利範圍 3. 如申請專利範圍第 2項之方法,其中係自二氧化矽、 甲烷矽倍半氧烷(MS Q)、氫矽倍半氧烷(HSQ)、及氟化 四乙基正矽酸鹽(FTE0S)中之至少一種材料中選出該無 機電介質材料(3 0 )。 4. 如申請專利範圍第 3項之方法,進一步包含下列步驟 :同時在該溝槽通孔(5 0 )及該微細結構(3 6,3 8 )中 沈積導電材料(4 0 )。 5. 如申請專利範圍第4項之方法,其中該導電材料(4 0 )是銅。 6. —種形成連線結構之方法,包含下列步驟: f 在導電層(20 )上沈積擴散壁障層(22 ); 在該擴散壁障層(2 2 )之上沈積第一電介質材料 (24),以便形成第一電介質層(24),該第一電介 質材料(24)是無機電介質材料(24); 蝕刻該第一電介質層(24),以便在該第一電介 質層(2 4 )中形成溝槽通孔(5 0 ),該溝槽通孔(5 0 )在該第一電介質層(24)内沿著第一方向延伸溝槽 長度; 在該溝槽通孔(50)中且在該第一電介質層(24 )上沈積第二電介質材料(3 0 ),以便在該溝槽通孔 (50)及該第一電介質層(24)上形成第二電介質層 (30),該第二電介質材料(30)是有機電介質材料 (30);以及 同時蝕刻該溝槽通孔(5 0 )及該第二電介質層(92022.ptd Page 28 529091 6. Scope of patent application 3. For the method of item 2 of the patent scope, which is from silicon dioxide, methane silsesquioxane (MS Q), hydrogen silsesquioxane (HSQ) ), And at least one material selected from the group consisting of fluorinated tetraethyl orthosilicate (FTEOS), the inorganic dielectric material (30). 4. The method according to item 3 of the patent application scope, further comprising the following steps: simultaneously depositing a conductive material (40) in the trench via (50) and the microstructure (36, 38). 5. The method according to item 4 of the patent application, wherein the conductive material (40) is copper. 6. A method for forming a connection structure, comprising the following steps: f depositing a diffusion barrier layer (22) on the conductive layer (20); depositing a first dielectric material (2 2) on the diffusion barrier layer (2 2) 24), so as to form a first dielectric layer (24), the first dielectric material (24) is an inorganic dielectric material (24); etching the first dielectric layer (24) so that the first dielectric layer (2 4) A trench via (50) is formed in the trench, and the trench via (50) extends the length of the trench along the first direction within the first dielectric layer (24); in the trench via (50) And depositing a second dielectric material (30) on the first dielectric layer (24), so as to form a second dielectric layer (30) on the trench via (50) and the first dielectric layer (24), The second dielectric material (30) is an organic dielectric material (30); and the trench via (50) and the second dielectric layer are etched simultaneously ( 92022.ptd 第29頁 529091 六、申請專利範圍 3 0 ),因而形成在該第二電介質層(3 0 )内沿著垂直 於該第一方向的第二方向而延伸之微細結構(36, 38) ,且蝕刻該溝槽通孔(5 0 )的至少一部分,該微細結 構(3 6,3 8 )沿著該第二方向的寬度小於該溝槽長度, 而該微細結構(3 6,3 8 )的整體寬度是在該溝槽通孔 (5 0 )之上。 7. 如申請專利範圍第 6項之方法,其中係自SILK、苯 環 丁烯、FLARE、鐵氟龍(Teflon)、及 Nautilus 中 之至少一種材料中選出該低k值的有機電介質材料(30 )° 8. 如申請專利範圍第 7項之方法,其中係自二氧化矽、 甲烷矽倍半氧烷(MS Q)、氩矽倍半氧烷(HSQ)、及氟化 四乙基正矽酸鹽(FTE0S)中之至少一種材料中選出該無 機電介質材料(24)。 9. 如申請專利範圍第 8項之方法,進一步包含下列步驟 :同時在該溝槽通孔(5 0 )及該微細結構(3 6,3 8 )中 沈積導電材料(4 0 )。 1 0.如申請專利範圍第 9項之方法,其中該導電材料(4 0 )是銅。92022.ptd page 29 529091 VI. Patent application scope 3 0), thus forming a microstructure (36, 38) extending in the second dielectric layer (30) along a second direction perpendicular to the first direction ), And at least a part of the trench via (50) is etched, the width of the microstructure (36, 38) along the second direction is smaller than the length of the trench, and the microstructure (36, 3) 8) The overall width is above the trench via (50). 7. The method according to item 6 of the patent application, wherein the low-k organic dielectric material (30 is selected from at least one of SILK, benzocyclobutene, FLARE, Teflon, and Nautilus) ) ° 8. The method according to item 7 in the scope of patent application, which consists of silicon dioxide, methanesilsesquioxane (MS Q), argon silsesquioxane (HSQ), and tetraethyl orthofluoride. The inorganic dielectric material (24) is selected from at least one material of the acid salt (FTEOS). 9. The method according to item 8 of the patent application, further comprising the following steps: depositing a conductive material (40) in the trench via (50) and the microstructure (36, 38) simultaneously. 10. The method according to item 9 of the scope of patent application, wherein the conductive material (40) is copper. 92022.ptd 第30頁92022.ptd Page 30
TW091100728A 2001-02-06 2002-01-18 A slot via filled dual damascene structure without middle stop layer and method for making the same TW529091B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/776,736 US6372635B1 (en) 2001-02-06 2001-02-06 Method for making a slot via filled dual damascene low k interconnect structure without middle stop layer
US09/778,064 US6429116B1 (en) 2001-02-07 2001-02-07 Method of fabricating a slot dual damascene structure without middle stop layer

Publications (1)

Publication Number Publication Date
TW529091B true TW529091B (en) 2003-04-21

Family

ID=27119222

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091100728A TW529091B (en) 2001-02-06 2002-01-18 A slot via filled dual damascene structure without middle stop layer and method for making the same

Country Status (2)

Country Link
TW (1) TW529091B (en)
WO (1) WO2002063676A2 (en)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09172067A (en) * 1995-10-16 1997-06-30 Mitsubishi Electric Corp Semiconductor device and its manufacture
JP3798908B2 (en) * 1998-05-07 2006-07-19 三菱電機株式会社 Semiconductor device and manufacturing method thereof
JP2000299377A (en) * 1999-04-14 2000-10-24 Sony Corp Multilayer wiring and forming method
US6770975B2 (en) * 1999-06-09 2004-08-03 Alliedsignal Inc. Integrated circuits with multiple low dielectric-constant inter-metal dielectrics

Also Published As

Publication number Publication date
WO2002063676A2 (en) 2002-08-15
WO2002063676A3 (en) 2003-03-13

Similar Documents

Publication Publication Date Title
JP2007221161A (en) Capacitor used in semiconductor device, and production method thereof
US6372635B1 (en) Method for making a slot via filled dual damascene low k interconnect structure without middle stop layer
JPH10340955A (en) Method for forming high conductivity interconnection
KR100860133B1 (en) Locally increasing sidewall density by ion implantation
JP2001230317A (en) Method for forming multilayer interconnection structure and multilayer interconnection structure for semiconductor device
US6278147B1 (en) On-chip decoupling capacitor with bottom hardmask
US7087350B2 (en) Method for combining via patterns into a single mask
US6383919B1 (en) Method of making a dual damascene structure without middle stop layer
KR100393967B1 (en) method for forming metal line of semiconductor device
US6429116B1 (en) Method of fabricating a slot dual damascene structure without middle stop layer
US6913990B2 (en) Method of forming isolation dummy fill structures
KR100563817B1 (en) Method for fabricating copper interconnect of semiconductor device
US6465340B1 (en) Via filled dual damascene structure with middle stop layer and method for making the same
TW529091B (en) A slot via filled dual damascene structure without middle stop layer and method for making the same
US6365505B1 (en) Method of making a slot via filled dual damascene structure with middle stop layer
US6444573B1 (en) Method of making a slot via filled dual damascene structure with a middle stop layer
KR100457044B1 (en) Method for manufacturing semiconductor device
JPH11186274A (en) Dual damascene technique
US6391766B1 (en) Method of making a slot via filled dual damascene structure with middle stop layer
KR100853800B1 (en) Method of forming dual damascene pattern in a semiconductor device
KR100503381B1 (en) Metal line in a semiconductor and method for forming the same
KR20080061168A (en) Method of manufacturing a metal line in semiconductor device
KR0172726B1 (en) Method for interconnecting multilevel metal
KR20040070709A (en) Method for forming an air gap in a semiconductor metal line manufacturing process
KR100393968B1 (en) method for forming dual damascene of semiconductor device

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent