TW519721B - Gradient barrier for copper back-end-of-line technology - Google Patents

Gradient barrier for copper back-end-of-line technology Download PDF

Info

Publication number
TW519721B
TW519721B TW90130301A TW90130301A TW519721B TW 519721 B TW519721 B TW 519721B TW 90130301 A TW90130301 A TW 90130301A TW 90130301 A TW90130301 A TW 90130301A TW 519721 B TW519721 B TW 519721B
Authority
TW
Taiwan
Prior art keywords
layer
metal
forming
nitride
patent application
Prior art date
Application number
TW90130301A
Other languages
Chinese (zh)
Inventor
Fu-Tai Liou
Cheng-Yu Hung
Tri-Rung Yew
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW90130301A priority Critical patent/TW519721B/en
Application granted granted Critical
Publication of TW519721B publication Critical patent/TW519721B/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The present invention is directed to a structure of a gradient barrier layer. The gradient barrier with a composite structure of metal/metal salt of different composition/metal such as Ta/TaxN1-x/TaN/TaxN1-x/Ta (tantalum/tantalumx nitride1-x/tantalum nitride/tantalumx nitride1-x/tantalum) is proposed to replace the conventional barrier for copper metallization. The gradient barrier can be formed in a chemical vapor deposition (CVD) process or a multi-target physical vapor deposition (PVD) process. For CVD process, using the characteristics of well-controlled reaction gas injection, the ratio of tantalum (Ta) and nitrogen (N) can be modulated gradually to form the gradient barrier. For the multi-target PVD process, the gradient barrier is formed by depositing multi-layers of different composition TaxN1-x films. After subsequent thermal cycle processes such as metal alloy, the inter-layer diffusion occurs and a more smooth distribution of Ta and N is achieved for the gradient barrier. The advantages of forming the gradient barrier include a well-controlled process, a strong adhesion between via and landing metal, more uniform step coverage, and less brittle to reduce crack.

Description

519721 五、發明說明(1) 5 -1發明領域: 本發明係有關於一種形 是-種有關於形成超大型積體==的方法,特別 技術(copper back end 〇f the ( SI)後段銅金屬導線 h/T。M /? Μ π 成之氮化組/鈕(519721 V. Description of the invention (1) 5 -1 Field of invention: The present invention relates to a method of forming a super large volume == a special technique (copper back end 〇f the (SI) rear copper Metal wire h / T.M /? Μ π Nitriding group / button (

Ta/TMh/TaN/TMh/Ta)之複合式結構。 5-2發明背景: 縮小,銅因 以及較低的 度之積體電 ,銅非常容 響到絕緣材 内金屬介電 成相鄰導線 1 di e 1 ectr 此,為改善 展,以避免 當圖形尺寸不斷的 應力及電致遷移特性, 製程被公認為是高積集 高的内連線製程。然而 括金屬或非金屬,而影 當銅擴散到如氧化石夕之 dielectric,IMD)會造 層介電層(inter-leve breakdown field) 〇 因 ,便促進了阻障層的發 為具有較金屬鋁為佳的 阻抗,而使得鋼金屬化 路中,可靠度佳及效能 易擴散到多種材料,包 料的介電常數。例如, 層(inter-metal 間的漏電流,及減低内 ic,ILD )的崩潰場( 銅内連線製程上的困難 銅擴散發生。 第一圖係積體電路之銅金屬化製程應用。包含數十毫 微米(nm)的氮化钽層(TaN) 1 08及鈕金屬層(Ta) 11 0之阻障 層’包夾於雙錶散結構之銅金屬層112及如氧化石夕之内金 519721 、發明說明(2) _ 屬=層1〇6之間,並且與底材1QQ^ ^~' 性相連。内金屬介電層106通常形 /屬π構110電 作為保護層之氮化矽層丨04上。必須二=现,底材1 00上的 •止銅擴散較佳的阻障層’ i氮化釦的疋’氮化鉅是 適當。而组金屬雖與氧化類介電層之-黏著2料的黏著性 成銅晶種(C0pper seed)較佳的材料。 軚I ,卻是形 常是形成於氮化麵層上,用以強化銅與氮化 性。於傳統的銅金屬製程中,利用氧化類介電^的= 金屬介電層時,阻障層之氮化钽層1〇8與銅金 = 並不會有顯著的黏著性問題。因此,傳統的阻曰= 3 作用是於後段銅金屬導線技術(BE〇L )中, 曰^的 1 02及11 2擴散,如箭號所示。 銅由、、、。構 然而’於新的低介電常數之内金屬介電材料系統中( low-k IMD),因為低介電常數材料210具有較大的熱膨脹 係數,而且氮化鈕層1 0 8與銅著路墊1 0 2 _的黏著性較差,使 的氮化鈕1 0 8與銅1 0 2間的介面2 1 2黏著非常弱,而容易分 離,如第二圖所示。此外,氮化钽易脆的特性也容易造成 斷裂。這些因素會造成電性不連續的問題,更嚴重的甚至 無法通過可靠度的測试’例如’熱循環測試(^ h e r m a 1 cycle test,TCT)及應力遷移測試(stress migration, SM )。因此,為解決應用低介電常數材料時產生的黏著性 問題,發展出許多的方法,氬先行處理技術(Ar-preciean technique)即為其中之一。Ta / TMh / TaN / TMh / Ta). 5-2 Background of the Invention: Shrinking, copper due to the low degree of integrated electricity, copper is very susceptible to the dielectric in the insulating material to form adjacent wires 1 di e 1 ectr Constant dimensional stress and electro-migration characteristics, the process is recognized as a high accumulation high interconnect process. However, including metal or non-metal, and the diffusion of copper to dielectric (IMD), such as oxidized stone, will form an inter-leve breakdown field. This causes the development of the barrier layer to be more metallic. Aluminum has a good impedance, which makes the steel metallization roads with good reliability and efficiency easily diffuse to a variety of materials and the dielectric constant of the material. For example, the leakage current between layers (inter-metal and reducing the internal IC, ILD) collapse field (difficult copper diffusion in the copper interconnect process) occurs. The first picture shows the copper metallization process application of integrated circuit. Contains The tens of nanometer (nm) tantalum nitride layer (TaN) 1 08 and the button metal layer (Ta) 11 0 barrier layer 'are sandwiched between the copper metal layer 112 of the dual surface structure and the oxidized stone. Gold 519721, description of the invention (2) _ Metallic = layer 10, and it is connected to the substrate 1QQ ^^ ~ '. The inner metal dielectric layer 106 is usually shaped / metal structure 110 and is used as a protective layer of nitride Silicon layer 丨 04. Must be two = present, on the substrate 1 00 • Copper barrier better barrier layer 'i nitride button 氮化' nitride giant is appropriate. Although the group metal and oxide-based dielectric Layer-adhesive copper seed (Copper seed) is a better material. 軚 I is usually formed on the nitrided surface layer to strengthen copper and nitride. In the traditional In the copper metal process, when an oxide-based dielectric is used = a metal dielectric layer, the tantalum nitride layer 108 of the barrier layer and copper gold = do not have significant adhesion problems. Therefore, The traditional resistance = 3 is used in the later copper metal wire technology (BEOL), the diffusion of ^ and 02, as shown by the arrow. The copper is made of ,,,,, and is not at a low level. The dielectric constant within the metal dielectric material system (low-k IMD), because the low dielectric constant material 210 has a larger thermal expansion coefficient, and the nitride button layer 108 and the copper landing pad 1 0 2 _ Poor adhesion, the interface 2 1 2 between the nitride button 108 and copper 102 is very weak and easy to separate, as shown in the second figure. In addition, the brittle nature of tantalum nitride is also easy to cause These factors can cause electrical discontinuities, and even more severely fail the reliability test, such as' Herma 1 cycle test (TCT) and stress migration test (SM). Therefore, in order to solve the problem of adhesion caused by the application of low dielectric constant materials, many methods have been developed, and the Ar-preciean technique is one of them.

第7頁Page 7

519721 五、發明說明(3)519721 V. Description of Invention (3)

氬先 ’然後再 加強黏著 ’使得氬 生了許多 )mtcor- 行處理製程的重點為先去除 形成组金屬層’使组金屬層 性。然而,因為介層洞底部 先行處理製程的製程條件非 副作用,進而造成可靠度的 trench)310的形成,介層洞 側壁(material re-deposition)320 障層薄化 因為不均 下的銅, 增加了電 特性。再 底部的部 ,嚴重時 所示。 現象(barrier thinning) 勻的過度蝕刻。而介層洞底 再沉積於側壁或滅鑛至低介 性不連續的機會,且改變低 者,當介層洞底部的氮化钽 分氮化鈕層亦會同時去除, 甚至完全將氮化钽層去除, 直接接觸鋼表面,以 的氮化鈕層非常的薄 常難以控制。因而產 問題’例如,微溝渠 底部的材料再沉積於 以及溝渠底部的阻 >极溝渠3 1 〇的形成是 部的材料甚至包含其 電常數之介電層,更 介電常數之介電層的 層1 0 8移除後,溝渠 造成阻障層薄化現象 分別如標號330及340 良 t、觀上述先前技術之缺 種具有 氏厘摘^抱· 係數,良好黏著性,延展性高,且階梯覆蓋力佳的阻^声 是非常必要的。 曰 5 - 3發明目的及概述 鑒於上述之發明背景中,傳統的阻障層所產生的諸多The argon first ’then strengthens the adhesion’ so that argon generates a lot of). The main point of the mtcor-line processing process is to remove the formation of the group metal layer first to make the group metal layer. However, because the process conditions of the pre-treatment process at the bottom of the via are not a side effect, which leads to the formation of a reliable 310), the sidewall of the via (material re-deposition) 320 is thinner due to uneven copper, which increases. The electrical characteristics. Then the bottom part is shown when severe. Barrier thinning. Excessive etching. The bottom of the via hole is redeposited on the side wall or the chance of demineralization to a low dielectric discontinuity, and the lower one is changed. When the tantalum nitride sub-nitride button layer at the bottom of the via hole is also removed at the same time, or even nitrided completely The tantalum layer is removed and directly contacts the steel surface. The nitride button layer is very thin and often difficult to control. Therefore, for example, the material at the bottom of the micro trench is re-deposited and the resistance at the bottom of the trench is greater than the formation of the polar trench. 3 1 〇 The material is even a dielectric layer including its dielectric constant, and a dielectric layer with a greater dielectric constant After the removal of the layer 108, the thinning of the barrier layer caused by the trenches is marked as 330 and 340, respectively. Observing the lack of the above-mentioned prior art, the coefficient has a clement coefficient, good adhesion, and high ductility. In addition, the sound resistance of the step coverage is very necessary. The purpose and summary of the invention 5-3 In view of the above background of the invention, many of the traditional barrier layers

519721 五、發明說明(4) 缺點’本發明的目的為提供一種形成漸層式阻障層 gradient ba汀ier)的方法。漸層式阻障層且I曰 組成之金屬鹽/金屬之複合式結構’例如,叙,同荀 氮化组/组x/Ta )之社 ^且成之 統的阻障層應用於銅金屬化製程。而且 了取代傳 用化學氣相沉積技術(CVD )或物理氣相、” w阻卩早層可利 成。以化學氣相沉積製程為例,利用積:術 氣體的特性,可逐漸調整组元/τ用制父的反應 例,以形成漸層式阻障層。以多:革()二”素(_ τΠ: 沉積複數個不同組成的氮化釦声( X h ),Μ後於後續熱循環製程如金 " 的擴散將造成鈕亓去r τ、Α γ 蜀口孟衣私,内層間 線,而带成知;素Ta)與氮元素(Ν)間較平滑的分布曲 線,而形成漸層式阻障芦。 月日J刀冲曲 易控制的製程停件,人二、/日工 早“的優點包括,容 較佳的階梯覆::;丨層洞與著路金屬間較強的黏著性, I力,以及較不脆(延展性較大)不易斷裂。 本發明的另_ 方法,其具良好:异!丄在提供一種形成漸層式阻障層的 的長日日表面以供銅金屬沉積於上。 本發明的I _ α 方法,其具有優;f 1在提供-種形成漸層式阻障層的 良的阻卩早特性,可避免銅向外擴散。 本發明的又_曰 漸層式阻障層的方【的丄在提供一種同位(in~sltu)形成 万法,其具有钽/不同組成之氮化钽/鈕( 519721519721 V. Description of the invention (4) Disadvantages' The object of the present invention is to provide a method for forming a gradient barrier layer. Gradient barrier layer and metal salt / metal composite structure composed of “I”, for example, the synthesizing barrier layer of the same nitride group / group x / Ta) is applied to copper metal化 process. And instead of using conventional chemical vapor deposition technology (CVD) or physical vapor phase, "w retarding the early layer can be formed. Take the chemical vapor deposition process as an example, using the characteristics of the product: the gas, you can gradually adjust the components / τ uses the reaction example of the father to form a gradual barrier layer. The multiple: leather () two "prime (_ τΠ: deposition of a number of different composition of nitride button sound (X h), M later The diffusion of thermal cycling processes such as gold will cause the buttons to remove r τ, Α γ, and the inner interlayer lines, and the bands will become smoother; the distribution curve between prime Ta) and nitrogen (N), A gradual barrier lug is formed. The advantages of the J-Cutter and the K-Curve are easy to control the manufacturing process stoppage. The advantages of the second person and the “early-working early” include the better capacity of the step cover :: 丨 strong adhesion between the layer hole and the road metal, I force , And it is less brittle (large ductility) and not easy to break. Another method of the present invention is good: different! It provides a long-day surface forming a gradient barrier layer for copper metal deposition on The I_α method of the present invention has excellent performance; f 1 provides a good early resistance characteristic of forming a progressive barrier layer, which can prevent copper from diffusing outward. Another aspect of the present invention is a gradual layer. A square-shaped barrier layer [丄] provides an in-situ (in ~ sltu) formation method with tantalum / tantalum nitride / buttons of different compositions (519721

五、發明說明(5)V. Description of the invention (5)

Ta/TaxN卜x/TaN/TaxN卜X/Ta)之結構。 根據以上所述之目的,於一較佳實施例中,本發明提 供了一種漸層式阻障層,其結構包含··第一金屬層·,複數個 不同組成之一金屬鹽複合層;及第二金屬層。第一金屬層 及第二金屬層係可由下列所選出,一鈕金屬層,一欽金^ 層,及一鎢金屬層。當第一金屬層及第二金屬層可以是知 金屬層時,複數個不同組成金屬鹽可以是複數個不同=成 之氮化组複合層(Ta^-x),其中纽元素含量(x)於約為〇 5 到1之間變化。複數個不同組成之氮化鈕複合層(Τ〜Ν ·)至 少包含,複數個層,一氮化鈕層,及複數個X h ΤΧΛ—χ2層,其中χι遞減於約1到〇·5之間,x2遞增於約為 0 · 5到1之間。第一钽金屬層與複數個τ axl f^xi層之總厚度 約為10到100埃之間。而氮化鈕層(TaN)之厚度約”為丨^到 200埃之間。且複數個層與第二鈕金屬=之. 約為10 0到2 0 0埃之間。 > ^ ^ 又 本發明於另一實施例中,同時也提 阻障層於底材的方法。本發明步驟:、m= 層於底材上;开編數個不同上3八:,-第-金屬 屬鹽複合層上。於化學氣相沉不同組成;金 以形成第-金屬層。然€,通入“二)弟-反應氣體’ ,與第-反應氣體反應,以形成之第二反應氣體 成设數個不同組成之金屬鹽Ta / TaxN (x / TaN / TaxN (x / Ta)). According to the above-mentioned object, in a preferred embodiment, the present invention provides a gradient barrier layer, the structure of which includes a first metal layer, a metal salt composite layer of a plurality of different compositions; and Second metal layer. The first metal layer and the second metal layer are selected from the following: a button metal layer, a gold layer, and a tungsten metal layer. When the first metal layer and the second metal layer can be known metal layers, the plurality of metal salts having different compositions can be a plurality of different = nitrided group composite layers (Ta ^ -x), in which the element content (x) It varies between about 0.05 and 1. The plurality of nitrided button composite layers (T ~ N ·) of different compositions include at least a plurality of layers, a nitrided button layer, and a plurality of X h ΤχΛ-χ2 layers, where χι decreases from about 1 to 0.5 In the meantime, x2 is incremented between approximately 0 · 5 and 1. The total thickness of the first tantalum metal layer and the plurality of τ axl f ^ xi layers is about 10 to 100 angstroms. The thickness of the nitrided button layer (TaN) is between about ^ and 200 angstroms. And the plurality of layers and the second button metal are equal to about 100 to 2,000 angstroms. ≫ ^ ^ and In another embodiment of the present invention, the method of barrier layer on the substrate is also provided. The steps of the present invention are: m = layer on the substrate; On the composite layer. Different composition in chemical vapor deposition; gold to form the first metal layer. Then, "two) younger-reaction gas is passed in and reacted with the first reaction gas to form a second reaction gas. Several different metal salts

519721519721

複合層。接著,停止通入第二反應氣體,利用第一反應氣 體’以形成第二金屬層。本發明方法,更包含執行一埶循 環製程。 #' 5〜4發明詳細說明·· 么★本發明的一些實施例會詳細描述如下。然而,除了詳 、、2述外’本發明還可以廣泛地在其他的實施例施行,且 本I明的範圍不受限定,其以之後的專利範圍為準。Composite layer. Next, the introduction of the second reaction gas is stopped, and the first reaction gas is used to form a second metal layer. The method of the present invention further includes performing a loop process. # '5 ~ 4 发明 发明 描述 ·? ★ Some embodiments of the present invention will be described in detail as follows. However, in addition to the detailed description, the present invention can also be widely implemented in other embodiments, and the scope of the present invention is not limited, which is subject to the scope of subsequent patents.

、苓^第四A圖,於一實施例中,本發明提供了 一種漸 弋P IV層(gradient barrier)。本發明的重點是提供_ ί重^層式阻障層,其具有金屬/不同組成之金屬鹽/金屬< 不旻a式結構,例如,鈕/不同組成之氮化鈕/鈕( xNl-x/TaN/TaxNi-x/Ta )之結構,以取代傳統的阻障層 :4fin於鋼金屬化製程。如圖所示為具有、體結構410之底 么麗其可以是任何半導體製程階段的底材,例如,銅Figure 4A. In one embodiment, the present invention provides a gradient barrier. The focus of the present invention is to provide a heavy-layer barrier layer having a metal / metal salt of different composition / metal < a-type structure, such as a button / nitride button / button of different composition (xNl- x / TaN / TaxNi-x / Ta) structure to replace the traditional barrier layer: 4fin in steel metallization process. As shown in the figure, it has a substrate with a body structure of 410. Melic can be a substrate at any stage of the semiconductor process, for example, copper

400。如^1^々段具有銅著路塾(landing Pad ) 410之底才 4 i 〇之广虱矽之惰性層43〇選擇性的形成於具銅著路墊 漸戶Ί村j〇a〇上,以維護銅的電傳導特性。雙鑲嵌結構白 形成用以防止銅由銅著時至也Λ以運用本發明 4 2 0。之尨 人Ρ 墊〇擴政至;丨电層4 4 0之阻障j 灸,一孟屬層46 0形成於漸層式阻障層上,以完g400. For example, the section ^ 1 ^ 々 has a copper landing pad 410 at the bottom of 4 i 〇, and the inert layer of the wide lice silicon 43o is selectively formed on the copper landing pad in the incubator of the village 〇a〇. To maintain the electrical conductivity of copper. The double damascene structure is formed in white to prevent copper from moving from copper to Λ to apply the present invention.尨 person P pads 〇 extend the administration to; 丨 barrier layer 4 4 0 moxibustion, a mongolian layer 460 formed on the gradual barrier layer to complete g

第11頁 519721Page 11 519721

雙鑲嵌内連線。必須 460及介電層440之間 止金屬材料向外擴散 提,漸層式阻障層是包夾於金屬層 且與鋼著路墊4 1 0電性相連,以防 漸層式阻障層450是依序形成之金屬/ 鹽/金屬之複合式結構。首先 不同、、且成之金屬 其下導體結構(如此例之銅的金且屬有層7以是任何與 屬層,而且延展性較大/塾),*有良好黏著性的金 介電層時,不會因的:電常數材料作為内金屬 一丨⑽”鈦⑴ta一),鎢(tungsten):屬;如不: 組成之金屬鹽複合層貝,丨具有較佳的阻障特質,心止 ”電層材料擴散至介電層。之後形成有 好的長晶表面’以供金屬材料沉積金屬層於其丄則;^ :成的氮化组複合層(ΤΜι_χ複合層)包夾於 工:同 :乍為避免銅擴散之金屬鹽複合層日寺,钽金屬因為“: 製程容易控制,所以是做為先後形成的金u 參考第四B圖,係具有鈕/不同組成之氮化鈕/钽,即 Ta/TaxlIVxl/TaN/Tax2IVx2/Ta 之結構的漸層式阻障層45〇。 鈕金屬層與第一不同組成之氮化钽層(1^與)之 度總合,約為10至100埃(angstr〇m)之間,如第四圖子 一區域I所不。其中TaJM所含之金屬成分(Ta)隨著厚度Double mosaic interconnects. It is necessary to stop the diffusion of the metal material between the 460 and the dielectric layer 440. The gradient barrier layer is sandwiched between the metal layer and electrically connected to the steel landing pad 4 1 0 to prevent the gradient barrier layer. 450 is a metal / salt / metal composite structure formed in sequence. First of all, a different and formed metal under the conductor structure (such as copper and gold with layer 7 is any layer with a greater ductility / 塾), * a gold dielectric layer with good adhesion In time, it will not be caused by the fact that the electrical constant material is used as the internal metal (titanium ta), tungsten (tungsten): genus; if not: the metal salt composite layer, which has better barrier properties, heart The "stop" layer material diffuses into the dielectric layer. Afterwards, a good crystal growth surface is formed for the metal material to deposit the metal layer on its rule; ^: the formed nitride group composite layer (TIM_χ composite layer) is sandwiched by the work: the same: the first is to avoid copper metal diffusion For the composite layer of Risi, the tantalum metal is ": the process is easy to control, so it is formed as gold u. Refer to the fourth figure B, which has a button / a different composition of nitride button / tantalum, namely Ta / TaxlIVxl / TaN / Tax2IVx2 / Ta structured barrier layer 45 °. The sum of the degree of the button metal layer and the first tantalum nitride layer (1 ^ and) of different composition is about 10 to 100 angstroms. , As shown in area I of the fourth figure, where the metal component (Ta) contained in TaJM varies with thickness

第12頁 519721 五、發明說明(8) ~—- 的增加而遞減,直到鈕與氮的比例(Ta/N)為1。亦即,Η 約是介於1到〇 · 5的遞減數。依據第四B圖上之第二區域j『 所不,氮化鈕(TaN)的厚度大約介於1〇〇至2〇〇埃之間。而 鈕金屬層與另一不同組成之氮化鈕層2)之 度總合,約為1〇〇至2 0 0埃之間,如第四B圖之第】區域ιπ 所不。其中TaJw所含之金屬成分(Ta)由鈕與氮的比例 (Ta/〃N)為1,隨著厚度的增加而遞增,直到幾乎為百分之 百的氮金屬。亦即,x2約是介於〇· 5到工的遞增數。 本I明同柃提供一種形成漸層式阻障層4 5 〇的方法。 漸層式阻障層可利用化學氣相沉積技術(cvd)或多標 (PVD)"^ ° 14 t a =鉍例,利用容易控制通入的反應氣體的特性, 可::调:鈕元素(Ta)及氮元素⑻的比例,以同位 漸二::障層。再次參考第四A圖,本發明步驟至少包'含 二具有導電結構41 〇於其内及内介電層440於其上的 ::00。如氮化石夕之惰性層43。選擇性的形成於具内介電 二^^下&,以維護導電結構的電傳導特性。然後利用傳 製程:例如,自行對,,先行懲戒層洞,Λ y /木之雙鑲嵌製程,形成雙鑲嵌 及介層洞開口。 ,、匕a 4渠 組成之金屬鹽/金/'之心:: 519721 五、發明說明(9) 雙鑲嵌圖形之底材40 0上。換句話說,利用#告彳通 應氣體的技巧,通入第一反應氣體,以形二通金入屬之層反於 内介電層440上’並覆蓋雙鑲嵌之溝渠及介層洞的側壁及 底部。然後,逐漸調整通入之第二氣體,形成複數個ι且不 同組成之金屬鹽於第一金屬層上。再形成第二金屬層^ 數個具不同組成之金屬鹽複合層上。以第一及第二金屬芦 為叙金屬’且金屬鹽組成成分為纽元素(Ta)及氮'元i素^曰) 來說明。利用對通入反應氣體的控制,第—鈕金屬層形成 於介電層440上。然後,逐漸調整通入的氮氣流量,a複數 個不同組成的氮化钽層(TaxN^)形成於第—釦金屬層上。 此外,複數個不同組成的氮化钽層(TaxNi x)可以具^類似 第四B圖的組成。亦即,逐漸增加通入的氮氣形成如 區,t的氮化鈕複合層。當钽/氮比例趨近於丨時,維持通 入氮氣的流量,直達到預設的厚度如第二區域〗丨所示。然 後,逐漸減少氮氣流量直到趨近於零,形成如第三區域…、 V 1 於的複.it層。接者’停止通入氮氣’第二钽金屬層就形 成於稷數個不同組成的氮化钽層(τ&λ_χ)上。缺後, 成一如銅金屬層導體層460於漸層式阻障 ^ 雙鑲嵌内連線結構。必須Ί450上’以形成 明,形成用以防止導體 疋,同日守也可以運用本發 440之阻障層420。 由¥體結構410擴散至介電層 於再一實施例中, 成具有金屬/不同組士’ 1用物理氣相沉積技術(PVD),形 、、 之金屬鹽/金屬之複合式結構之漸層 519721 五、發明說明(ίο) 式阻障層。物理氣相沉積技術包含,多標靶( mul ti-target )之濺鍍型的沉積技術,及金屬離子電漿技Page 12 519721 V. Description of the invention (8) ~~-and increase and decrease until the ratio of button to nitrogen (Ta / N) is 1. That is, Η is a decreasing number between 1 and 0.5. According to the second region j in the fourth diagram B, the thickness of the nitride button (TaN) is between about 100 and 200 Angstroms. The sum of the degrees of the button metal layer and another nitride button layer 2) with a different composition is about 100 to 200 angstroms, as shown in the fourth section of FIG. 4B. Among them, TaJw contains a metal component (Ta) from a button to nitrogen ratio (Ta / 〃N) of 1, which increases with increasing thickness until it is almost 100% nitrogen metal. That is, x2 is an incremental number between 0.5 and 0.5. The present invention provides a method for forming a stepped barrier layer 450. The gradient barrier layer can use chemical vapor deposition (cvd) or multi-standard (PVD) " ^ ° 14 ta = bismuth example, using the characteristics of the reactive gas that can be easily controlled, can be :: tune: button element (Ta) and nitrogen element rhenium, the ratio of the two in the same position: barrier layer. Referring to FIG. 4A again, the steps of the present invention include at least two :: 00s having a conductive structure 41 o thereon and an inner dielectric layer 440 thereon. Such as nitride stone Xi inert layer 43. It is selectively formed under the inner dielectric layer & to maintain the electrical conductivity of the conductive structure. Then use the transmission process: for example, self-pairing, first punish the layer hole, Λ y / wood double inlay process to form the double inlay and interstitial hole opening. The metal salt / gold / 'heart consisting of 4 channels: 519721 V. Description of the invention (9) Double-inlaid graphics on the substrate 400. In other words, by using the technique of #noting the corresponding gas, the first reaction gas is passed in, and the layer of the second metal is formed on the inner dielectric layer 440, and the double-inlaid trenches and vias are covered. Side walls and bottom. Then, the second gas introduced is gradually adjusted to form a plurality of metal salts with different compositions on the first metal layer. A second metal layer is formed on several metal salt composite layers having different compositions. The first and second metal reeds are used as the metal and the composition of the metal salt is a button element (Ta) and a nitrogen element. The first button metal layer is formed on the dielectric layer 440 by controlling the introduction of a reactive gas. Then, the flow of nitrogen gas is gradually adjusted, and a plurality of tantalum nitride layers (TaxN ^) of different compositions are formed on the first button metal layer. In addition, a plurality of tantalum nitride layers (TaxNi x) having different compositions may have a composition similar to that in FIG. 4B. That is, a nitrogen nitride button composite layer such as a region, t is formed by gradually increasing the nitrogen gas introduced. When the tantalum / nitrogen ratio approaches 丨, maintain the nitrogen flow rate until it reaches the preset thickness as shown in the second region. Then, the nitrogen flow rate is gradually reduced until it approaches zero, forming a complex .it layer such as the third region ..., V1. A second tantalum metal layer, which is "stopped from introducing nitrogen", is formed on several tantalum nitride layers (τ & λ_χ) of different compositions. After the defect, a copper layer-like conductive layer 460 is formed in a step-by-step barrier ^ dual-damascene interconnect structure. It must be "450" to form a conductor to prevent the conductor from dying. The same day guard can also use the barrier layer 420 of the present invention 440. Diffusion from the bulk structure 410 to the dielectric layer. In yet another embodiment, the metal / different alloys are formed using a physical vapor deposition (PVD) technique, and the shape of the metal salt / metal composite structure is gradually increasing. Layer 519721 V. Description of Invention (ίο) type barrier layer. Physical vapor deposition technology includes mul ti-target sputtering technology and metal ion plasma technology

術(ion metal plasma,IMP)。同時參考第四A圖及第五A 圖’於雙鑲嵌之溝渠及介層洞開口形成後,沉積複數個不 同組成的金屬鹽複合層。亦即,第一金屬層450a形成於介 電層4 4 0上。然後,形成複數個不同組成之金數鹽複合層 於第一金屬層上,如圖所標示之450b,450c,450d,450e 及450f。接著,形成第二金屬層450g於不同組成之金數鹽 複合層上。然後,再形成一如銅金屬層導體層4 6 〇於漸層 式阻障層4 5 0上,以形成雙鑲嵌内連線結構。(Ion metal plasma, IMP). At the same time, referring to the fourth A and the fifth A's, after the formation of the double-mosaic trench and the opening of the interstitial hole, a plurality of metal salt composite layers with different compositions are deposited. That is, the first metal layer 450a is formed on the dielectric layer 440. Then, a plurality of gold salt composite layers with different compositions are formed on the first metal layer, as shown in the figures 450b, 450c, 450d, 450e and 450f. Next, 450 g of a second metal layer was formed on a gold number salt composite layer having a different composition. Then, a copper metal layer conductor layer 460 is formed on the gradient barrier layer 450 to form a dual damascene interconnect structure.

以第一及第二金屬層為鈕金屬,且金屬鹽組成成分為 组元素(Ta)及氮元素(N)來說明。以濺鍍沉積製程,利用 第一標靶(含l〇〇%Ta),形成壁一鈕金屬層於介電層44〇上 。然後,利用第二標靶(含約85%Ta)形成第一組成9之氮化 组層(TaxLx)於第一鈕金屬層上。接著依序形成鈕含量遞 減之第二及第三組成之氮化钽層(分別含約65%及) 450c,及450d於第一組成之氮化鈕層450b之上。之後,依 序形成叙含量遞增之第四及第五組成之氮化钽層(分別含 約65%及85%Ta) 45 0e,及45 0 f於第三組成之氮二钽層45二 之上。然後,形成第二鈕金屬層4 5 0 g於第五組成之氮化鈕 層45 0 f上。然後於後續熱循環製程如金屬合金製程二内層 間的擴散將造成钽元素(T a)與氮元素(N)間較平滑的分布 曲線’而形成漸層式阻障層4 5 0, 如虛線4 7 0所示。而漸The first and second metal layers are described as button metals, and the composition of the metal salt is group element (Ta) and nitrogen element (N). In a sputtering deposition process, a first target (containing 100% Ta) is used to form a wall-button metal layer on the dielectric layer 44. Then, a second target (containing about 85% Ta) is used to form a nitride group layer (TaxLx) of the first composition 9 on the first button metal layer. Subsequently, tantalum nitride layers of second and third compositions (containing about 65% and 450) of decreasing composition, respectively, are formed in sequence 450c and 450d on the first composition of nitride nitride layer 450b. After that, the tantalum nitride layers of the fourth and fifth compositions (containing about 65% and 85% Ta, respectively) with an increasing content of 45 0e, and 45 0 f are sequentially formed in the second composition on. Then, a second button metal layer 450 g is formed on the nitride button layer 45 0 f of the fifth composition. Then diffusion in the subsequent thermal cycling process, such as the second layer of the metal alloy process, will cause a smoother distribution curve between the tantalum element (T a) and the nitrogen element (N) to form a stepwise barrier layer 4 50, such as a dotted line 4 7 0 shown. Gradually

第15頁 519721 五、發明說明(11) "一"" --- ,,阻障層的結構如第五B圖所示,其具有類似第四B圖的 f ^。必須強調的是,不同組成的氮化鈕複合層的數目, 亚非唯一且不受此實施例之5層所限。 本發明提供之漸層式阻障層具有金屬/不同組成之金 鈿=/t屬之複合式結構,例如,钽/不同組成之氮化鈕/ axNl-x/TaN/TaxN卜X/Ta)之結構,可取代傳統的阻障 用於銅金屬化製程。漸層式阻障層的優點包括,容易 !制的製程條件,介層洞與著路金屬間較強的黏著性,較 佳的階梯覆蓋力,以及較不脆(延展性較大)不易斷裂。 以上所述僅為本發明之較佳實施例而已,並非用以限 定本發明之申請專利範圍;凡其它未脫離本發明所揭示之 精神下所完成之等效改變或修飾,均應包含在下述之申請 專利範圍内。 Θ 519721 圖式簡單說明 本發明的目的、特性和優點從下列的詳細敘述和附圖 。 說明可明顯看出: — 第一圖係傳統阻偉層避免銅擴散之橫切面圖; 第二圖係傳統阻障層於介層洞底部與接觸金屬間黏著 性問題之橫切面圖; 第三圖係傳統阻障層利用氬先行處理技術,所產生的 副效應之橫切面圖, ^ 第四A圖係本發明形成漸層式阻障層之橫切面圖; 第四B圖係本發明一實施例之漸層式阻障層結構之橫 切面圖; 第五A圖係本發明另一實施例之漸層式阻障層結構之 橫切面圖;及 第五B圖係本發明第五A圖經熱循環處理後之漸層式阻 障層結構之橫切面圖。 主要部份之代表符號: 100 底材Page 15 519721 V. Description of the invention (11) " 一 " ---, the structure of the barrier layer is shown in FIG. 5B, which has f ^ similar to that in FIG. 4B. It must be emphasized that the number of nitrided button composite layers with different compositions is unique in Asia and Africa and is not limited by the five layers in this embodiment. The gradient barrier layer provided by the present invention has a metal / metal composite of different composition = / t composite structure, for example, tantalum / nitride button of different composition / axNl-x / TaN / TaxN (X / Ta) The structure can replace the traditional barrier for copper metallization process. The advantages of the incremental barrier include: easy! Manufacturing process conditions, strong adhesion between the interlayer hole and the landing metal, better step coverage, and less brittle (large ductility) difficult to break . The above are merely preferred embodiments of the present invention, and are not intended to limit the scope of patent application for the present invention; all other equivalent changes or modifications made without departing from the spirit disclosed by the present invention shall be included in the following Within the scope of patent application. Θ 519721 BRIEF DESCRIPTION OF THE DRAWINGS The objects, features, and advantages of the present invention are detailed from the following detailed description and drawings. The description clearly shows: — The first picture is a cross-sectional view of the traditional barrier layer to avoid copper diffusion; the second picture is a cross-sectional view of the adhesion problem between the traditional barrier layer at the bottom of the via and the contact metal; the third This is a cross-sectional view of the side effect of the traditional barrier layer using argon pre-processing technology. ^ Figure A is a cross-sectional view of the present invention forming a progressive barrier layer; Figure B is a first view of the present invention. A cross-sectional view of a gradient barrier structure of an embodiment; FIG. 5A is a cross-sectional view of a gradient barrier structure of another embodiment of the present invention; and a fifth B is a fifth A of the present invention Figure is a cross-sectional view of a gradient barrier structure after thermal cycling. The main part of the symbol: 100 substrate

第17頁 519721 圖式簡單說明 102 銅著路塾 104 氮化矽層 106 氧化型介電層 108 氮化钽層 110 组金屬層 112 銅金屬層 210 低介電常數材料之介電層 212 氮化钽與銅間的介面分離 310 微溝渠 320 介層洞底部材料再沉積 330 溝渠薄化現象 340 溝渠底部之氮化鈕層完全去除 400 底材 410 導電結構 420 阻障層 430 惰性層 440 介電層 450 漸層式阻障層 45 0a 第一姐金屬層 45 0b 第一組成之氮化组層 45 0c 第二組成之氮化组層 45 0d 第三組成之氮化钽層 45 0e 第四組成之氮化组層 45 0 f 第五組成之氮化钽層Page 519721 Brief description of the diagram 102 Copper implantation 104 Silicon nitride layer 106 Oxidized dielectric layer 108 Tantalum nitride layer 110 Group metal layer 112 Copper metal layer 210 Dielectric layer of low dielectric constant material 212 Nitriding Interface separation between tantalum and copper 310 Micro-ditch 320 Re-deposition of the material at the bottom of the via 330 330 Thinning of the trench 340 Nitrogen button layer at the bottom of the trench is completely removed 400 Substrate 410 Conductive structure 420 Barrier layer 430 Inert layer 440 Dielectric layer 450 Gradual barrier layer 45 0a First metal layer 45 0b First nitride group layer 45 0c Second nitride group layer 45 0d Third nitride layer 45 0e Fourth group Nitriding group layer 45 0 f Tantalum nitride layer of fifth composition

第18頁 519721Page 18 519721

第19頁Page 19

Claims (1)

519721 六、申請專利範圍 . 1. 一種漸層式阻障層,其結構包含: 第一金屬層; 複數個不同組成之一金屬鹽複合層;及 第二金屬層。 2. 如申請專利範圍第1項之漸層式阻障層結構,其中上述 該第一金屬層係由下列所選出,一组金屬層,一鈦金屬層 ,及一鶴金屬層。 3. 如申請專利範圍第1項之漸層式阻障層結構,其中上述 形成該金屬鹽至少包含鈕元素(Ta)及氮元素(N)。 4. 如申請專利範圍第3項之漸層式阻障層結構,其中上述 該複數個不同組成之該金屬鹽複合層至少包含,複數個不 同組成之一氮化组複合層(T ax Ν1-χ),其中组元素含量(X)於 約為0. 5到1之間變化。 5. 如申請專利範圍第3項之漸層式阻障層結構,其中上述 該複數個不同組成之該金屬鹽複合層至少包含,複數個 Taxl lVxl層,一氮化组層,及複數個Tax2 Ni_x2層,其中X1遞 減於約1到0. 5之間,x2遞增於約為0. 5到1之間。 6.如申請專利範圍第5項之漸層式阻障層結構,其中上述 該第一金屬層為一组金屬層,且該组金屬層與該複數個519721 6. Scope of patent application 1. A step-type barrier layer having a structure including: a first metal layer; a metal salt composite layer of a plurality of different compositions; and a second metal layer. 2. For example, the gradient barrier structure of the first patent application range, wherein the first metal layer is selected from the following, a group of metal layers, a titanium metal layer, and a crane metal layer. 3. The gradient barrier structure of item 1 in the scope of the patent application, wherein the metal salt formed above includes at least a button element (Ta) and a nitrogen element (N). 4. For example, the gradient barrier structure of the patent application No. 3, wherein the metal salt composite layer of the plurality of different compositions includes at least, a nitride group composite layer (T ax Ν1- χ), wherein the group element content (X) varies between about 0.5 to 1. 5. For example, the gradient barrier structure of item 3 of the patent application scope, wherein the plurality of metal salt composite layers of different composition mentioned above include at least, a plurality of Taxl lVxl layers, a nitride group layer, and a plurality of Tax2 5_1 之间。 Ni_x2 layer, where X1 decreases between about 1 to 0.5, and x2 increases between about 0.5 to 1. 6. The gradient barrier layer structure according to item 5 of the patent application scope, wherein the first metal layer is a group of metal layers, and the group of metal layers and the plurality of metal layers are 第20頁 519721 六、申請專利範圍 第一金屬層 1 2.如申請專利範圍第11項之形成一漸層式阻障層於一底 材的方法,其中上述該形成該複數個不同組成之該金屬鹽 複合層之步驟至少包含,以通入不同流量之一第二反應氣 體,與該第一反應氣體反應,以形成該複數個不同組成之 該金屬鹽複合層。 1 3.如申請專利範圍第1 2項之形成一漸層式阻障層於一底 材的方法,其中上述該形成該第二金屬層之步驟至少包 含,停止通入該第二反應氣體,利用該第一反應氣體,以 形成該第二金屬層。 1 4.如申請專利範圍第1 0項之形成一漸層式阻障層於一底 材的方法,其中上述該第一金属層是一组金屬層。 1 5.如申請專利範圍第1 4項之形成形成一漸層式阻障層於 一底材的方法,其中上述該形成該複數個不同組成之該金 屬鹽複合層之步驟至少包含,形成複數個不同組成之一氮 化钽複合層(TaxU,其中鈕元素含量(X)於約為0·5到1 之間變化。 1 6.如申請專利範圍第1 5項之形成一漸層式阻障層於一底Page 20 519721 VI. Patent application scope First metal layer 1 2. The method of forming a gradient barrier layer on a substrate as described in item 11 of the patent application scope, wherein the above-mentioned formation of the plurality of different composition of the The step of the metal salt composite layer at least includes passing a second reaction gas with a different flow rate and reacting with the first reaction gas to form the metal salt composite layers with different compositions. 1 3. The method for forming a gradient barrier layer on a substrate according to item 12 of the scope of patent application, wherein the step of forming the second metal layer at least includes stopping the introduction of the second reaction gas, The first reaction gas is used to form the second metal layer. 14. The method for forming a gradient barrier layer on a substrate according to item 10 of the scope of patent application, wherein the first metal layer is a group of metal layers. 1 5. The method for forming a gradient barrier layer on a substrate according to item 14 of the scope of patent application, wherein the above-mentioned step of forming the metal salt composite layer of the plurality of different compositions includes at least, forming a plurality of One of the different compositions is a tantalum nitride composite layer (TaxU, in which the button element content (X) varies from about 0.5 to 1. 1) According to the scope of patent application No. 15, a stepwise resistance is formed. Barrier layer 第22頁 519721 六、申請專利範圍 材的方法,其中上述該形成該複數個不同組成之該金屬鹽 複合層之步驟至少包含: 其中X1約 其中χ2約 形成一第一組成之該氮化鈕層(T〜u 小於1,且約大於〇. 5 ; 形成一第二組成之該氮化鈕層(TaN);及 形成一第三組成之該氮化鈕層(), 大於為Ο · 5,且約小於1。 17.如申請專利範圍第10項之形成一漸層式阻障 材的方法,更包含執行一熱循環製程。 18. —種形成一漸層式阻障層於一底材的方法,其步驟包 含: 形成一第一鈕金屬層於該底材上; 形成複數個不同組成之一第一氮化钽複合層( Τ3χΛ_χ1)於該第一钽金屬層上,其中χ1遞減於約工到〇 5之 間; * ^形成一氮化鈕層於該複數個不同組成之該第一氮化鈕 複合層; - 形成複數個不同組成之一 Tax2IVx2)於該氮化钽金屬層上 之間;及 弟—鼠化组複合層( 其中X 2遞增於約為〇 · 5到1 同組成之該第二氮化 形成一第二金屬層於該複數個不 钽複合層上。Page 519721 6. The method for applying for a patent material, wherein the above-mentioned step of forming the metal salt composite layer of the plurality of different compositions includes at least: wherein X1 is about χ2 is about χ2 to form a first composition of the nitride button layer (T ~ u is less than 1, and about greater than 0.5; forming a nitride button layer (TaN) of a second composition; and forming a nitride button layer () of a third composition, greater than 0 · 5, It is less than about 1. 17. The method for forming a gradient barrier material according to item 10 of the scope of patent application, further includes performing a thermal cycle process. 18. A method for forming a gradient barrier layer on a substrate The method comprises the steps of: forming a first button metal layer on the substrate; forming a first tantalum nitride composite layer (T3χΛ_χ1) of a plurality of different compositions on the first tantalum metal layer, where χ1 decreases gradually Between about 0 and 5; * ^ forming a nitride button layer on the first nitride button composite layer of the plurality of different compositions;-forming one of a plurality of different compositions Tax2IVx2) on the tantalum nitride metal layer Between; and the brother-rat group composite layer (where X 2 passes · At about 5-1 square is formed with a second metal of the second nitride layer on the plurality of tantalum is not a composite layer.
TW90130301A 2001-12-07 2001-12-07 Gradient barrier for copper back-end-of-line technology TW519721B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW90130301A TW519721B (en) 2001-12-07 2001-12-07 Gradient barrier for copper back-end-of-line technology

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW90130301A TW519721B (en) 2001-12-07 2001-12-07 Gradient barrier for copper back-end-of-line technology

Publications (1)

Publication Number Publication Date
TW519721B true TW519721B (en) 2003-02-01

Family

ID=27801605

Family Applications (1)

Application Number Title Priority Date Filing Date
TW90130301A TW519721B (en) 2001-12-07 2001-12-07 Gradient barrier for copper back-end-of-line technology

Country Status (1)

Country Link
TW (1) TW519721B (en)

Similar Documents

Publication Publication Date Title
US7893535B2 (en) Semiconductor device and method for fabricating the same
US6943111B2 (en) Barrier free copper interconnect by multi-layer copper seed
US6306732B1 (en) Method and apparatus for simultaneously improving the electromigration reliability and resistance of damascene vias using a controlled diffusivity barrier
US7790617B2 (en) Formation of metal silicide layer over copper interconnect for reliability enhancement
US6506668B1 (en) Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
US7378338B2 (en) Method of forming an interconnect structure diffusion barrier with high nitrogen content
TWI260740B (en) Semiconductor device with low-resistance inlaid copper/barrier interconnects and method for manufacturing the same
JP2006066930A (en) Soft metal conductor and method of forming it
TW200929435A (en) Integrated circuit structures and methods for forming the same
JPH0653163A (en) Integrated-circuit barrier structure and its manufacture
TWI283444B (en) Method for manufacturing semiconductor device
US20140374907A1 (en) Ultra-thin copper seed layer for electroplating into small features
US20050277292A1 (en) Method for fabricating low resistivity barrier for copper interconnect
US20080156636A1 (en) Homogeneous Copper Interconnects for BEOL
CN100530565C (en) Semiconductor device and method for manufacturing same
TW519721B (en) Gradient barrier for copper back-end-of-line technology
US20140264865A1 (en) Semiconductor device and manufacturing method thereof
US6724087B1 (en) Laminated conductive lines and methods of forming the same
US7067917B2 (en) Gradient barrier layer for copper back-end-of-line technology
JPH11102909A (en) Forming method of copper alloy wiring
JP2003109956A (en) Semiconductor device and its manufacturing method
US20090001579A1 (en) Multi-layered metal line having an improved diffusion barrier of a semiconductor device and method for forming the same
TWI323497B (en) Method of fabricating a dual-damascene copper structure
TW200406042A (en) Method of reducing voiding in copper interconnects with copper alloys in the seed layer
US20030017696A1 (en) Method for improving capability of metal filling in deep trench

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent