TW441035B - Fabrication method of bitline contact for capacitor under bitline embedded DRAM - Google Patents

Fabrication method of bitline contact for capacitor under bitline embedded DRAM Download PDF

Info

Publication number
TW441035B
TW441035B TW89105997A TW89105997A TW441035B TW 441035 B TW441035 B TW 441035B TW 89105997 A TW89105997 A TW 89105997A TW 89105997 A TW89105997 A TW 89105997A TW 441035 B TW441035 B TW 441035B
Authority
TW
Taiwan
Prior art keywords
dielectric layer
layer
bit
bit line
patent application
Prior art date
Application number
TW89105997A
Other languages
Chinese (zh)
Inventor
Guo-Chiuan Tzeng
Tze-Liang Ying
Wen-Chiuan Jiang
Min-Shiung Jiang
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW89105997A priority Critical patent/TW441035B/en
Application granted granted Critical
Publication of TW441035B publication Critical patent/TW441035B/en

Links

Abstract

The present invention comprises etching the first dielectric layer to form a through hole followed by depositing silicon material on the surface of the through hole, polished by chemical mechanical polishing so that the silicon material in the through hole is remained. Then form a photoresist pattern to protect the dielectric layer region of the predetermined memory cell electrode plate, etch the first dielectric layer unprotected by the photoresist pattern, and remove the photoresist pattern, the next step is to form a capacitive dielectric layer on the surface of the silicon material, form a polysilicon layer covering the capacitive dielectric layer, then form the second dielectric layer on the surface of the polysilicon layer, coat photoresist on the polysilicon layer, etch part of the photoresist to expose the second dielectric layer on the upper surface of the polysilicon layer, then remove the exposed second dielectric layer, oxidize the upper surface of the polysilicon layer to form an oxide layer on it, etch anisotropically the second dielectric layer and the polysilicon layer to define the capacitor, form the third dielectric layer to cover the capacitor, form the bitline contact through hole and memory cell electrode plate in the third dielectric layer, the conductive pattern is formed on the third dielectric layer and is aligned to the bitline contact through hole and memory cell electrode plate through hole.

Description

五、發明說明(1) 發明領域: 本發明與一種半導體製程之動態隨機存取記憶體 (D R A Μ )有關’特別疋一種问进度位元線下電容(capacitor under bit line; CUB)埋入式動態隨機存取記憶體 (embedded DR AM)之製作方法。 發明背景: 動態隨機存取記憶體(dynamic random access memory; DR AM)是一種主要之揮發性(v〇iatile)記憶體。 高密度之動態隨機存取記憶體(DRAM)在積體電路技術上已 有重大之進展,記憶胞通常由電容器與電晶體所構成,電 晶體之換雜區域與電容之一端連接,電容之另一端則與參 考電位連接,因此製造DRAM記憶胞包含了電晶體與電容之 製程’藉由電容器與源極區之電性接觸,數位資訊儲存在 電容器並藉金氧半場效電晶體、位元線(bit line)、字語 線(word 1 ine)陣列來取得電容器之數位資料。在元件縮 小下以提而積集度而使得電容之表面積減少,為使電容性 能不會降低之電容製程方法與結構是電容技術開發所努力 之方向。 一般典型之動態隨機存取記憶體是於半導體之基板 上製造金氧半場效電晶體(M0SFET)與電容器,利用接觸窗 來連接電容器之電荷儲存電極(storage node)與金氡半場V. Description of the invention (1) Field of the invention: The present invention relates to a dynamic random access memory (DRA M) of a semiconductor process. In particular, it is a type of embedded capacitor under the bit line (CUB) Manufacturing method of dynamic random access memory (embedded DR AM). BACKGROUND OF THE INVENTION: Dynamic random access memory (DR AM) is a main volatile memory. High-density dynamic random access memory (DRAM) has made significant progress in integrated circuit technology. The memory cell is usually composed of a capacitor and a transistor. The replacement region of the transistor is connected to one end of the capacitor. One end is connected to the reference potential, so the process of manufacturing DRAM memory cells includes transistors and capacitors. 'Electrical contact between the capacitor and the source region, digital information is stored in the capacitor, and metal-oxygen half field effect transistors and bit lines are used. (Bit line), word line (word 1 ine) array to obtain the digital data of the capacitor. Under the shrinkage of the components, the surface area of the capacitor is reduced in order to increase the degree of accumulation. The method and structure of the capacitor manufacturing process so that the capacitive performance does not decrease is the development direction of the capacitor technology. Generally, a typical dynamic random access memory is to fabricate a metal-oxide-semiconductor field-effect transistor (MOSFET) and a capacitor on a semiconductor substrate. A contact window is used to connect the capacitor's storage node (storage node) and the gold field half-field.

第5頁 441 03 5 五、發明說明(2) ί Zί之源極作電性接觸。藉由電容器與源極區之電性 ;觸::::訊儲存在電容器並藉上述之電㈣、位Γ 線、予s吾線陣列取得所蚀+ DRAM藉以儲存訊號之心=貝料。上述之電容器是 女 ^ , 既之^械部份’若電容器所儲存之電荷越 多,味出放大器在讀取資料時受粒子(例如豳子)所產生 之軟I己錯(soft errors)將大大降低,更可減低,,再補充『, 之頻—般增加電容器儲存電荷能力方法有(1)尋求高 介電質之材料’使電容器單位面積之儲存電荷數增加; 2減v介電層之厚度;(3)增加電容器之表面積,使整個 儲予於電谷器之電荷數增加。一般平板型電容器為最常用 之電谷結構,為了增加元件之密度,DRAM技術傾向於將尺 寸降低,因為尺寸之降低相對的電容面積也下降而造成電 容儲存能力之減少,電容之再補充(refresh)頻率也會增 加。 為了解決上述之問題進而發展了溝渠式電容(如usPage 5 441 03 5 V. Description of the invention (2) The source of Z ί makes electrical contact. By the electrical properties of the capacitor and the source region, touch :::: The information is stored in the capacitor and the etched + DRAM array is used to obtain the etched + DRAM to store the signal heart = shell material. The above capacitors are female ^, that is, the more mechanical charges stored in capacitors, the more soft errors generated by particles (such as mules) will cause the amplifier to read data. It can be greatly reduced, and it can be reduced, and then add ", the frequency-generally increase the capacitor's charge storage capacity methods are (1) seeking high dielectric materials' to increase the number of stored charges per unit area of the capacitor; 2 reduce the v dielectric layer (3) increase the surface area of the capacitor, so that the number of charges stored in the valley device is increased. Generally, the flat capacitor is the most commonly used valley structure. In order to increase the density of components, DRAM technology tends to reduce the size. Because the reduction in size also reduces the capacitance area, the capacitance storage capacity is reduced, and the capacitance is replenished (refresh ) The frequency will also increase. In order to solve the above problems, trench capacitors (such as us

Patent No· 5’374’580 )與堆疊式電容,溝渠式電容有時 會有漏電流之現象’其次降低電容介電層之厚度也可以增 加電容儲存能力’但是基於良率及穩定性之考量此方法也 有其限制。其次’ 一種皇冠形(cr〇wn shape capacitor) 或中空柱狀結構(cylindrical structure)之電容也已被 發表’另一種具有半球形晶粒之複晶矽之位元線上電容結 構(capacitor_over-bit-line [COB] cell with a hemispherical-grain (HSG) polysilicon storagePatent No. 5'374'580) and stacked capacitors, trench capacitors sometimes have the phenomenon of leakage current. 'Secondly reducing the thickness of the dielectric layer of the capacitor can also increase the capacity of the capacitor' but based on yield and stability considerations This method also has its limitations. Secondly, 'a crown shape capacitor or a hollow cylindrical structure (cylindrical structure) capacitor has also been published' another capacitor_over-bit-capacitor structure of polycrystalline silicon with hemispherical grains line [COB] cell with a hemispherical-grain (HSG) polysilicon storage

第6頁 44103 5 五、發明說明(3) node)也已發表在文獻中,如 "A Capacitor-Over-Bit-Line Cell With Hemispherical-Grain Storge Node For 64Mb Drams", M. Sakao etc. microelectr research laboratories, N E C C o r p o r a t i ο n )。該半球形晶粒之複晶矽是以化學氣相 沈積法於非晶形轉變至晶形之相變溫度下沈積(at the transition temperature from amorphous-Si to polycrystalline-Si)。另外一種為具有半球形晶粒複晶 石夕之圓柱形電容(a cylindrical capacitor using Hemispherical-Grained Si),請參閱 "A New Cylindrical Capacitor Using Hemispherical Grained Si For 256 Mb Drains", H. Watanabe et al., Tech Dig,Dec. 1992, pp.259-262。 圖一為傳統之位元線上電容(COB)結構,在晶園1中 具有複數個絕緣區域3,在晶圓1中被區分為邏輯元件區域 以及DRAM區域,其中具有複數個電晶體9於邏輯元件區域 令’ DRAM區域中也具有電晶體U。複晶矽栓1 3位於絕緣層 15之中’位元線17形成於其上並連接於位元線上之皇冠型 電容1 9。形成位元線必須在絕緣層2 1中以微影製程定義一 接觸區域,再沈積金屬、深紫外線微影以及蝕刻製程以定 義位元線’然而上述關鍵製程之條件不易控制,若控制不 佳則會造成與後續電容之短路。基於位元線上電容(C〇B) 結構有製作的困難以及複雜。是故另有一種位元線下電容Page 6 44103 5 V. Description of invention (3) node) has also been published in the literature, such as " A Capacitor-Over-Bit-Line Cell With Hemispherical-Grain Storge Node For 64Mb Drams ", M. Sakao etc. microelectr research laboratories, NECC orporati ο n). The hemispherical grains of polycrystalline silicon are deposited by chemical vapor deposition at the transition temperature from amorphous-Si to polycrystalline-Si. The other is a cylindrical capacitor using Hemispherical-Grained Si. Please refer to " A New Cylindrical Capacitor Using Hemispherical Grained Si For 256 Mb Drains ", H. Watanabe et al ., Tech Dig, Dec. 1992, pp.259-262. Figure 1 shows the traditional bit-on-line capacitor (COB) structure. It has a plurality of insulating regions 3 in the crystal garden 1, and is divided into a logic element region and a DRAM region in the wafer 1. There are a plurality of transistors 9 in the logic. The device region has a transistor U in the DRAM region. A polycrystalline silicon plug 13 is located in the insulating layer 15 and a crown type capacitor 19 is formed on which a bit line 17 is formed and connected to the bit line. To form a bit line, a contact area must be defined by a lithography process in the insulating layer 21, and then a metal, deep ultraviolet lithography, and etching process can be used to define the bit line. However, the conditions of the above key processes are not easy to control. Will cause short circuit with subsequent capacitors. Capacitor (COB) structures based on bit lines are difficult and complex to make. So there is another kind of bit line capacitor

五、發明說明(4) (CUB)之結構發展,為了降低埋入式DRAM之製作成本,通 常將邏輯電路與DRAM製程整合’此位元線下電容之結構製 程可以簡化製程步驟並且縮小設計規則(d e s i g n r u 1 e)。 圖二所示為一種CUB結構其元件諸元大至與COB相仿,由圖 中可知,其差異處在於電容2 5位於位元線27之下,若CUB 埋入式DRAM製程使用金屬位元線,可以省下複晶矽位元線 以及 CIC(contact of bit line to SAC)模組 9 然一面,在 CUB埋入式DR AM製程中’記憶_1孓複晶矽極板邊見在^^ 窗蝕刻前先行去除。否則金屬位元線將與極板接觸短路, 上述步驟為CUB埋入式DRAM製程中關鍵且困難之步驟,因 此本發明提出一種較簡單的位元線下電容埋入式動態隨機 存取記憶體之位元線接觸之製作方法。 發明目的及概述: 本發明之目的為一種位元線下電容埋入式動態隨 取記憶體位元線接觸之製作方法。 t 、本發明之另一目的為利用側壁氮化矽暴露出電容極板 用以氡化極板之上表面’利用氮化矽、複晶矽與氧化 咼選擇性餘刻以定義電容。 本發明之再一目的為利用CUB製程省去位元線之微 及接觸區域定義之步驟以簡化製程。 本發明之步驟包含一薄氧化層(liner oxide)襯層覆案 於閘極結構以及晶圓之表面以降低應力,隨後一蝕刻阻障V. Description of the invention (4) Structural development of (CUB). In order to reduce the manufacturing cost of embedded DRAM, logic circuits and DRAM manufacturing processes are usually integrated. (Designru 1 e). Figure 2 shows a CUB structure with elements as large as COB. As can be seen from the figure, the difference is that the capacitor 25 is located below bit line 27. If the CUB embedded DRAM process uses metal bit lines , Can save the polycrystalline silicon bit line and CIC (contact of bit line to SAC) module 9 However, in the CUB embedded DR AM process, 'memory_1 孓 polycrystalline silicon plate see the side of the window ^^ Remove before etching. Otherwise, the metal bit line will be short-circuited with the electrode plate. The above steps are the key and difficult steps in the CUB embedded DRAM process. Therefore, the present invention proposes a simpler bit-line capacitor embedded dynamic random access memory. Method for making bit line contact. OBJECTS AND SUMMARY OF THE INVENTION The object of the present invention is a method for manufacturing a bit-line capacitor embedded type dynamic random access memory bit line contact manufacturing method. t. Another object of the present invention is to expose the capacitor plate by using silicon nitride on the side wall to etch the upper surface of the plate. ′ Use silicon nitride, polycrystalline silicon, and hafnium oxide to selectively define the capacitor. Another object of the present invention is to simplify the manufacturing process by using the CUB process to omit the steps of defining bit lines and defining the contact area. The steps of the present invention include coating a thin oxide oxide liner on the gate structure and the surface of the wafer to reduce stress, and then an etch barrier

第8頁 五、發明說明(5) 層再沈積於上述之襯層之上,為達到良好之蝕刻選擇比, 一做為絕緣層之介電層形成於上述之閘極結構以及晶圓之 上’ 一蝕刻阻障層接著沈積於上述膜層。之後,形成自對 準接觸(self-aligned contact; SAC)之導電栓。接續沈 積一絕緣材料例如氧化層於介電層之上,一接觸穿孔利用 微影以及蚀刻製程形成於氧化層中D半球形晶粒之矽層 (hemispherical grains silicon; HSG-Si)沈積於氧化層 之上,接下塗佈光阻於接觸穿孔之中以保護所需要之 HSG-Si區域’再利用回蝕刻或化學機械研磨技術去除氧化 層上之HSG-Si ’再去除光阻。 下一步驟為塗佈一光阻圖案以保護記憶胞極板氧化物 (node oxide) ’接續利用溼蝕刻去除被暴露之氧化層,隨 後再去除光阻圖案以形成皇冠型電容之下電極,延著 HSG-Si結構之表面沈積一介電薄膜做為電容之介電層, 一般此介電層可以利用N/〇、〇/“〇之複合薄膜或是利用高 介電之薄膜。導電層以LPCVD方式沈積於上述之介電薄膜 之上用以做為電容之電極板。隨後沈積氮化矽薄膜沿著複 ,矽極板之表面。完成電容之製作後’然後塗佈光阻覆蓋 阳圓表面使其上之氮化矽層被暴露。利用熱璃酸去除被 暴露之氮化矽層,之後再去除塗佈之光阻。由於複晶矽極 板上表面之氮化矽被去除,所以複晶矽之上表面也隨之暴 露。再利用氧化製程氧化複晶石夕上表面以形成氧化層於其 上作為絕緣。將氮化矽層以及複晶矽層利用自行對準蝕刻 ίΗPage 8 V. Description of the invention (5) The layer is re-deposited on the above-mentioned liner. In order to achieve a good etching selection ratio, a dielectric layer as an insulating layer is formed on the above-mentioned gate structure and wafer. 'An etch barrier layer is then deposited on the film layer. Then, a self-aligned contact (SAC) conductive plug is formed. An insulating material such as an oxide layer is successively deposited on the dielectric layer, and a contact via is formed on the oxide layer by lithography and etching processes to form a hemispherical grains silicon (HSG-Si) layer in the oxide layer. On top of that, a photoresist is applied in the contact hole to protect the required HSG-Si area. Then the etch-back or chemical mechanical polishing technology is used to remove the HSG-Si on the oxide layer and the photoresist is removed. The next step is to apply a photoresist pattern to protect the memory cell oxide ('node oxide'). Wet etching is used to remove the exposed oxide layer, and then the photoresist pattern is removed to form the lower electrode of the crown type capacitor. A dielectric film is deposited on the surface of the HSG-Si structure as the capacitor's dielectric layer. Generally, this dielectric layer can be a composite film of N / 〇, 〇 / “〇 or a high dielectric film. The conductive layer is The LPCVD method is deposited on the above dielectric film to be used as the electrode plate of the capacitor. Subsequently, a silicon nitride film is deposited along the surface of the silicon plate. After the capacitor is fabricated, a photoresist is applied to cover the sun circle. The silicon nitride layer on the surface is exposed. The exposed silicon nitride layer is removed by hot glacial acid, and then the coated photoresist is removed. Since the silicon nitride on the surface of the polycrystalline silicon electrode plate is removed, so The upper surface of the polycrystalline silicon is also exposed. The upper surface of the polycrystalline silicon is oxidized by an oxidation process to form an oxide layer as an insulation thereon. The silicon nitride layer and the polycrystalline silicon layer are etched by self-alignment.

國 第9頁 五、發明說明(6) 製程蝕刻以分離電容,隨後,沈積絕緣層於電容之上以作 為絕緣層。利用微影以及蝕刻技術蝕刻上述之絕緣層以形 成位元線接觸穿孔以及記憶胞極板之接觸穿孔穿透上述之 絕緣層。之後在形成導電栓如複晶矽拴於位元線接觸穿孔 以及記憶胞極板之接觸穿孔之十作為導電連線。内連線圖 案在定義於其上。 發明詳細說明: 本發明所要揭示的為一種高密度動態隨機存取記憶 體之製作方法’本發明提出一種較簡單的位元線下電容埋 入式動態隨機存取記憶體之位元線接觸之製作方法。此 外本發明中利用半球形晶粒(hemispherical grains)石夕 用以增加電容之表面積’再者本發明可以簡化CUB製程並 且不需關鍵之微影製程,本發明之方法將於下述之a 參閱圖三,半導體材料作為一基板或晶圓2,例如可以 使用曰曰向為< 1 〇 〇>之單晶矽做為本發明實施例之晶圓 隨後’隔離區域如淺溝渠式隔離區域(shallow trench 1 sol at ι〇η ; ST I )4先行利用已知之技術製作於晶圓2之China Page 9 V. Description of the invention (6) The process is etched to separate the capacitor, and then an insulating layer is deposited on the capacitor as the insulating layer. Lithography and etching techniques are used to etch the above-mentioned insulation layer to form bit line contact holes and contact holes of the memory cell plate to penetrate the above-mentioned insulation layer. After that, a conductive plug such as polycrystalline silicon is tied to the contact hole of the bit line and the contact hole of the memory cell plate is used as a conductive connection. The interconnection pattern is defined on it. Detailed description of the invention: The present invention discloses a method for manufacturing a high-density dynamic random access memory. The present invention proposes a simple bit-line contact of a bit-line capacitance-embedded dynamic random-access memory. Production Method. In addition, in the present invention, hemispherical grains are used to increase the surface area of the capacitor. Furthermore, the present invention can simplify the CUB process and does not require a key lithographic process. The method of the present invention will be referred to as a below. Figure 3. The semiconductor material is used as a substrate or a wafer 2. For example, a single crystal silicon with a direction of < 1 00 > may be used as the wafer of the embodiment of the present invention. Then, an isolation region such as a shallow trench isolation region is used. (Shallow trench 1 sol at ι〇η; ST I) 4 was first fabricated on wafer 2 using known techniques

第10頁 中。一般,淺溝渠為利用微影及蝕刻方式形成溝渠於晶圓 t中,再以化學氣相沈積之氧化層回填進入淺溝渠中。此 \ 也可以利用其它之隔離技術製作隔離區域,例如一場 化區域可以使用LOCOS或是其他相關之場氧化絕緣區域 4 4103 5 五、發明說明(7) ------ 技術形成於該晶圓2之上做為元件間之絕緣作用,一 言’可以藉由微影與蝕刻技術蝕刻氮化矽而 後再以氧化製程形成場氧化區域於晶圓2之及上乳化二複合層 以熱碟酸去除上述之氡切層,以氫1酸去除氧之層後 接者,二氧化矽層6形成於晶圓2之上做為閘極氧 層,此二氧化矽層—般為利用熱氧化法形成,製程溫声 為70 0至1 loot:之間形成厚度約5〇至2〇〇埃,當然一般=拮 術如化學氣相沈積法以7£;〇5為反應物也可以形成二氡化矽 層6仍請參閱圖一,閘極結構利用傳統之技術圖案化於 晶圓之上,閘極結構可以包含複晶矽層8沈積於二氧化矽 層6上,以一實施例而言此複晶矽層8利用化學氣相沈積法 (C VD )形成,厚度約為丨〇 〇 〇埃之間,接著一金屬矽化物例 如矽化鎢層1 〇形成於上述之複晶矽層8之上,接著,氮化 矽U護層沈積形成於閘極結構之上,然後以微影於與蝕刻 技術形成閑極結構與區域内連線如位元線。然後以離子植 入方式形成摻雜區或輕微摻雜汲極(LDD)12,上述製程為 利用昔知之技術製作而在此非本發明之重點因此不加以詳 述0 如圖三所示,一襯層例如一薄氧化層(liner 〇xide) 1 4覆蓋於間極結構以及晶圓2之表面以降低應力,隨後一 触刻阻障層1 6再沈積於上述之襯層1 4之上,為達到良好之 姓刻選擇比’可以使用氮化矽、氮氧化矽或類似功能之材On page 10. Generally, shallow trenches are formed in the wafer t by lithography and etching, and then backfilled into the shallow trenches with an oxide layer deposited by chemical vapor deposition. This \ can also use other isolation technology to make isolated areas, such as a field can use LOCOS or other related field oxidation insulation area 4 4103 5 V. Description of the invention (7) ------ Technology is formed on the crystal Above the circle 2 is used as the insulation between the components. In a word, 'lithography and etching technology can be used to etch silicon nitride and then use the oxidation process to form a field oxidation region on the wafer 2 and to emulsify the two composite layers on the hot disc. The above-mentioned cutting layer is removed by acid, followed by a layer of hydrogen 1 acid to remove oxygen, followed by a silicon dioxide layer 6 formed on wafer 2 as a gate oxygen layer. This silicon dioxide layer is generally used for thermal oxidation Method, the temperature of the process is 70 to 1 loot: the thickness of between about 50 to 200 angstroms, of course, generally = chemistries such as chemical vapor deposition method with 7 £; 〇5 as a reactant can also form two The silicon oxide layer 6 is still shown in FIG. 1. The gate structure is patterned on the wafer using conventional techniques. The gate structure may include a polycrystalline silicon layer 8 deposited on the silicon dioxide layer 6. The polycrystalline silicon layer 8 is formed by chemical vapor deposition (C VD), and the thickness is about Between 00 angstroms, a metal silicide such as a tungsten silicide layer 10 is formed on the polycrystalline silicon layer 8 described above, and then a silicon nitride U cap layer is deposited and formed on the gate structure, and then a The shadow and etching technology forms the idle electrode structure and the interconnects in the area such as bit lines. Then, doped regions or lightly doped drains (LDD) 12 are formed by ion implantation. The above process is made by a known technology and is not the focus of the present invention. Therefore, it will not be described in detail. A liner layer, such as a thin oxide layer 1 4, covers the inter-electrode structure and the surface of the wafer 2 to reduce the stress, and then a barrier layer 16 is etched and then deposited on the liner layer 4. In order to achieve a good name, choose a material that can use silicon nitride, silicon oxynitride, or similar materials.

第Π頁 4 4 彳 03 5 五、發明說明(8) --~^ 料作為蝕刻阻障層Ifi。— x , ^ lb 做為絕緣層之介電層18形成於上 述之閘極結構以及晶圓2之F* ,I、/ b k . _ 入明圆^上’以較佳實施例而言該介電 屠18為氧化物或以TE0S形成之二氧化矽。一蝕刻阻障層 1 8a接著沈積於上述TE0S上作為後續去除氧化物之阻障 層,蝕刻阻障層1 8a材質可以使用氮氧化矽。之後,利用 蝕刻與沈積技術形成自對準接觸(self_aligned contact’ SAC )之導電性栓2 〇於上述之介電層1 8之中,對 此實施例而言可以使用複晶矽栓(p〇ly plug),在此較佳 實施例中’可以利用C V D沈積於複晶矽回填進入接觸穿孔 之中’再回#刻或研磨而形成上述之複晶矽栓。以最佳實 施例而言本發明之複晶矽為摻雜之複晶矽(d〇ped polysi 1 icon)或是同步摻雜之複晶矽(in_situ d〇ped polysi1 icon)。 接續沈積一絕緣材料例如氧化層22於介電層1 8a之 上,參閱圖三,為了後績之電容可以與電晶體做電性接 觸’其中方法之一為利用一接觸穿孔(contact hole)2 4做 為連接’一接觸穿孔2 4利用微影以及蝕刻製程形成於該氧 化層22之中。半球形晶粒之石夕層(hemispherical grains si 1 icon ; HSG-Si )26沈積於氧化層22之上。接下利用一選 擇性步驟塗佈光阻(未圖示)於接觸穿孔2 4之中以保護所欲 之HSG-Si 26 ’再利用回蝕刻或化學機械研磨技術去除氧 化層22上之HSG-Si 26。隨後再去除光阻使得殘留於接觸 穿孔24之表面上。在一較佳實施例中,選擇具有半球狀發Page Π 4 4 彳 03 5 V. Description of the invention (8)-~ ^ The material is used as the etching barrier layer Ifi. — X, ^ lb The dielectric layer 18 as the insulating layer is formed on the above gate structure and F *, I, / bk of the wafer 2. _ Into the bright circle ^ on the above, the preferred embodiment of the dielectric Electrocution 18 is an oxide or silicon dioxide formed with TEOS. An etch barrier layer 18a is then deposited on the TEOS as a barrier layer for subsequent oxide removal. The etch barrier layer 18a can be made of silicon oxynitride. After that, a self-aligned contact (SAC) conductive plug 2 is formed using the etching and deposition technology in the dielectric layer 18 described above. For this embodiment, a polycrystalline silicon plug (p. ly plug), in this preferred embodiment, 'the CVD can be deposited on the polycrystalline silicon backfill into the contact perforations' and then etched or ground to form the aforementioned polycrystalline silicon plug. In the best embodiment, the polycrystalline silicon of the present invention is doped polysi 1 icon or synchronously doped polysi 1 icon. An insulating material such as an oxide layer 22 is successively deposited on the dielectric layer 18a. Referring to FIG. 3, for subsequent performance, the capacitor can be in electrical contact with the transistor. One of the methods is to use a contact hole 2 4 is used as a connection, a contact hole 2 4 is formed in the oxide layer 22 using a lithography and etching process. A hemispherical grain layer (hemispherical grains si 1 icon; HSG-Si) 26 is deposited on the oxide layer 22. Next, a selective step is used to apply a photoresist (not shown) in the contact holes 24 to protect the desired HSG-Si 26 '. Then, the etch-back or chemical mechanical polishing technology is used to remove the HSG- on the oxide layer 22- Si 26. The photoresist is subsequently removed so as to remain on the surface of the contact hole 24. In a preferred embodiment, it is selected to have hemispherical hair

第12頁 五、發明說明(9) - 晶粒(Hemi-Spherical Grain; HSG)可有效增加作為第— 儲存電極之表面積。其中具有半球狀矽晶粒之矽層形成步 驟首先為沉積矽薄膜,再形成矽晶種(nuc丨e丨)於矽薄骐 上’例如可應用含矽的氣體如SiH^ sizH舁來加以形成, 其中製程之溫度約為5 0 0 C至6 0 Ot:之間、壓力約為1 〇 — 3至 10 -5托耳之間,接著於高度真空的環境之下進行熱回火程 序以形成半球狀矽晶粒。其製程溫度約為5 0 〇°c至6 〇 〇(、 且壓力則約為1Ε(-7)至1EC-9)托耳。 ' 參閱圖四’塗佈一光阻圖案2 8用以保護記憶胞極板氣 化物(node oxide),接續利用溼蝕刻去除被暴露之氧化層 2 2,一般可以利用B0E或HF溶液蝕刻上述之氧化層22。隨 後再去除光阻圖案28’以形成皇冠型電容之下電極,氮氧 化矽1 8 a在此步驟中作為蝕刻阻障層。如圖五所示,下一 步驟為延著HSG-Si 26結構之表面沈積一介電薄膜30做為 電容之介電層,一般此介電層30可以利用N/0、0/N/0之複 合薄膜或是利用高介電之薄膜如Ta 20 5、TiO筹。導電層32 以LPCVD方式沈積於上述之介電薄膜30之上用以做為電容 之電極板,導電層3 2可以利用摻雜複晶石夕(d 〇 p e d polysilicon)、同步捧雜複晶石夕(in-situ doped polysil icon)形成。隨後沈積氮化矽薄膜34沿著複晶矽極 板3 2之表面。完成電容之製作後,然後塗佈光阻3 6覆蓋晶 圓2表面,再蝕刻部分之光阻3 6以低於複晶矽極板3 2之最 上表面’使為於其上之氮化矽層34被暴露。在本法中可以Page 12 5. Description of the invention (9)-Grain (Hemi-Spherical Grain; HSG) can effectively increase the surface area of the storage electrode. The step of forming a silicon layer with hemispherical silicon grains is to first deposit a silicon thin film, and then form a silicon seed (nuc 丨 e 丨) on the silicon thin film. For example, a silicon-containing gas such as SiH ^ sizH 舁 can be used to form The temperature of the process is about 500 C to 60 Ot: between, the pressure is about 10-3 to 10-5 Torr, and then a thermal tempering process is performed in a high vacuum environment to form Hemispherical silicon grains. The process temperature is about 500 ° C to 600 (and the pressure is about 1E (-7) to 1EC-9) Torr. 'See Figure 4' Apply a photoresist pattern 2 8 to protect the memory cell plate oxide (node oxide), and then use wet etching to remove the exposed oxide layer 2 2. Generally, the above can be etched with B0E or HF solution. Oxidized layer 22. Subsequently, the photoresist pattern 28 'is removed to form a lower electrode of a crown type capacitor, and silicon oxide 18a serves as an etching barrier layer in this step. As shown in Figure 5, the next step is to deposit a dielectric film 30 as a dielectric layer of the capacitor along the surface of the HSG-Si 26 structure. Generally, this dielectric layer 30 can use N / 0, 0 / N / 0 The composite film is also made of a high dielectric film such as Ta 20 5, TiO. The conductive layer 32 is deposited by LPCVD on the above-mentioned dielectric film 30 as an electrode plate for the capacitor. The conductive layer 32 can be doped polysilicon and synchronously doped polysilicon. The evening (in-situ doped polysil icon) is formed. A silicon nitride film 34 is then deposited along the surface of the polycrystalline silicon plate 32. After the capacitor is manufactured, photoresist 3 6 is coated to cover the surface of wafer 2 and the photoresist 36 is etched to make the silicon nitride lower than the uppermost surface of the polycrystalline silicon plate 32. The layer 34 is exposed. OK in this law

第13頁 441035 五、發明說明(ίο) 利用回蝕刻光阻之多寡來控制皇冠型電容之大小。 參閱圖六,去除被暴露之氮化矽層34,之後再去除塗 佈之光阻。一般,氮化矽層34可以利用熱磷酸溶液蚀刻去 除。其中上述之氮化矽層34為利用低壓化學氣相沈積法 (Low Pressure Chemical Vapor Deposition; LPCVD) ' 電漿增強式化學氣相沈積法(Plasma Enhance Chemical Vapor Deposition; PECVD)或高密度電漿化學氣相沈積 法(High Density Plasma Chemical Vapor Deposition; HDPCVD)形成。反應氣體可以為SiH4、NH3、N2、N20或 SiH2Cl2、NH3、N2、N20。由於複晶矽極板32上表面之氮化 矽被去除,所以複晶矽32之上表面也隨之暴露。如圖七所 示,再利用氧化製程氧化複晶矽32上表面以形成氧化層38 於其上作為絕緣,一般可以使用溼氧製程,氧化溫度介於 攝氏溫度7 0 0到9 0 0之間。以一較佳實施例而言,氧化層38 之厚度約為1 0 0到3 0 0埃,最佳約為2 0 0挨,上述之氧化溫 度約為攝氏6 5 0 - 7 0 0度。 如圖八所示,將氮化矽層3 4以及複晶矽層3 2利用自行 對準蝕刻製程蝕刻以分離電容。在此步驟中將利用氧化物 與複晶矽間具有高選擇性之蝕刻劑,以非等向性蝕刻技術 蝕刻。隨後,沈積絕緣層40如氧化層、BPSG、PSG或其它 均等功能之材料於電容之上以作為絕緣層’如圖九所示。 利用微影以及蝕刻技術蝕刻上述之絕緣層4 0以形成位元線Page 13 441035 V. Description of the Invention (ίο) Use the amount of etch-back photoresist to control the size of the crown type capacitor. Referring to FIG. 6, the exposed silicon nitride layer 34 is removed, and then the photoresist of the coating is removed. Generally, the silicon nitride layer 34 can be removed by etching with a hot phosphoric acid solution. The above-mentioned silicon nitride layer 34 is made of low pressure chemical vapor deposition (LPCVD) '' Plasma Enhance Chemical Vapor Deposition (PECVD) or high-density plasma chemistry Vapor deposition (High Density Plasma Chemical Vapor Deposition; HDPCVD). The reaction gas can be SiH4, NH3, N2, N20 or SiH2Cl2, NH3, N2, N20. Since the silicon nitride on the upper surface of the polycrystalline silicon plate 32 is removed, the upper surface of the polycrystalline silicon 32 is also exposed. As shown in Figure 7, the oxidation process is used to oxidize the upper surface of the polycrystalline silicon 32 to form an oxide layer 38 as an insulation thereon. Generally, a wet oxygen process can be used, and the oxidation temperature is between 70 ° C and 900 ° C. . In a preferred embodiment, the thickness of the oxide layer 38 is about 100 to 300 angstroms, preferably about 200 angstroms. The above-mentioned oxidation temperature is about 6500 to 700 degrees Celsius. As shown in FIG. 8, the silicon nitride layer 34 and the polycrystalline silicon layer 32 are etched using a self-aligned etching process to separate the capacitors. In this step, an anisotropic etching technique is used to etch a highly selective etchant between oxide and polycrystalline silicon. Subsequently, an insulating layer 40, such as an oxide layer, BPSG, PSG, or other equivalent functional material, is deposited on the capacitor as an insulating layer 'as shown in FIG. The above-mentioned insulating layer 40 is etched by lithography and etching techniques to form bit lines

第14頁 4 4 103 5 五、發明說明(11) 接觸穿孔4 2以及記憶胞極板之接觸穿孔4 4穿透上述之絕緣 層4 0。之後在形成導電栓如複晶矽拴於位元線接觸穿孔4 2 以及記憶胞極板之接觸穿孔4 4之中作為導電連線。内連線 圖案4 6利用濺鍍或其它相關已知之方法形成於絕緣層40之 上,再接續利用圖案化相關製程如微影與蝕刻將其定義於 位元線接觸穿孔4 2以及記憶胞極板之接觸穿孔4 4之上。 在本發明中,為積集度之考量,本發明因為可以縮小 位元線接觸與記憶胞極板間之距離而製程上較有彈性。在 不需關鍵性之微影製程下,本發明利用整個皇冠型電極之 浸泡回蝕刻用以增進縮小之空間(皇冠型之大小以及高 度)。此外,在本方法中對於位元線而言,記憶胞複晶矽 之側壁具有氮化矽層保護。 本發明以較佳實施例說明如上,而熟悉此領域技藝 者,在不脫離本發明之精神範圍内,當可作些許更動潤 飾,例如高選擇性蝕刻之材料組合並不局限於本發明實施 例之介電質材質,皇冠型之組成材質為導電性材質並不侷 限於本發明之HSG-Si,其專利保護範圍更當視後附之申請 專利範圍及其等同領域而定。Page 14 4 4 103 5 V. Description of the invention (11) The contact hole 4 2 and the contact hole 4 4 of the memory cell plate penetrate the aforementioned insulating layer 40. Then, a conductive plug such as polycrystalline silicon is tethered into the bit line contact hole 4 2 and the contact hole 44 of the memory cell plate as a conductive connection. The interconnect pattern 46 is formed on the insulating layer 40 by sputtering or other related methods, and then it is defined by the pattern-related processes such as lithography and etching at the bit line contact hole 4 2 and the memory cell. The contact holes of the board are above 4 4. In the present invention, in order to consider the degree of accumulation, the present invention is more flexible in process because it can reduce the distance between the bit line contact and the memory cell plate. In the absence of a critical lithography process, the present invention utilizes the immersion etch-back of the entire crown-type electrode to enhance the reduced space (the size and height of the crown-type). In addition, in the method, for the bit line, the sidewall of the memory cell polycrystalline silicon is protected by a silicon nitride layer. The present invention has been described above with reference to the preferred embodiments, and those skilled in the art will be able to make some minor modifications without departing from the spirit of the present invention. For example, the combination of materials for highly selective etching is not limited to the embodiments of the present invention. The dielectric material and the crown type of the conductive material are not limited to the HSG-Si of the present invention. The scope of patent protection depends on the scope of the attached patent application and its equivalent fields.

第15頁 圖式簡單說明 圖示說明: 本發明的較佳實施例將於往後之說明文字中輔以下列圖形 做更詳細的闡述: 圖一為COB結構之截面圖。 圖二為CUB結構之截面圖。 圖三所示為本發明形成皇冠型電容下電極步驟之半導體晶 圓截面圖。 圖四所示為本發明去除氧化層步驟之半導體晶圓載面圖。 圖五所示為本發明形成皇冠型電容介電層以及上電極步驟 之半導體晶圓截面圖。 圖六所示為本發明蝕刻氮化矽用以暴露電容極板步驟之半 導體晶圓截面圖。 圖七所示為本發明氧化電容極板上表面步驟之半導體晶圓 截面圖。 圖八所示為本發明利用高選擇性蝕刻用以分離電容步驟之 半導體晶圓截面圖。 圖九所示為本發明形成位元線步驟之半導體晶圓截面圖。Page 15 Brief Description of Drawings Schematic description: The preferred embodiment of the present invention will be explained in more detail in the following explanatory texts with the following figures: Figure 1 is a cross-sectional view of the COB structure. Figure 2 is a cross-sectional view of the CUB structure. FIG. 3 is a cross-sectional view of a semiconductor wafer in a step of forming a lower electrode of a crown type capacitor according to the present invention. FIG. 4 is a cross-sectional view of a semiconductor wafer in the step of removing an oxide layer according to the present invention. FIG. 5 is a cross-sectional view of a semiconductor wafer in the step of forming a crown-type capacitor dielectric layer and an upper electrode according to the present invention. FIG. 6 is a cross-sectional view of a semiconductor wafer in the step of etching silicon nitride to expose a capacitor plate according to the present invention. FIG. 7 is a cross-sectional view of a semiconductor wafer on the surface step of an oxidizing capacitor plate according to the present invention. FIG. 8 is a cross-sectional view of a semiconductor wafer according to the present invention using a highly selective etching to separate capacitor steps. FIG. 9 is a cross-sectional view of a semiconductor wafer in the step of forming a bit line according to the present invention.

第16頁Page 16

Claims (1)

441035 六、申請專利範圍 1. 一種位元線下電容埋入式動態隨機存取記憶體位元線接 觸之製作方法,該方法包含: 形成第一介電層於晶圓之上; 蝕刻該第一介電層以形成穿孔於該第一介電層之中; 沈積矽材質於該穿孔之表面; 形成光阻圖案以保護預定之記憶胞極板介電層區域; 蝕刻未被該光阻圖案保護之該第一介電層; 去除該光阻圖案; 形成電容介電層於該矽材質之表面; 形成複晶矽層覆蓋該電容介電層: 形成第二介電層於該複晶矽層之表面; 塗佈光阻於該複晶矽層之上; 蝕刻部分之該光阻用以暴露位於該複晶矽層上表面之該第 二介電層; 去除該被暴露之第二介電層; 去除該光阻,殘留之該第二介電層位於該複晶矽層之側避 上以暴露該複晶矽之上表面; 氧化該複晶矽之上表面以形成氧化物層於其上; 非等向性蝕刻該第二介電層以及該複晶矽層以定義電容; 形成第三介電層以覆蓋該電容; 形成位元線接觸穿孔以及記憶胞極板穿孔於第三介電層之 中; 形成第一導電栓於該位元線接觸穿孔以及該記憶胞極板穿 孔之中;及441035 VI. Application Patent Scope 1. A method for manufacturing bit line contact of a capacitor embedded in a bit line dynamic random access memory, the method comprising: forming a first dielectric layer on a wafer; and etching the first dielectric layer A dielectric layer is formed to form a perforation in the first dielectric layer; a silicon material is deposited on the surface of the perforation; a photoresist pattern is formed to protect a predetermined area of the dielectric layer of the memory cell plate; the etching is not protected by the photoresist pattern The first dielectric layer; removing the photoresist pattern; forming a capacitor dielectric layer on the surface of the silicon material; forming a polycrystalline silicon layer to cover the capacitor dielectric layer: forming a second dielectric layer on the polycrystalline silicon layer Coating the photoresist on the polycrystalline silicon layer; etching the part of the photoresist to expose the second dielectric layer on the upper surface of the polycrystalline silicon layer; removing the exposed second dielectric Layer; removing the photoresist, the remaining second dielectric layer is located on the side of the polycrystalline silicon layer to expose the upper surface of the polycrystalline silicon; oxidizing the upper surface of the polycrystalline silicon to form an oxide layer on the layer On; the second dielectric is anisotropically etched Layer and the polycrystalline silicon layer to define a capacitor; forming a third dielectric layer to cover the capacitor; forming a bit line contact via and a memory cell plate perforating in the third dielectric layer; forming a first conductive plug on the Bit line contact perforations and perforations of the memory cell plate; and 第17頁Page 17 六、申請專利範圍 形成導電圖案於第三介電層之上且對準於該位元線接觸穿 孔及該記憶胞極板穿孔。 2 .如申請專利範圍第1項之位元線下電容埋入式動態隨機 存取記憶體位元線接觸之製作方法,在形成上述之第一介 電層之前更包下列步驟: 形成複數個電晶體於該晶圓之上, 形成第四介電層於該複數個電晶體之上;及 形成第二導電栓於該第四介電層之中,其中該穿孔對應於 該第二導電栓。 3. 如申請專利範圍第1項之位元線下電容埋入式動態隨機 存取記憶體位元線接觸之製作方法,其中上述之矽材質係 包含半球形晶粒矽。 4. 如申請專利範圍第1項之位元線下電容埋入式動態隨機 存取記憶體位元線接觸之製作方法,其中上述之第一介電 層包含氧化物層。 5. 如申請專利範圍第4項之位元線下電容埋入式動態隨機 存取記憶體位元線接觸之製作方法,其中上述之第一介電 層系利用BOE溶液去除。 6. 如申請專利範圍第4項之位元線下電容埋入式動態隨機6. Scope of patent application: A conductive pattern is formed on the third dielectric layer and is aligned with the bit line contact hole and the memory cell plate through hole. 2. According to the method for making bit line embedded capacitors of dynamic line random access memory for bit line under item 1 of the scope of patent application, before forming the above first dielectric layer, the method further includes the following steps: A crystal is formed on the wafer to form a fourth dielectric layer on the plurality of transistors; and a second conductive plug is formed in the fourth dielectric layer, wherein the perforation corresponds to the second conductive plug. 3. For the manufacturing method of bit line contact for embedded bit-line dynamic random access memory of bit-line capacitor in item 1 of the patent application, the above-mentioned silicon material includes hemispherical grain silicon. 4. The manufacturing method of bit line contact of embedded bit-type dynamic random access memory for bit line under item 1 of the scope of patent application, wherein said first dielectric layer includes an oxide layer. 5. For the manufacturing method of bit line contact of embedded bit-type dynamic random access memory for bit-line capacitors under item 4 of the patent application, wherein the above-mentioned first dielectric layer is removed using a BOE solution. 6. If the bit-line capacitor embedded dynamic random is applied for item 4 in the scope of patent application 第18頁 六、申請專利範圍 存取記憶體位元線接觸之製作方法,其中上述之第一介電 層系利用HF溶液去除。 7. 如申請專利範圍第1項之位元線下電容埋入式動態隨機 存取記憶體位元線接觸之製作方法,其中上述之第二介電 層包含氣化物層。 8. 如申請專利範圍第7項之位元線下電容埋入式動態隨機 存取記憶體位元線接觸之製作方法,其中上述之第二介電 層条利用熱磷酸溶液去除。 9. 如申請專利範圍第1項之位元線下電容埋入式動態隨機 存取記憶體位元線接觸之製作方法,其中上述之第三介電 層包含氧化物層。 1 0.如申請專利範圍第2項之位元線下電容埋入式動態隨機 存取記憶體位元線接觸之製作方法,其中上述之第四介電 層包含氧化物層。 11.如申請專利範圍第4項之位元線下電容埋入式動態隨機 存取記憶體位元線接觸之製作方法,其中上述之非等向性 蝕刻之蝕刻劑為具有氧化物與複晶矽間之高蝕刻選擇性。 1 2.如申請專利範圍第1項之位元線下電容埋入式動態隨機Page 18 6. Scope of Patent Application The manufacturing method of bit line contact of access memory, in which the first dielectric layer is removed by HF solution. 7. The manufacturing method of bit line contact of embedded bit-line dynamic random access memory for bit line capacitors under item 1 of the scope of patent application, wherein said second dielectric layer includes a vaporized layer. 8. For the manufacturing method of bit line contact of embedded bit-type dynamic random access memory for bit line under item 7 of the scope of patent application, wherein the second dielectric layer strip is removed by using hot phosphoric acid solution. 9. The manufacturing method of bit line contact of embedded bit-type dynamic random access memory for bit line under item 1 of the scope of patent application, wherein said third dielectric layer includes an oxide layer. 10. The manufacturing method of bit line contact of embedded bit-type dynamic random access memory of bit-line capacitor according to item 2 of the patent application, wherein said fourth dielectric layer includes an oxide layer. 11. The manufacturing method for bit line contact of embedded bit-type dynamic random access memory bit line capacitors under item 4 of the scope of patent application, wherein the etchant of the above anisotropic etching is an oxide with polycrystalline silicon High etch selectivity. 1 2. If the bit-line offline capacitor is embedded in the patent application, the item is dynamic and random. 第19頁 4 41 03 5 六、申請專利範圍 存取記憶體位元線接觸之製作方法,其中上述之氧化物層 之厚度約為1 0 0至3 0 0埃。 1 3 .如申請專利範圍第1 2項之位元線下電容埋入式動態隨 機存取記憶體位元線接觸之製作方法,其中上述之氧化物 層之厚度約為2 0 0埃。 1 4.如申請專利範圍第1項之方法,其中上述之氧化溫度約 為攝氏650-70 0度。 1 5 .如申請專利範圍第1項之方法,其中上述之氮化矽層為 利用低壓化學氣相沈積法(Low Pressure Chemical Vapor Deposition; LPCVD)、電漿增強式化學氣相沈積法 (Plasma Enhance Chemical Vapor Deposition; PECVD) 或高密度電漿化學氣相沈積法(High Density Plasma Chemical Vapor Deposition; HDPCVD)形成。 1 6 .如申請專利範圍第1 5項之方法,其中上述之反應氣體 為 SiH4' ΝΗ3、 Ν2、 Μ。 1 7 .如申請專利範圍第1 5項之方法,其中上述之反應氣體 為 SiH2Cl 2、 ΝΗ3、 Ν2、 Μ。Page 19 4 41 03 5 VI. Scope of patent application Manufacturing method for accessing memory bit lines, wherein the thickness of the above oxide layer is about 100 to 300 angstroms. 13. The manufacturing method of bit line contact for embedded bit-line dynamic random access memory of bit-line capacitors according to item 12 of the patent application, wherein the thickness of the above oxide layer is about 200 angstroms. 14. The method according to item 1 of the scope of patent application, wherein the above-mentioned oxidation temperature is about 650-70 ° C. 15. The method according to item 1 of the scope of patent application, wherein the silicon nitride layer is a low pressure chemical vapor deposition (LPCVD) method or a plasma enhanced chemical vapor deposition method (Plasma Enhance). Chemical Vapor Deposition (PECVD) or High Density Plasma Chemical Vapor Deposition (HDPCVD). 16. The method according to item 15 of the scope of patent application, wherein the above-mentioned reaction gas is SiH4 'Ν3, Ν2, Μ. 17. The method according to item 15 of the scope of patent application, wherein the above-mentioned reaction gas is SiH2Cl2, Ν3, Ν2, Μ. 第20頁Page 20
TW89105997A 2000-03-31 2000-03-31 Fabrication method of bitline contact for capacitor under bitline embedded DRAM TW441035B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW89105997A TW441035B (en) 2000-03-31 2000-03-31 Fabrication method of bitline contact for capacitor under bitline embedded DRAM

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW89105997A TW441035B (en) 2000-03-31 2000-03-31 Fabrication method of bitline contact for capacitor under bitline embedded DRAM

Publications (1)

Publication Number Publication Date
TW441035B true TW441035B (en) 2001-06-16

Family

ID=21659268

Family Applications (1)

Application Number Title Priority Date Filing Date
TW89105997A TW441035B (en) 2000-03-31 2000-03-31 Fabrication method of bitline contact for capacitor under bitline embedded DRAM

Country Status (1)

Country Link
TW (1) TW441035B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI756667B (en) * 2020-02-25 2022-03-01 南亞科技股份有限公司 Semiconductor device and manufacturing method thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI756667B (en) * 2020-02-25 2022-03-01 南亞科技股份有限公司 Semiconductor device and manufacturing method thereof

Similar Documents

Publication Publication Date Title
US5907782A (en) Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6114201A (en) Method of manufacturing a multiple fin-shaped capacitor for high density DRAMs
US6703273B2 (en) Aggressive capacitor array cell layout for narrow diameter DRAM trench capacitor structures via SOI technology
US6136643A (en) Method for fabricating capacitor-over-bit-line dynamic random access memory (DRAM) using self-aligned contact etching technology
US5429978A (en) Method of forming a high density self-aligned stack in trench
JP3380373B2 (en) Semiconductor memory device and method of manufacturing the same
US6312986B1 (en) Concentric container fin capacitor and method
US5837576A (en) Method for forming a capacitor using a silicon oxynitride etching stop layer
US6064085A (en) DRAM cell with a multiple fin-shaped structure capacitor
US6235579B1 (en) Method for manufacturing stacked capacitor
US5851878A (en) Method of forming a rugged polysilicon fin structure in DRAM
US6150213A (en) Method of forming a cob dram by using self-aligned node and bit line contact plug
US6303430B1 (en) Method of manufacturing DRAM capacitor
JP2741672B2 (en) Method of manufacturing capacitor for stacked DRAM cell
US5770510A (en) Method for manufacturing a capacitor using non-conformal dielectric
US20020003246A1 (en) Semiconductor memory device and method of producing the same
US5952039A (en) Method for manufacturing DRAM capacitor
JP2917912B2 (en) Semiconductor memory device and method of manufacturing the same
US6100135A (en) Method of forming a crown-fin shaped capacitor for a high density DRAM cell
TW441035B (en) Fabrication method of bitline contact for capacitor under bitline embedded DRAM
US6001682A (en) Method of fabricating cylinder capacitors
US5759893A (en) Method of fabricating a rugged-crown shaped capacitor
US6187625B1 (en) Method of fabricating crown capacitor
US5837579A (en) Rugged polysilicon process for DRAM capacitors
US6004845A (en) Method for fabricating a crown-shaped capacitor

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent