TW434865B - Etching process for reducing the contact resistance in the multi-layer structure - Google Patents

Etching process for reducing the contact resistance in the multi-layer structure Download PDF

Info

Publication number
TW434865B
TW434865B TW88111643A TW88111643A TW434865B TW 434865 B TW434865 B TW 434865B TW 88111643 A TW88111643 A TW 88111643A TW 88111643 A TW88111643 A TW 88111643A TW 434865 B TW434865 B TW 434865B
Authority
TW
Taiwan
Prior art keywords
layer
patent application
scope
item
substrate
Prior art date
Application number
TW88111643A
Other languages
Chinese (zh)
Inventor
Jian-Luen Yang
Ke-Chin Huang
Dung-Yu Chen
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW88111643A priority Critical patent/TW434865B/en
Application granted granted Critical
Publication of TW434865B publication Critical patent/TW434865B/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A method for forming the contact in the multi-layer structure which includes the following steps: providing a substrate, there is a formed metal oxide semiconductor transistor on the upper surface and inside of the substrate, in which the transistor comprises a metal silicide covering thereon and forming a capping layer on the gate of the transistor; then, forming a dielectric and a photoresist on the substrate that the photoresist is used to define the contacts on the active region of the transistor and the gate; being the critical step, directly etching the planarized dielectric uncovered by the photoresist layer; and, using the etchant with high selectivity in the high density plasma etching machine to let the etching process stopped at the capping layer; and, directly etching the capping layer and using the etchant with high selectivity in the high density plasma etching machine to let the etching process stopped at the metal silicide layer.

Description

五、發明說明(1) 5 -1發明領域: 本發明係有關於一種減少接觸窗阻值的方法,特別是 有關於在多層結構(mu 11 i - 1 ay er )中減少接觸窗阻值與漏 電流的方法。 發明背景: 在積體電路的製成中各種領域裡,接觸窗蝕刻是—必 備的步驟。例如’以場氧區(f i e 1 d ox i da t i on )分開的金 ( 屬氧化物半導體電晶體(MOS transistor)的多晶石夕閘極 ’上面覆蓋一平坦化的絕緣層或是内介電潛,如蝴磷梦玻 璃(BPSG ),磷矽玻璃(PSG ),旋塗矽玻璃(S0G ),四 氧乙基矽等(TE0S)。為了有效地連接元件中主動區域的 多晶硬閘極,需要在選擇的位置上穿過内連接層。開啟的 接觸窗將由圖案轉移後的金屬來連接。 〇 2 5微米技術要求有低接窗阻值與低漏電流的多層接 =窗製程^由於低氧化物對鈦矽化合物選擇度,目前在磁 %力強型/舌性離子钱刻reactive ic*n etcher; MERIE)氧化物的蝕刻機顯示高的接觸窗阻值 。在接觸窗i虫刻中如果有鈦矽化合物殘留物,會造成較高 的漏電流’一種解決方法是要求額外的栓塞植入(p 1 ug implant )步驟來補償矽接合(juncti〇n )損失,如第一V. Description of the invention (1) 5 -1 Field of the invention: The present invention relates to a method for reducing the resistance value of a contact window, and in particular, to reducing the resistance value of a contact window in a multilayer structure (mu 11 i-1 ay er). Method of leakage current. BACKGROUND OF THE INVENTION In various fields in the fabrication of integrated circuits, contact window etching is a necessary step. For example, "a gold (polycrystalline oxide gate of MOS transistor) separated by a field oxygen region (fie 1 d ox i da ti on)" is covered with a planarized insulating layer or an interposer Electric submersible, such as Butterfly Phosphor Dream Glass (BPSG), Phosphor-Silicon Glass (PSG), Spin-Coated Silica Glass (S0G), Tetraoxyethyl Silicon, etc. (TE0S). In order to effectively connect the polycrystalline hard brake in the active area of the component It is necessary to pass through the interconnecting layer at the selected position. The opened contact window will be connected by the pattern-transferred metal. 〇2 5 micron technology requires a multilayer connection with low window resistance and low leakage current = window process ^ Due to the low selectivity of titanium oxides to silicon compounds, the current etching machines for reactive ic * n etcher (MERIE) oxides exhibit high contact window resistance values. If there is a titanium silicon compound residue in the contact window, a high leakage current will be caused. One solution is to require an additional p 1 ug implant step to compensate for silicon junction loss. As first

五、發明說明(2) 圖所示。 參考第一 A圖,提供—底材1 〇,其中一金屬氧化物 半導體(MOS ) ,形成兩層金 形成一覆蓋廣 物半導體電晶 物半導體電晶 的介電層1 2 contact hole 2 0以形成接 示。然而,由 全钱刻。一種 ,然後使用額 示。因為需要 並且造成較高 再者,這個方 電晶體在底材1 〇的裡面與上面形成。接著 屬石夕化層1 1 2A與1 1 2B,並且在上面 〇 114。114層與112A層是金屬氧化 體的閘極的頂部,而1 1 2 B層是金屬氧化 體的主動區域的頂部。接著,形成一平坦化 0與一光阻層1 4 0 ,並且定義接觸窗洞( )。一種傳統的氧化物的蝕刻機蝕刻介電層 觸窗洞1 3 0A與1 30B ,如第一 B圓所 於較低的選擇比,金屬矽化層1 1 2 B被完 解決方式,钱刻時穿過金屬;ε夕化層1 1 2 B 外的植入來補償石夕接合損失,如第一 C圖所 對N+及P+分別植入,這個步驟需要兩次光阻 的漏電流,然後,形成一層附著層1 5 0。 式的步驟較複雜。 發明目的及概述: 馨於上.述之發明背景中,傳統的接觸窗钱刻技術所產 生的諸多缺點本發明中,提供減少接觸窗阻值與漏電流的 钱刻技術。V. Description of the invention (2) Figure. Referring to FIG. 1A, a substrate is provided, in which a metal oxide semiconductor (MOS) is formed into two layers of gold to form a dielectric layer covering a semiconductor transistor and a semiconductor layer. Formation of instructions. However, carved by full money. One and then use the credit. Because of the need and the high cost, this crystal is formed inside and above the substrate 10. It is next to the oxidized layer 1 1 2A and 1 1 2B, and above 114. The 114 and 112A layers are the top of the gate of the metal oxide, and the 1 1 2 B layer is the top of the active region of the metal oxide. . Next, a planarization 0 and a photoresist layer 14 0 are formed, and a contact window hole () is defined. A conventional oxide etching machine etches the dielectric layer contact holes 1 30A and 1 30B. As the first B circle has a lower selection ratio, the metal silicide layer 1 1 2 B is finished. The solution is worn when the money is carved. Over-metal; implanted outside the epsilon layer 1 1 2 B to compensate for the loss of stone joints, as shown in the first C figure, N + and P + are implanted separately. This step requires two photoresistor leakage currents, and then forms A layer of adhesion 1 50. The procedure is more complicated. Objectives and Summary of the Invention: In the background of the invention described above, many disadvantages caused by the traditional contact window engraving technique are provided in the present invention, which provide the engraving technique for reducing the contact window resistance and leakage current.

第5頁 434865 五、發明說明(3) 本發明的另一目的在係減少 製程成本與化簡步驟。 兩個離子植人步驟來降低 根據以 技術。首先 ’其中一钦 體的閘極上 阻層。在電 在光阻層上 動區域的鈦 而形成接觸 化石夕層也被 conformal) 上所述之目的’本發明提供了 ,提供具有一金屬氧化物半導 化矽層覆蓋在電晶體上而氮化 。然後在底材上形成—氧化石夕 晶體的閘極上與主動區域上, β接著,蝕刻未被光阻層覆蓋 化矽層,同時蝕刻透過閘極上 窗洞。在蝕刻氧化物時,未被 姓刻到閘極上的欽化梦層。最 附著層在接觸窗洞上面形成, 種接觸窗蝕刻 體的底材 體電晶 矽層覆 層’接 將接觸 的氧化 的氮化 光阻層 後’一 蓋在電晶 著是一光 窗洞定義 發層到主 砂層,因 覆蓋的氮 保角( 5-4圖式簡單說明: 第Α圖到第一 C圖顯示一傳統的蝕刻接 ,各步驟的示意圓; ⑷接觸自製種中 圖為本發明各步驟的流程圖的流程圖; f二A圖到第三D圖顯示以本發明蝕 ,各步驟的示意圖。 條蜩固製程中 主要部分之代表符號 1〇 底材 第6頁 五、發明說明(4) 2 0 介 電 層 3 0 金 屬 石夕化 物 層 4 0 光 阻 層 5 0 附 著 層 1 0 0 底 材 1 2 0 介 電 層 1 2 2 絕 緣 層 1 3 0 金 屬 石夕化 物 層 1 4 0 光 阻 層 1 5 0 附 著 層 5-5發明詳細說明: 接下來描述本發明的詳細實施例。但是,應該理解到 本發明可以用其他明顯的實施例來達成。而本發明並不受 限於特殊的實施例。 ^ π ^ —圖係根據本實施例中,一種形成接觸窗的方法的 坦化過程2〇ΐ :ί上形成一内介電屠’並且經過平 問極被一氮化矽層;:—電晶體在底材上形成。電晶體的 步驟,在高密度電▲蝕列=來作為一終止層。接著關鍵的 接蝕刻介電層形成接觸二歲中’用高選擇比的蝕刻劍以直 蝕刻氧化矽與氮化 =^ 0 2。這個蝕刻步驟包含同時 因為餘刻的過程具有高選擇比,沒 $ 7頁Page 5 434865 V. Description of the invention (3) Another object of the present invention is to reduce the process cost and simplify the steps. Two ion implantation steps to lower according to this technique. First, ’one of the barriers on the gate. The contact between the titanium in the moving region of the photoresist layer and the formation of the fossil layer is also described above. The present invention provides a method of providing a metal oxide semiconductive silicon layer overlying a transistor and nitrogen. Into. Then formed on the substrate-on the gate and active area of the stone oxide crystal. Β, then, the silicon layer is not etched by the photoresist layer and etched through the window hole on the gate. When etching the oxide, the Qinhua dream layer that was not engraved on the gate was not etched. The most adherent layer is formed above the contact window hole. The substrate of the contact window etched body is a silicon silicon layer coating which is connected to the oxidized nitrided photoresist layer that is in contact with the contact lens. It is a light window hole that defines the hair Layer to main sand layer, because of the covered nitrogen conformation (5-4) A simple explanation: Figures A to C show a traditional etching connection, the schematic circle of each step; ⑷ contact self-made species Flow chart of each step; Figures 2A to 3D show the schematic diagrams of the steps of etching according to the present invention. Representative symbols of the main part in the strip solidification process 10 substrates page 6 5. Description of the invention (4) 2 0 dielectric layer 3 0 metal oxide layer 4 0 photoresist layer 5 0 adhesion layer 1 0 0 substrate 1 2 0 dielectric layer 1 2 2 insulating layer 1 3 0 metal oxide layer 1 4 0 Photoresist layer 1 5 0 Adhesive layer 5-5 Detailed description of the invention: The detailed embodiments of the invention are described next. However, it should be understood that the invention can be achieved with other obvious embodiments. The invention is not limited Special implementation ^ Π ^ —The diagram is a frank process of a method for forming a contact window according to the present embodiment. Ϊ́: an internal dielectric layer is formed on it and a silicon nitride layer is passed through the planar electrode; The transistor is formed on the substrate. The step of the transistor is to use a high-density electrode as a termination layer. Then the key dielectric layer is formed to contact the two-year-old 'with an etching sword with a high selectivity to straighten Etching silicon oxide and nitride = ^ 0 2. This etching step includes both because the remaining process has a high selection ratio, not $ 7 pages

有過度餘刻的發生,因而少了 後’形成接觸窗的附著層2 〇 中’不同的步驟的適當的條件 D圖解說。 化物半導體場效電晶體,的 或是沉積法或是兩種混合的 0。這層氧化層的最佳厚度 30000埃而最薄為15000埃。 沉積的四氧乙基矽,高密度 石夕’棚碟石夕破璃,常壓化學 化矽,或是其任何習知的形 主要的功能是提供在多層結 以任何傳統的方法形成 B ’都是金屬氧化物半導體 化物層12A與12B的材 例中,金屬矽化物.層1 2 A 场效電晶體的閉極的頂部區 用來作為主動區域的頂部。 二A圖中的底材1〇裡面是 本發明並不重要,並不會因 解本發明。 後續的栓塞植入的步驟。然 3。在第二圖所提到的步驟 將在下面的第三A圖到第三 含有積體電路結構,如金屬氧 底層1 0 0,在上面以氧化法 方式形成一平坦的氧化層1 2 約為2 2 0 0 0埃,但是最厚約為 同時,氧化層12〇也可為用 電槳增益法(HDP )形成的氧化 氣相沉積法(APCVD)形成的氧 成氧化層的方法。這層氧化層 構中的内介電層。 的金屬石夕化物層1 2A與1 2 場效電晶體的一部份。金屬石夕 質基本上為鈦化石夕。在本實施 是用來作為金屬氧化物半導體 域,而金屬石夕化物層1 2 B是 在這裡必須簡略地提到,在第 有元件的結構形成,而這些對 為沒有詳細描速細節而無法理There is an excessive amount of time, so there are fewer conditions suitable for the different steps in 'the formation of the contact layer of the contact window 2'. Semiconductor field-effect transistors, either deposition or mixed 0. The optimal thickness of this oxide layer is 30,000 angstroms and the thinnest is 15,000 angstroms. Deposited tetraoxosilicone, high-density Shi Xi 'Shed Shi Xi broken glass, atmospheric pressure chemical silicon, or any of its known shapes The main function is to provide the multilayer junction to form B' by any conventional method In the material examples of the metal oxide semiconductor layer 12A and 12B, the closed top region of the metal silicide layer 12A field-effect transistor is used as the top of the active region. It is not important that the present invention is contained in the substrate 10 in the second A picture, and the present invention is not understood. Subsequent embolic implantation steps. Ran 3. The steps mentioned in the second figure will be in the following third A to third containing integrated circuit structures, such as a metal oxide bottom layer 1 0 0, and a flat oxide layer 1 2 is formed on it by an oxidation method. 2 2 0 0 Angstroms, but the maximum thickness is about the same time. The oxide layer 120 may also be an oxygen-oxidized layer formed by an oxidative vapor deposition (APCVD) method formed by an electric paddle gain method (HDP). The inner dielectric layer in this oxide structure. A part of the 12F and 12 field-effect transistors of the metal lithotripsy layer. The metal stone is basically a titanium fossil. In the present embodiment, it is used as a metal oxide semiconductor field, and the metal oxide layer 1 2 B must be mentioned briefly here. The structure of the first element is formed, and these pairs cannot be described without detailed tracing details. Reason

第8頁Page 8

))

a ^ ^86Sit'a ^ ^ 86Sit '

、一覆蓋層(cap layer ) 1 4在金屬矽化層1 2八上 成,係用以作為蝕刻中止層。以傳統的化學氣相沉積法形 成的覆蓋層,主要為氮化矽或是氮氧化矽的堆疊。之後, ,任何適當的方法形成光阻層,並且在接觸窗洞的位 接觸窗圖案轉移到光阻層4 〇。 接 電層2 聚合物 過度蝕 非氧化 等。對 聚合物 些不同 示選擇 物。 者參考第三B圖與 0。在 來達到 刻的過 層,如 於蝕刻 β在發 的含氫 姓刻氮 高密度電漿 氧化物對底 程中會蝕刻 石夕,欽石夕化 氮化矽或是 展這個製裎 氧體,如C {J2 化矽/氮氧 第三C圖’以乾#刻方式飯刻个' 氧化物餃刻機中,藉由富含碳纪 材的蝕刻比。富含碳的聚合物名 氧化物而停在包含底材在内的纪 合物’氮化矽,氮化鈦,鋁,筹 氮氧化石夕時,關鍵在於含氫類纪 上’以C4 F8 / C 0 / A r化合物加上一 F2,CH3F ’ chf3,c2H2F4 等,會顯 化矽層而不會同時银刻鈦石夕化合A cap layer 14 is formed on the metal silicide layer 128, and is used as an etching stop layer. The cover layer formed by the conventional chemical vapor deposition method is mainly a stack of silicon nitride or silicon oxynitride. After that, the photoresist layer is formed by any appropriate method, and the contact window pattern is transferred to the photoresist layer 40 at the position where the window hole is contacted. Electrical layer 2 Polymer over-etched Non-oxidized etc. Some alternatives are shown for polymers. Refer to the third figure B and 0. In order to achieve the etched layer, such as etching β in the hydrogen-containing high-density plasma-etched high-density plasma oxide pair, it will etch Shi Xi, Qin Shi Xi, silicon nitride, or develop this oxide, such as C {J2 Silicone / Nitrogen and Oxygen The third C picture 'in a dry #engraving method to engrav a rice' in an oxide dumpling engraving machine, with an etching ratio rich in carbon materials. Carbon-rich polymer oxides stop at the base compounds including silicon substrates, such as silicon nitride, titanium nitride, aluminum, and oxynitride. The key lies in the hydrogen-containing phase, with C4 F8. / C 0 / A r compound plus one F2, CH3F 'chf3, c2H2F4, etc., will manifest the silicon layer without silver titanate

,而;,熱反2壁與α化合物產生 。氧化物/欽石夕人2 2氣體在局後度電藥中的分解產生 調整製程參數來ί ^物與氧化物/氮化矽的選擇比是藉由 度蝕刻中不4被=1二因為製程具有高選擇比,底層在過 植入步驟·5Γ …t 統製程中用以補償接合損失的栓塞, And ;, thermally reversing the 2 walls with alpha compounds. The decomposition of the oxide / Qin Shixiren 2 2 gas in the post-electrochemical process produces adjustment process parameters to select the ratio of the material to the oxide / silicon nitride through the degree of etching. The process has a high selection ratio. The bottom layer is used in the over-implantation step.

第9頁 你dPage 9 You d

第10頁Page 10

Claims (1)

六、申請專利範圍 1.—種不需要栓塞植入而形成接觸窗的方法,該方法至少 包含: 提供一底材,該底材的上面及内部具有一已經形成的 金屬氧化物半導體電晶體,其中該電晶體具有金屬矽化物 層覆蓋其上,並在該電晶體的閘極上形成__覆蓋層; 在該底材上形成一介電層; 在該介電層上形成一光阻層,其中該光阻層在該電晶 體的主動區域及閘極上定義接觸窗; 直接飯刻未被戎光阻層覆蓋之該平坦化介電層,並且 在尚植度電漿蝕刻機中以高選擇比的蝕刻劑使得該蝕刻停 在該覆蓋層;及 、直接蝕刻該覆蓋層,並且在高密度電漿蝕刻機中以高 選擇比的蝕刻劑使得該蝕刻停在該導體層。 其中上述之覆蓋層至少 其中上述之覆蓋層至少 其中上述之導體層至少 2·如申請專利範圍苐1項之方法, 包含氮化矽與氮氧化矽的堆疊層。 3.如申請專利範圍第1項之方法, 包含氮氧化矽。 4*如申請專利範圍第1項之方法 包含金屬梦化物β6. Scope of Patent Application 1. A method for forming a contact window without the need for embolization, the method includes at least: providing a substrate, the substrate having an formed metal oxide semiconductor transistor on and inside the substrate, Wherein the transistor has a metal silicide layer covering it, and a cover layer is formed on the gate of the transistor; a dielectric layer is formed on the substrate; a photoresist layer is formed on the dielectric layer, The photoresist layer defines a contact window on the active area and gate of the transistor; the planarized dielectric layer not directly covered by the photoresist layer is engraved directly, and has a high selection ratio in the plasma etching machine. The etchant stops the etching on the cover layer; and, directly etches the cover layer, and stops the etching on the conductor layer with a high selectivity etchant in a high-density plasma etching machine. Wherein the above-mentioned cover layer is at least, the above-mentioned cover layer is at least, and the above-mentioned conductor layer is at least 2. The method according to item 1 of the patent application scope includes a stacked layer of silicon nitride and silicon oxynitride. 3. The method according to item 1 of the patent application scope, comprising silicon oxynitride. 4 * The method according to item 1 of the patent application scope includes metal dreams β 第11頁 ^34g〇5 六、申請專利範圍 覆蓋層的步驟係以在高密度電漿蝕刻機中產生含氫聚合物 來完成。 6. 如申請專利範圍第5項之方法,其中上述之含氫聚合物 係在高密度電漿蝕刻機中藉由CH2 F2的分解來形成。 7. 如申請專利範圍第1項之方法,其中上述之直接蝕刻該 介電層的步驟係以在高密度電漿蝕刻機中產生含碳聚合物 來完成。 8. 如申請專利範圍第7項之方法,其中上述之含碳聚合物 係在熱反應室壁中以C4F8/CO/Ar/CH2F2產生。 9. 如申請專利範圍第1項之方法,更包含濺鍍一保角附著 層在該接觸窗洞上。 1 0.如申請專利範圍第1項之方法,更包含平坦化該介電層 11.如申請專利範圍第1項之方法,其中上述之介電層係氧 化5夕。 12. 一種不需要栓塞植入而形成接觸窗的方法,該方法至 少包含:Page 11 ^ 34g〇5 6. Scope of patent application The step of covering the layer is completed by generating a hydrogen-containing polymer in a high-density plasma etching machine. 6. The method according to item 5 of the patent application, wherein the above-mentioned hydrogen-containing polymer is formed by the decomposition of CH2 F2 in a high-density plasma etching machine. 7. The method according to item 1 of the scope of patent application, wherein the step of directly etching the dielectric layer is completed by generating a carbon-containing polymer in a high-density plasma etching machine. 8. The method according to item 7 of the scope of patent application, wherein the above-mentioned carbon-containing polymer is produced in the wall of the thermal reaction chamber as C4F8 / CO / Ar / CH2F2. 9. The method of claim 1 further includes sputtering a conformal adhesion layer on the contact hole. 10. The method according to item 1 of the scope of patent application, further comprising planarizing the dielectric layer 11. The method according to item 1 of the scope of patent application, wherein the above-mentioned dielectric layer is oxidized. 12. A method for forming a contact window without the need for an embolization, the method comprising at least: 第12頁 ^-34865 六、申請專利範圍 提供一底材,該底材的上面及 金屬氧化物半導體電晶體’其中該 覆蓋其上,並在該電晶體的閑極上 在該底材上形成一氧化石夕層; 在該氧化矽層上形成一光阻層 晶體的主動區域及閘極上定義接觸 直接蝕刻未被該光阻層覆蓋之 在南密度電漿银刻機中以高選擇比 在該覆蓋層; 直接敍刻該覆蓋層,並且在高 選擇比的蝕刻劑使得該蝕刻 形成-保角附著層在該接觸; 内部具有一已經形成的 電晶體具有钦石夕化物層 形成一覆蓋層; ’其中該光阻層在該電 窗; 該平坦化介電層,並且 的餘刻劑使得該蝕刻停 趙度電漿蝕刻機中以高 導體層;及 洞上。 13. 如申請專利範圍第12項 少包含氮化石夕虚翁备μ ^ 、义方法,:士, /、虱氣化矽的堆疊層其中上述之覆蓋層至 14.如申請專利範圍第12 Jg 少包含氮氧化矽。 、之方法,且中上、f夕通—盛$ /、T上述之覆i層至 1 5'如申請專利範圍第1 2項 該覆蓋層的步驟俜 員之方法, 物來完成。 …密度電槳餘述之直接㈣ 虫刻機中產生含氫聚合 16. 如申請專利範圍第15項 之方法,甘 4 ’其令上述之含氫聚合 六、申請專利範圍 物係在高密度電漿蝕刻機中藉由ch2f2的分解來形成。 如申請專利範圍第1 2項之方法,其中上述之直接蝕刻 该介電層的步驟係以在高密度電漿蝕刻機中產生含破人 物來完成。 口 18、如申請專利範圍第17項之方法,其中上述之含碳 物係在熱反應室壁中以產生。 ,0 更包含平坦化該氣化 1 9.如申請專利範圍第1 2項之方法 砂層。Page 12 ^ -34865 VI. The scope of the patent application provides a substrate, the top of the substrate and the metal oxide semiconductor transistor 'which should be covered thereon, and a substrate formed on the substrate of the transistor's free electrode. A layer of stone oxide; on the silicon oxide layer, a photoresist layer crystal is formed on the active area and the gate defines a contact directly etched without being covered by the photoresist layer in a South Density Plasma Silver Engraver with a high selection ratio in the Cover layer; directly etch the cover layer, and the etching agent with a high selectivity ratio makes the etch formation-conformal adhesion layer at the contact; the inside has an already formed transistor with a chinite layer to form a cover layer; 'Wherein the photoresist layer is on the electrical window; the planarized dielectric layer, and the remaining agent makes the etching stop in the plasma etching machine with a high conductor layer; and on the hole. 13. If the 12th item of the scope of patent application does not contain the nitrided material, the method is as follows: the stack layer of silicon, /, lice gasified silicon, among which the above-mentioned cover layer is up to 14. If the scope of patent application is No. 12 Jg Contains little silicon oxynitride. Method, and middle, upper, and high-pass—Sheng $ /, T The above-mentioned coating layer to 15 ', as described in the patent application scope item 12, the steps of the coating layer, the method, the material to complete. … The density of the electric paddle is described directly in the insect engraving machine to generate hydrogen-containing polymerization 16. If the method of the scope of patent application No. 15, Gan 4 'It makes the above-mentioned hydrogen-containing polymerization 6. The scope of the patent application is in high-density electricity It is formed by the decomposition of ch2f2 in a slurry etching machine. For example, the method of claim 12 in the scope of patent application, wherein the above-mentioned step of directly etching the dielectric layer is completed by generating human-containing substances in a high-density plasma etching machine.口 18. The method according to item 17 of the scope of patent application, wherein the above carbon-containing material is generated in the wall of the thermal reaction chamber. , 0 further includes flattening the gasification 1 9. The method according to item 12 of the scope of patent application Sand layer.
TW88111643A 1999-07-09 1999-07-09 Etching process for reducing the contact resistance in the multi-layer structure TW434865B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW88111643A TW434865B (en) 1999-07-09 1999-07-09 Etching process for reducing the contact resistance in the multi-layer structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW88111643A TW434865B (en) 1999-07-09 1999-07-09 Etching process for reducing the contact resistance in the multi-layer structure

Publications (1)

Publication Number Publication Date
TW434865B true TW434865B (en) 2001-05-16

Family

ID=21641441

Family Applications (1)

Application Number Title Priority Date Filing Date
TW88111643A TW434865B (en) 1999-07-09 1999-07-09 Etching process for reducing the contact resistance in the multi-layer structure

Country Status (1)

Country Link
TW (1) TW434865B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9520477B2 (en) 2015-03-16 2016-12-13 Taiwan Semiconductor Manufacturing Company Semiconductor device and fabricating method thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9520477B2 (en) 2015-03-16 2016-12-13 Taiwan Semiconductor Manufacturing Company Semiconductor device and fabricating method thereof

Similar Documents

Publication Publication Date Title
JP3451943B2 (en) Method for forming capacitor of semiconductor device
JP4018596B2 (en) Manufacturing method of semiconductor device
TW461036B (en) Method for forming a semiconductor device
TW565890B (en) Method of fabricating semiconductor device
TWI267923B (en) Method for making semiconductor device
TW578225B (en) Semiconductor integrated circuit device
US6613654B1 (en) Fabrication of semiconductor devices with transition metal boride films as diffusion barriers
US6459562B1 (en) High density metal insulator metal capacitors
JPH0529254A (en) Forming method of wiring
KR100200299B1 (en) Method for manufacturing capacitor of semiconductor device
TW388916B (en) Etching method
TW412793B (en) Process for fabricating semiconductor integrated circuit device having polycide line and impurity region respectively exposed to contact holes different in depth
US6159835A (en) Encapsulated low resistance gate structure and method for forming same
TWI251897B (en) Method of manufacturing semiconductor device
US6323083B1 (en) Method for forming lower electrode structure of capacitor of semiconductor device
TW434865B (en) Etching process for reducing the contact resistance in the multi-layer structure
JPH10116904A (en) Manufacture of semiconductor device
US6734526B1 (en) Oxidation resistant microelectronics capacitor structure with L shaped isolation spacer
JP2008010884A (en) Method of manufacturing semiconductor device
KR100300046B1 (en) Fabricating method of semiconductor device
KR20000043055A (en) Method for creating bit line of semiconductor device
KR100546108B1 (en) Method of forming contact plug of semiconductor device
KR20010004682A (en) Forming method for hard mask of semiconductor device
US6207581B1 (en) Method of fabricating node contact hole
KR100351449B1 (en) Method For Forming The Gate Electrode Of Semiconductor Device

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent