TW427003B - Manufacturing method of crown type DRAM capacitor - Google Patents

Manufacturing method of crown type DRAM capacitor Download PDF

Info

Publication number
TW427003B
TW427003B TW88109905A TW88109905A TW427003B TW 427003 B TW427003 B TW 427003B TW 88109905 A TW88109905 A TW 88109905A TW 88109905 A TW88109905 A TW 88109905A TW 427003 B TW427003 B TW 427003B
Authority
TW
Taiwan
Prior art keywords
layer
silicon oxide
insulating layer
contact opening
silicon
Prior art date
Application number
TW88109905A
Other languages
Chinese (zh)
Inventor
Jen-Ming Huang
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW88109905A priority Critical patent/TW427003B/en
Application granted granted Critical
Publication of TW427003B publication Critical patent/TW427003B/en

Links

Landscapes

  • Semiconductor Memories (AREA)

Abstract

A manufacturing method of crown type DRAM capacitor is introduced. This method adds a silicon oxide spacer formed by thermal oxidation process on the sidewall of the second contact in the second insulated layer. Because the insulation of the silicon oxide formed by the thermal oxidation method is superior than the silicon oxide formed by conventional CVD process, the electrical isolation between capacitors is effectively raised and the capacitor leakage decreased. Then better data storage capability is reached.

Description

五、發明說明(1) 本發明係有關一種半導體裝置,特別係有關於一種動 態隨機存取記憶體之冠狀電容之製造方法。 動態隨機存取記憶體為一廣泛應用的積體電路元件, 特別在現今的資訊電子產業中更有不可或缺的地位。隨著 技術的演進’目前生產線上常見的DRAM記憶單元大多是由 一電晶體T和一電容C所構成’如第1圖的電路示意圖所示 。電晶體T的源極(source)係連接到一對應的位元線(bit line,BL)和汲極(drain)連接到一電容c的下電極(bottom electrode) ’而閘極(gate)則連接到一對應的字元線 (word line,WL) ’ 電容C 的上電極(top electrode)係連 接到一固定電壓源(例如接地),而下電極和上電極之間隔 著一介電層。 電容C是用來儲存電子資訊的,其應具備足夠大的電 容量。在傳統少於一百萬位元(1 MB)的DRAM製程中,一般 多利用二度空間的電容來儲存資料,亦即泛稱的平面型電 容(planar-type capacitor)。然而,平面型電容需利用 基底一相當大的面積來形成下電極,才可提供足夠的電容 量’所以並不適用於目前日益高度積集化之DRAM元件的 製程要求。 通常’高度積集化的DRAM需要利用三度空間的電容結 構’例如堆疊型(stack-type)的電容記憶元件。而在各種 堆疊型電容的記憶元件中’電極具有向上突出部分的冠狀 電容(crown-type capacitor)構造,由於其内外侧表面均 可提供有效的電容面積,相當適合於製造高度積集化的元5. Description of the invention (1) The present invention relates to a semiconductor device, and more particularly, to a method for manufacturing a crown capacitor of a dynamic random access memory. Dynamic random access memory is a widely used integrated circuit component, especially in today's information electronics industry. As the technology evolves, 'most common DRAM memory cells on the production line are composed of a transistor T and a capacitor C' as shown in the circuit diagram in Figure 1. The source of the transistor T is connected to a corresponding bit line (BL) and the drain is connected to the bottom electrode of a capacitor c, and the gate is The top electrode connected to a corresponding word line (WL) 'capacitor C is connected to a fixed voltage source (eg, ground), and a dielectric layer is separated between the lower electrode and the upper electrode. Capacitor C is used to store electronic information. It should have a sufficiently large capacitance. In traditional DRAM processes with less than one million bits (1 MB), two-dimensional capacitors are often used to store data, which is also known as a planar-type capacitor. However, planar capacitors need to use a relatively large area of the substrate to form the lower electrode in order to provide a sufficient amount of capacitance ', so they are not suitable for the current process requirements for increasingly highly integrated DRAM devices. Generally, a 'highly integrated DRAM requires a three-dimensional space capacitor structure', such as a stack-type capacitor memory element. In the memory elements of various stacked capacitors, the 'electrode has a crown-type capacitor structure that protrudes upwards. Since its inner and outer surfaces can provide an effective capacitance area, it is quite suitable for manufacturing highly integrated elements.

第4頁 五、發明說明(2) 件’特別是大於64 MB位元的記憶元件。所以許多技術均係 針對此一形式的冠狀電容進行改良,以達到在元件尺寸縮 小時仍可以確保提供足夠電容量之目的。 為了更清楚說明起見,請參見第2A圖至第2C圖,來說 明一習知動態隨機存取記憶單元之冠狀電容的製造流程。 如第2A圖所示,於一半導體基底21〇上形成一場氧化層211 以界定出元件區(active regi〇n)。接著形成一閘極構造 Uate eiectrode)G。然後利用此閘極構造G當作罩幕,佈 植雜質進入半導體基底210中以形成淡摻雜源極/汲極區。 在閘極構造G的側壁上以沈積和回蝕製程形成一間隔物212 後’再以閘極構造G和間隔物2 1 2當作罩幕,佈植更高濃度 之雜質進入半導體基底210中,形成有LDD(lightly doped drain)設計之源極/汲極區214,至此完成一電晶體元件的 製程。 接著’沈積一絕緣層216覆蓋在上述電晶體元件表面 上’之後再平坦化絕緣層2 1 6,以形成利於後續製程之平 坦表面。接著以微影技術(photolithography)和餘刻製程 在絕緣層216中形成一第一接觸開口 217,其與部份源極/ 没極區214相連通。接著在上述接觸開口 217内形成一導電 插塞21 8 ’藉以和部分源極/汲極區2 1 4形成電性連接。 之後’在絕緣層216和導電插塞218上形成氧化矽層 220。其中氧化矽層220大多採用以化學氣相沈積法形成之 氧化矽層。 然後’請參見第2B圖,將氧化矽層2 2 0定義成氧化矽Page 4 5. Description of the invention (2) Pieces', especially memory elements larger than 64 MB bits. Therefore, many technologies are modified for this form of crown capacitor to achieve the purpose of ensuring sufficient capacitance when the component size is reduced. For a clearer explanation, please refer to FIGS. 2A to 2C to describe a conventional manufacturing process of a crown capacitor of a dynamic random access memory cell. As shown in FIG. 2A, a field oxide layer 211 is formed on a semiconductor substrate 21o to define an active region. Then a gate structure Uate eiectrode) G is formed. The gate structure G is then used as a mask, and impurities are implanted into the semiconductor substrate 210 to form a lightly doped source / drain region. A spacer 212 is formed on the sidewall of the gate structure G by a deposition and etch-back process. Then the gate structure G and the spacer 2 1 2 are used as a mask, and a higher concentration of impurities is implanted into the semiconductor substrate 210. A source / drain region 214 with a lightly doped drain (LDD) design is formed, and thus a transistor device process is completed. Next, "deposit an insulating layer 216 overlying the surface of the transistor element" and then planarize the insulating layer 2 1 6 to form a flat surface which is favorable for subsequent processes. Then, a first contact opening 217 is formed in the insulating layer 216 by photolithography and a post-etching process, and the first contact opening 217 is communicated with a part of the source / inverter region 214. Then, a conductive plug 21 8 ′ is formed in the contact opening 217 to form an electrical connection with a part of the source / drain region 2 1 4. After that, a silicon oxide layer 220 is formed on the insulating layer 216 and the conductive plug 218. The silicon oxide layer 220 is mostly a silicon oxide layer formed by a chemical vapor deposition method. Then, referring to FIG. 2B, the silicon oxide layer 2 2 0 is defined as silicon oxide.

二接觸開α 221 427 0 03 五、發明說明(3) 層220’ ’以形成一與導電插塞218相通之第 接著,請參見第2C圖,首先在第二接觸開 表面上形成下電極222。之後,再於下電極222 220上依序形成一介電層226和上電極228,完 電容構造。 而在上述習知冠狀電容構造中,通常只採 相沈積法形成之氧化矽層22〇,在電容間提供電 著半導體it件製作日益積集化,氧化♦層22〇, 漸變薄’使得電子容易貫f。因此單用以化學 2成之氧化石夕層22 0,在電容間提供電性隔離已 夠;緣性,所以習知冠狀電容間常會有漏電情 7L件性質遭受損害。 ,鑑於此,本發明之目的係為解決上述問 態隨存取記憶體之冠狀電容之製造方法 =關元件之半導艘基底上製造上述電容法 造^沐下列步驟:一種動態隨存取記憶體之冠 ,^適用於一具有開關元件之半導體基底 形i具有造方法包括下列步驟:在上述半 層在 至上述開關元件之第一接觸開口 絕缝思ί述第一接觸開口内形成一導電插塞; 述導電插塞上形成-第二絕緣層; 内形成—可連通上述導電插塞之第二接 ,L 一絕緣層上和上述第二接觸開口内形成 口 221内之 和氧化矽層 成習知冠狀 用以化學氣 性隔離,隨 之厚度也逐 氣相沈積法 不能提供足 形發生,使 題,而提供 ,適用於一 上述製造方 狀電容之製 上製造上述 導體基底上 之第一絕緣 在上述第一 在上述第二 觸開口;在 一複晶矽Second contact opening α 221 427 0 03 V. Description of the invention (3) The layer 220 ′ ′ is formed to communicate with the conductive plug 218. Next, referring to FIG. 2C, first form a lower electrode 222 on the second contact opening surface. . After that, a dielectric layer 226 and an upper electrode 228 are sequentially formed on the lower electrodes 222 and 220 to complete the capacitor structure. In the conventional corona capacitor structure mentioned above, usually only the silicon oxide layer 22 formed by the phase deposition method is used to provide electronic semiconductors between the capacitors. The fabrication of semiconductor devices is increasingly accumulated, and the oxide layer 22 ° is gradually thinner so that the electrons Easy to run f. Therefore, the use of only 20% of the oxidized stone oxide layer 22 0 is enough to provide electrical isolation between the capacitors; because of the marginal nature, it is known that there is leakage between the capacitors and the properties of the 7L parts are damaged. In view of this, the purpose of the present invention is to solve the above-mentioned problematic method of manufacturing a crown capacitor of a random access memory = manufacturing the above-mentioned capacitor method on the base of a semi-conductive vessel of the component to manufacture the following steps: a dynamic random access memory The method is suitable for a semiconductor substrate with a switching element. The manufacturing method includes the following steps: forming a conductive layer in the first contact opening to the first contact opening of the switching element in the half layer; A second insulating layer is formed on the conductive plug; an internal layer is formed to connect a second connection of the conductive plug, a silicon oxide layer in the opening 221 is formed on the L insulating layer and the second contact opening; It is known that the crown shape is used for chemical gas isolation, and the thickness is also provided by the vapor deposition method, which cannot provide the foot shape, so that the problem is provided. It is suitable for the first manufacture of the above-mentioned conductor substrate on a rectangular capacitor. Insulated in the first and second contact openings; in a polycrystalline silicon

liralira

第6頁 zi / υ u __案號88〗nQQiK 五、發明說明(4) 層:施行熱氧化法, 丨鯈类 \ί > 將上述覆晶每s轉變為氧化矽層Page 6 zi / υ u __ Case No. 88〗 nQQiK V. Description of the invention (4) Layer: Thermal oxidation method, 丨 鲦 class \ ί > Turn the above-mentioned flip-chip into silicon oxide layer every s

WW

Ai, i-Λ , ,,, « —— ----,又句孔 1ϋ π /w ,回 / ,化矽層至第二絕緣層,以在上述第二接觸開口 1 J上形成氧化矽間隔物;在第二接觸開口内形成一下 ^玉,在上述下電極上形成一介電層;以及在上述介電層 上形成一上電極。 、^中上述複晶矽層之厚度介於200至5〇〇埃之間以及上 It熱氧化法之實施溫度介於8Q〇至之間較佳。 為讓本發明之上述和其他目的、特徵,和優點能更明 顯易懂’下文特舉一較佳實施例,並配合所附圖示,做詳 細說明如下: 圖式之簡蕈説明 第1圖係一般動態隨機存取記憶單元的電路示意圖; 第2A至第2C圖均為剖面示意圖,用以說明習知製造動 態隨機存取記憶單元之冠狀電容之的製造流程。 第3A至第3H圖均為剖面示意圖,用以說明依據本發明 的一較佳實施例的製造流程。 符號說明 210&310〜半導體基底;211&31卜場氧化層;g&G’〜閘 極構造;212&320〜閘極間隔物;21 4&3 2 2〜源極/汲極區; 216、220、324 & 328〜絕緣層;218&326〜導電插塞;332〜 複晶矽層;334〜氧化矽層;334’~氧化矽間隔物;222’& 336’〜下電極;226&340〜介電層;228&342〜上電極。 實施例 本發明所述之動態隨機存取記憶體之冠狀電容的製造Ai, i-Λ, ,,, «---- ----, and also a hole 1ϋ π / w, return /, to form a silicon layer to a second insulating layer to form silicon oxide on the second contact opening 1 J A spacer; forming a bottom jade in the second contact opening, forming a dielectric layer on the lower electrode; and forming an upper electrode on the dielectric layer. It is preferable that the thickness of the above-mentioned polycrystalline silicon layer is between 200 and 500 angstroms, and the implementation temperature of the above It thermal oxidation method is between 8Q0 and. In order to make the above and other objects, features, and advantages of the present invention more comprehensible, hereinafter, a preferred embodiment is described in detail with the accompanying drawings, and the detailed description is as follows: It is a schematic circuit diagram of a general dynamic random access memory unit; FIGS. 2A to 2C are cross-sectional schematic diagrams, which are used to explain the manufacturing process of a conventional capacitor for manufacturing a dynamic random access memory unit. 3A to 3H are schematic cross-sectional views for explaining a manufacturing process according to a preferred embodiment of the present invention. Symbol description 210 & 310 ~ semiconductor substrate; 211 & 31 field oxide layer; g & G '~ gate structure; 212 & 320 ~ gate spacer; 21 4 & 3 2 2 ~ source / drain region; 216 , 220, 324 & 328 ~ insulation layer; 218 & 326 ~ conductive plug; 332 ~ multicrystalline silicon layer; 334 ~ silicon oxide layer; 334 '~ silicon oxide spacer; 222' & 336 '~ lower electrode; 226 & 340 ~ dielectric layer; 228 & 342 ~ upper electrode. Example Manufacturing of a Crown Capacitor of the Dynamic Random Access Memory of the Present Invention

第7 I 2000. 08.21.007 4270 03 五、發明說明(5) 方法可施行於一半導體元件上’例如使用具N通道之金屬 氧化半導體場效電晶體(M0SFET)。然而,本發明所描述之 動態隨機存取記憶體之冠狀電容之製造方法也可施行於具 P通道的M0SFET元件。 首先’請先參照第3A圖’於一半導體基底31〇上形成 —場氧化層311以界定出元件區。接著在基底31〇上依序形 成一閘極氧化層31 2、一閘極電極31 4、一矽化金屬層3 1 6 和一閘極遮蔽層31 8後’用微影技術和非等向性蝕刻製程 對上述各層定義囷案,以形成一閘極構造G,。其中閘極氧 化層31 2可為氧化矽層’例如在存在氧氣之環境下,以高 溫氧化法所形成。閘極電極314可為一導電摻雜之複晶矽 層。金屬層316可為一矽化鎢層◊閘極遮蔽層318 一般採用 氮化矽層。 接著以閘極構造G’為罩幕,佈植離子於其兩侧之基底 ’形成淡摻雜區。然後,在閘極構造之側壁上形成一間隔 物320。間隔物320係以沈積並回蝕刻一絕緣層而形成,其 一般可選擇氮化妙或氧化珍等材料。 接著以閘極構造G,和閘極間隔物320為罩幕,佈植更 濃離子至基底310内,並深及淡摻雜區下方,完成具有LDD 設計之源極/汲極區322,至此完成一電晶體元件的製程。 接著’沈積一絕緣層3 2 4覆蓋在上述電晶體元件表面 上’之後再平坦化絕緣層32 4,以形成利於後續製程之平 坦表面。接著以微影技術和蝕刻製程在絕緣層3 2 4中形成 一第一接觸開口 325,其連通至部份源極/汲極區322。接No. 7 I 2000. 08.21.007 4270 03 V. Description of the invention (5) The method can be implemented on a semiconductor element, for example, a metal oxide semiconductor field effect transistor (MOSFET) with an N-channel. However, the method for manufacturing the crown capacitor of the dynamic random access memory described in the present invention can also be applied to a MOSFET device with a P channel. First, “Please refer to FIG. 3A” to form a field oxide layer 311 on a semiconductor substrate 31 to define a device region. Next, a gate oxide layer 31 2, a gate electrode 31 4, a silicided metal layer 3 1 6 and a gate shielding layer 31 8 are sequentially formed on the substrate 31 ′ using lithography and anisotropy. The etching process defines a scheme for each of the above layers to form a gate structure G ′. The gate oxide layer 31 2 may be a silicon oxide layer, for example, formed by a high-temperature oxidation method in an environment where oxygen is present. The gate electrode 314 may be a conductively doped polycrystalline silicon layer. The metal layer 316 may be a tungsten silicide layer and the gate shielding layer 318. Generally, a silicon nitride layer is used. Next, a gate structure G 'is used as a mask, and ions are implanted on the substrate ′ on both sides to form a lightly doped region. Then, a spacer 320 is formed on the sidewall of the gate structure. The spacer 320 is formed by depositing and etching back an insulating layer. Generally, materials such as nitride or oxide can be selected. Next, the gate structure G and the gate spacer 320 are used as a mask, and more concentrated ions are implanted into the substrate 310 and deep and below the lightly doped region to complete the source / drain region 322 with the LDD design. Complete the process of a transistor element. Next, "deposit an insulating layer 3 2 4 overlying the surface of the transistor element" and then planarize the insulating layer 32 4 to form a flat surface which is favorable for subsequent processes. A lithography technique and an etching process are then used to form a first contact opening 325 in the insulating layer 3 2 4, which is connected to a portion of the source / drain regions 322. Pick up

427003 發費明⑹ ' ~ 著沈積一導電層在第一絕緣層324上’並填滿第一接觸開 口 325 ’之後再以回蝕程序移除第一絕緣層324上之上述導 電層’留下在第一接觸開口 325内之上述導電層,形成— 與部分源極/汲極區連通314之導電插塞326。其中導電插 塞326可採用導電摻雜之複晶矽或金屬鎢。之後,在絕緣 層324和導電插塞326上形成第二絕緣層328。其中第二絕 緣層328採用以化學氣相沈積法形成之氧化矽較佳。 之後,請參照第3B圖,以微影技術和蝕刻製程定義第 二絕緣層328,以形成圖案化之第二絕緣層328,及一與導 電插塞326相通之第二接觸開口 330。 緊接著,請參照第3C圖,在第二絕緣層328,上和第二 接觸開口内形成一導電摻雜之薄複晶矽層332。其中薄複 晶矽層332之厚度介於2〇〇至500埃之間較佳。 之後請參照第3 D圖,對複晶矽層332施以高溫熱氧化 法’使其與氧氣反應而轉變成氧化矽層334 β其中上述熱 氧化法之實施溫度介於8 〇 〇至1 〇 〇 〇 °C之間較佳。 然後參照第3 E圖,施行一回蝕程序將氧化矽層3 3 4餘 刻至絕緣層3 2 8 ’之頂端表面’形成在第二接觸開口 3 3 〇側 壁上之氧化矽間隔物334,,並露出導電插塞326之頂端表 面。 接著’請參照第3F圖,在第一絕緣層324、第二絕緣 層328 、導電插塞326和氧化矽間隔物334,上形成一導電 層336。之後於導電層336上形成一保護層338,其填滿第 二接觸開口 330。其中上述導電層33 6可為導電摻雜之複晶427003 Faming Ming '~ ~ Deposit a conductive layer on the first insulating layer 324' and fill the first contact opening 325 ', and then remove the above conductive layer on the first insulating layer 324 by an etch-back procedure. The above-mentioned conductive layer in the first contact opening 325 forms a conductive plug 326 that communicates with a portion of the source / drain region 314. The conductive plug 326 may be conductively doped polycrystalline silicon or metal tungsten. After that, a second insulating layer 328 is formed on the insulating layer 324 and the conductive plug 326. The second insulating layer 328 is preferably made of silicon oxide formed by a chemical vapor deposition method. After that, referring to FIG. 3B, the second insulating layer 328 is defined by a lithography technique and an etching process to form a patterned second insulating layer 328, and a second contact opening 330 communicating with the conductive plug 326. Next, referring to FIG. 3C, a conductively doped thin polycrystalline silicon layer 332 is formed on the second insulating layer 328, and in the second contact opening. The thickness of the thin polycrystalline silicon layer 332 is preferably between 200 and 500 angstroms. After that, please refer to FIG. 3D, apply the high temperature thermal oxidation method to the polycrystalline silicon layer 332 to make it react with oxygen to transform into the silicon oxide layer 334 β. The implementation temperature of the above thermal oxidation method is from 800 to 1 It is preferably between 00 ° C. Then referring to FIG. 3E, an etch-back process is performed to etch the silicon oxide layer 3 3 4 to the top surface of the insulating layer 3 2 8 to form a silicon oxide spacer 334 on the side wall of the second contact opening 3 3 0. , And the top surface of the conductive plug 326 is exposed. Next, referring to FIG. 3F, a conductive layer 336 is formed on the first insulating layer 324, the second insulating layer 328, the conductive plug 326, and the silicon oxide spacer 334. A protective layer 338 is then formed on the conductive layer 336, which fills the second contact opening 330. The conductive layer 336 can be a conductively doped complex

五、發明說明(7) -- 矽以及保護層338可為一光阻材料。 接著,睛參照第3 G圖,施行一平坦化步驟如回蝕製程 ,移除第二絕緣層328’上之導電層3 36和保護層338,留下 在第二接觸開口 330内保護層338,和導電層336,(作下電極 用)。其中上述平坦化製程亦可為化學機械研磨法(CMp)。 之後移除保護層33 8’ 然後’請參照第3 Η圖,在第二絕緣層3 2 8,、氧化梦間 隔物334’和下電極336’上形成一介電層340。之後在介電 層340上形成上電極342,完成本發明之動態隨機存取記憶 體之冠狀電容構造《其中介電層340可為氧化矽/氮化矽/ 氧化矽層(ONO:oxide/nitride/oxide)、氧化组(Ta2〇5)、 氧化矽或氮化矽等具高介電係數之絕緣層。上電極342可 為導電摻雜之複晶矽層。 因此本發明之方法主要係在第二絕緣層内之第二接觸 開口之侧壁上增加一以熱氧化法形成之氧化矽間隔物3 34, ’由於熱氧化法形成之氧化矽在絕緣性上之表現優於傳統 之以化學氣相沈積法形成的氧化碎,所以可有效地提高電 容間之電性絕緣,減少電容間之漏電情形,達到較佳之資 料儲存效果。 同時’熟知此技藝者應可瞭解,本發明可用之物質材 料並不限於實施例中所引述之傳統動態隨機存取記憶體, 也可適用於内嵌式動態隨機存取記憶體,以及能由各種恰 _特性之物質和形成方法所置換’並且本發明之結構空間 亦不限於實施例所引用之尺寸大小。V. Description of the invention (7)-The silicon and the protective layer 338 may be a photoresist material. Next, referring to FIG. 3G, a planarization step such as an etch-back process is performed, and the conductive layer 3 36 and the protective layer 338 on the second insulating layer 328 'are removed, leaving the protective layer 338 in the second contact opening 330. , And a conductive layer 336, (for the lower electrode). The planarization process may be a chemical mechanical polishing (CMp) method. After that, the protective layer 33 8 'is removed, and then, referring to FIG. 3 (a), a dielectric layer 340 is formed on the second insulating layer 3 2 8, the oxide spacer 334', and the lower electrode 336 '. Then, an upper electrode 342 is formed on the dielectric layer 340 to complete the crown capacitor structure of the dynamic random access memory of the present invention. [Wherein the dielectric layer 340 may be a silicon oxide / silicon nitride / silicon oxide layer (ONO: oxide / nitride / oxide), oxide group (Ta205), silicon oxide or silicon nitride with high dielectric constant insulation layer. The upper electrode 342 may be a conductively doped polycrystalline silicon layer. Therefore, the method of the present invention is mainly to add a silicon oxide spacer formed by thermal oxidation on the side wall of the second contact opening in the second insulating layer. 3 34, 'The silicon oxide formed by the thermal oxidation method is insulating. The performance is better than the traditional oxidative debris formed by chemical vapor deposition, so it can effectively improve the electrical insulation between capacitors, reduce the leakage between capacitors, and achieve better data storage results. At the same time, those skilled in the art should understand that the material materials available in the present invention are not limited to the traditional dynamic random access memory cited in the embodiments, but also applicable to embedded dynamic random access memory, and can be used by Replaced by various materials and forming methods with the same characteristics, and the structural space of the present invention is not limited to the dimensions cited in the examples.

Claims (1)

^27003^ 27003 I 一種動態隨存取記憶體之 製造方法,適 :於-具有開關元件之半導體基底上:造上述電容,上述 製造方法包括下列步称: 通至上述開關元件之第 在上述半導體上形成具有連 接觸開口之第一絕緣層; 在上述第接觸開口内形成一導電插塞; 在上述第一絕緣層和上述導電插塞上形成一第二絕緣 層; 在上述第二絕緣層内形成一可連通上述導電插塞之第 二接觸開口; 在上述第二絕緣層上和上述第二接觸開口内形成一複 晶梦層; 施行熱氧化法,將上述複晶矽層轉變為氧化矽層丨 回蝕刻上述氧化矽層至第二絕緣層’以在上述第二接 觸開口之侧壁上形成氧化矽間隔物; 在第二接觸開口内形成一下電極; 在上述下電極上形成一介電層;以及 在上述介電層上形成一上電極。 2.如申請專利範圍第丨項所述之方法,其中上述動態 隨存取記憶體係為一内嵌式動態隨機存取記憶體。 3·如申請專利範圍第丨項所述之方法,其~中上述複晶 矽層之厚度介於20 0至50 0埃之間。 4.如申請專利範圍第丨項所述之方法,其中上述熱氧 化法之實施溫度介於8 〇 〇至1 0 0 0。(:之間。I A manufacturing method of dynamic random access memory, suitable for:-on a semiconductor substrate with a switching element: the above capacitor is manufactured, and the above manufacturing method includes the following steps: the first connection to the switching element is formed on the semiconductor with a A first insulating layer contacting the opening; forming a conductive plug in the first contact opening; forming a second insulating layer on the first insulating layer and the conductive plug; forming a communicable in the second insulating layer A second contact opening of the conductive plug; forming a polycrystalline dream layer on the second insulating layer and inside the second contact opening; and performing a thermal oxidation method to convert the polycrystalline silicon layer into a silicon oxide layer etch back The silicon oxide layer to the second insulating layer ′ to form a silicon oxide spacer on a side wall of the second contact opening; forming a lower electrode in the second contact opening; forming a dielectric layer on the lower electrode; and An upper electrode is formed on the dielectric layer. 2. The method according to item 丨 of the patent application scope, wherein the dynamic random access memory system is an embedded dynamic random access memory. 3. The method according to item 丨 in the scope of the patent application, wherein the thickness of the above-mentioned polycrystalline silicon layer is between 200 and 50 angstroms. 4. The method according to item 丨 of the scope of patent application, wherein the implementation temperature of the thermal oxidation method is between 800 and 1000. (:between. 第12頁 --- 427093 六、申請專利範圍 Π .如申請專利範圍第1項所述之方法,其中上述介電 層係由一擇自氧化矽/氮化矽/氧化矽、氧化钽、氧化矽和 氮化矽所構成之族中之物質所構成。 12.如申請專利範圍第1項所述之方法,其中上述下電 極係為導電摻雜之複晶矽層。Page 12 --- 427093 VI. Application for Patent Π. The method described in item 1 of the patent application, wherein the above dielectric layer is selected from silicon oxide / silicon nitride / silicon oxide, tantalum oxide, and oxide. Substances in the family of silicon and silicon nitride. 12. The method according to item 1 of the scope of patent application, wherein the lower electrode is a conductively doped polycrystalline silicon layer. 第14頁Page 14
TW88109905A 1999-06-14 1999-06-14 Manufacturing method of crown type DRAM capacitor TW427003B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW88109905A TW427003B (en) 1999-06-14 1999-06-14 Manufacturing method of crown type DRAM capacitor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW88109905A TW427003B (en) 1999-06-14 1999-06-14 Manufacturing method of crown type DRAM capacitor

Publications (1)

Publication Number Publication Date
TW427003B true TW427003B (en) 2001-03-21

Family

ID=21641113

Family Applications (1)

Application Number Title Priority Date Filing Date
TW88109905A TW427003B (en) 1999-06-14 1999-06-14 Manufacturing method of crown type DRAM capacitor

Country Status (1)

Country Link
TW (1) TW427003B (en)

Similar Documents

Publication Publication Date Title
US7053435B2 (en) Electronic devices including electrodes with insulating spacers thereon
TW492182B (en) DRAM memory cell for DRAM memory device and method for manufacturing it
TW521427B (en) Semiconductor memory device for increasing access speed thereof
US9082647B2 (en) Semiconductor devices
US20080142862A1 (en) Method of fabricating a trench capacitor having increased capacitance
TWI732236B (en) Integrated chip and method of forming an integrated chip
US20060086963A1 (en) Stacked capacitor and method for preparing the same
US6137131A (en) Dram cell with a multiple mushroom-shaped capacitor
JP2004080031A (en) Integrated metal-insulator-metal capacitors and metal-gate transistor
CN114256240A (en) Capacitor and preparation method thereof
US8035136B2 (en) Semiconductor device and method of manufacturing the same
TW200405522A (en) Novel method for making three-dimensional metal-insulator-metal capacitors for dynamic random access memory and ferroelectric random access memory
US5492848A (en) Stacked capacitor process using silicon nodules
US8088668B2 (en) Method for manufacturing capacitor lower electrodes of semiconductor memory
US7235838B2 (en) Semiconductor device substrate with embedded capacitor
US6563161B2 (en) Memory-storage node and the method of fabricating the same
TW584957B (en) Semiconductor integrated circuit and the manufacturing method thereof
US5913129A (en) Method of fabricating a capacitor structure for a dynamic random access memory
TW388984B (en) Dynamic random access memory manufacturing
TW427003B (en) Manufacturing method of crown type DRAM capacitor
JPH09232542A (en) Semiconductor device and manufacture thereof
CN110265397B (en) Memory structure and forming method thereof
JP2000114475A (en) Stacked capacitor memory cell and manufacture thereof
US6797557B2 (en) Methods and systems for forming embedded DRAM for an MIM capacitor
US6162670A (en) Method of fabricating a data-storage capacitor for a dynamic random-access memory device

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent