TW322595B - - Google Patents

Download PDF

Info

Publication number
TW322595B
TW322595B TW084111554A TW84111554A TW322595B TW 322595 B TW322595 B TW 322595B TW 084111554 A TW084111554 A TW 084111554A TW 84111554 A TW84111554 A TW 84111554A TW 322595 B TW322595 B TW 322595B
Authority
TW
Taiwan
Prior art keywords
film
conductor
insulating film
semiconductor
conductor layer
Prior art date
Application number
TW084111554A
Other languages
Chinese (zh)
Original Assignee
Hitachi Ltd
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd, Texas Instruments Inc filed Critical Hitachi Ltd
Application granted granted Critical
Publication of TW322595B publication Critical patent/TW322595B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34

Description

經濟部中央揉準局員工消费合作社印装 32^595 A7 B7 五、發明説明(1 ) 本發明係可適用於半導體稹體電路裝置,尤其製造方 法之光學石版印刷技術,及蝕刻技術之技術°亦即本發明 係關於半導體製造過程之石版印刷技術,乾式蝕刻技術, 尤關於以高精確度,高選擇性的加工由高融點金靥所構成 之配線之過程,對於半導體製造領域中之W配線加工過程 及其後之配線連接加工過程特別有效丨 通常製造半導體稹體電路裝置所使用之光學石版印刷 技術及蝕刻技術係使用圖型化之抗光劑做爲光罩選擇性的 蝕刻被蝕刻材料。例如以抗光劑做爲光軍選擇性的蝕刻形 成在凹凸狀基層基板上之金靥膜時,若以圇型曝光抗光劑 時基層金屬膜之光反射率高,則因晕光作用而使抗光劑之 曝光精確度降低。 防止光之反射所造成之暈光作用,提高曝光精確度亦 即金靥膜之圖型化精確度之方法有下列數種。 (1 )在需要圖型化之金靥膜上推稹具有吸光劑之抗 光劍,亦即 0 ARC (Bottom Asnti-Reflection Coating)膜做爲反射防止膜,在該膜上堆積感光用抗光 劑。然後以圖型曝光由該推積膜所構成之抗光劑。這種圖 型化方法稱之爲BARC法。 (2 )特公平6 - 1 7 6 4號或特開平1 6 0 0 8 1 號公報中揭示一種使用氮化合物做爲推稹在基層金靥膜上 之反射防止膜之方法。這種圖型曝光方法係使用氮化合物 做爲形成反射率高之鋁等配線之反射防止膜。 亦即在被加工膜上推稹氮化合物做爲反射防止膜,在 本紙張尺度適用中國國家標準(CNS)A4规格( 210X297公釐)_ 4 - (請先閲讀背面之注意事項再填寫本頁) < 訂 松 595_^_ 五、發明説明(2 ) 該反射防止膜上堆稹感光用抗光劑。然後以圖型曝光抗光 劑。 16M位元DRAM所使用之配線材料可採用鋁系統 配線材料中,電阻低,而且與該鋁比較,其電氣遷移耐性 及耐腐蝕刻極高之W或Mo等高融點金屬。尤其該高融點 金屬並非做爲閘極使用,而係做爲雙層配線或3層配線使 用0 使用高融點金靥中之鎢等配線材料時,因爲該配線材 料之反射率低於鋁之反射率,故暈光所造成對抗光劑曝光 精確度之影響不構成問題。 本發明之發明者發現爲了實現下一代位元,例如6 4 Μ位元D RAM,半導體製造過程必須更細微化,過去全 不成問題之鎢膜之反射率亦必須考慮。 因此,即使在使用W,Mo等高融點金屬之配線之圖 型化時,亦使用上述之例如B A R C法。 然而,B A R C法中有如下之問題。 經濟部中央標準局貞工消费合作社印製 (請先閲讀背面之注意事項再填寫本頁) B A R C法係將反射防止膜乾式蝕刻後,以抗光劑反 射防止膜做爲光軍加工鎢配線之過程。其中反射防止膜加 工時,抗光劑/反射防止膜之切削量大。 又因爲反射防止膜加工時,横方向之蝕刻量大,因此 發生鎢被切削,尺寸發生偏差等問題。 在反射防止膜之蝕刻加工時,即使其膜厚爲 1 0 0 0A,仍需要大約1 8 0秒之時間,通過量低。 以下更詳細說明上述問題。 本紙張尺度適用中國國家標準(CNS ) A4规格(210 X 297公釐)-5 - 經濟部中央揉準局員工消費合作社印«. A7 B7 五、發明説明(3) 第24 (a)〜24 (c)圖表示利用BARC法之 W配線加工過程。 如第2 4 ( a )圖所示,配線用鎢金屬膜1 0 1係推 稹在層間絕緣膜1 〇 0上。在金屬膜1 0 1上推稹 BARC膜(反射防止膜)1 〇 2及感光用抗光膜1 0 3 。抗光膜1 〇 3及BARC膜1 0 2經過圖型曝光後,以 乾式蝕刻法蝕刻抗光膜1 .0 3。然後,如第2 4 ( b )圖 所示,蝕刻BARC膜1 0 2。此時,最好如虛線所示的 進行蝕刻加工。但BARC膜1 0 2對抗光膜1 0 3之蝕 刻選擇比大約1。亦即2片膜之蝕刻速度大致相等。因此 在蝕刻BARC膜1 0 2時,抗光膜1 0 3上部之蝕刻繼 續發生而產生抗光膜損失h。同時產生抗光膜及BARC 膜之側壁之切削,亦即尺寸W1發生偏差成爲尺寸W2。 亦即抗光罩發生形狀不良。尤其,爲了防止抗光膜損失而 增加塗敷於BARC膜1 0 2上之抗光膜1 0 3之厚度時 ,抗光膜之聚光邊限降低,結果發生抗光膜之圚型化不良 。以抗光膜1 0 3及BARC膜1 0 2爲光罩蝕刻鎢膜 1 0 1時,做爲蝕刻掩罩之抗光膜1 0 3及BARC膜 1 0 2發生切削。其理由爲抗光膜1 0 3及BARC膜 1 0 2對鎢膜1 0 1之蝕刻選擇比低至大約2。 結果,如第24 (c)圇所示,發生鎢配線之尺寸偏 差(W3<W2 ),及鎢配線之肩部削落現象E,配線斷 面形狀變成不均勻2 4。因此,電流密度發生變化,裝置 性能發生不均勻。 本紙張尺度適用中國國家標準(〇奶)八4規格(210父297公釐)_6_ ---^ J--1M----1¾------訂------媒—— - f (請先閲讀背面之注意事項再填寫本頁) 案中 利頁 專正 號修 541 15明 11拢 84文第中 朱32 ^ 595 A7 B7 Printed by the Employees ’Consumer Cooperative of the Central Bureau of Economic Development of the Ministry of Economic Affairs 5. Description of the invention (1) The present invention is applicable to the semiconductor laser circuit device, especially the optical lithography technology of the manufacturing method and the technology of etching technology ° That is, the present invention relates to the lithography technology and dry etching technology of the semiconductor manufacturing process, and particularly to the process of processing the wiring composed of high melting point gold with high accuracy and high selectivity. The wiring process and the subsequent wiring connection process are particularly effective. The optical lithography technology and etching technology commonly used in the manufacture of semiconductor ballast circuit devices use a patterned photoresist as a mask for selective etching. material. For example, when a photocatalyst is used to selectively etch a gold film formed on a concave-convex base substrate, if the light-reflecting rate of the base metal film is high when the photoresist is exposed in a puddle type, the halo effect may cause Reduce the exposure accuracy of the photoresist. There are several methods to prevent the halo caused by the reflection of light and improve the exposure accuracy, that is, the patterning accuracy of the gold film. (1) Push the anti-light sword with a light absorber on the gold film that needs to be patterned, that is, 0 ARC (Bottom Asnti-Reflection Coating) film as the anti-reflection film, and accumulate the anti-light for photosensitive on the film Agent. Then, the photoresist composed of the push-up film is exposed in a pattern. This patterning method is called the BARC method. (2) Japanese Patent Publication No. 6-1 7 6 4 or Japanese Patent Laid-Open No. 1 6 0 0 8 1 discloses a method of using a nitrogen compound as a reflection preventing film pushed on the base layer of gold film. This pattern exposure method uses a nitrogen compound as an anti-reflection film for forming aluminum and other wiring with high reflectivity. In other words, push the nitrogen compound on the processed film as the anti-reflective film, and the Chinese National Standard (CNS) A4 specification (210X297mm) is applicable to this paper standard _ 4-(Please read the precautions on the back before filling this page ) < dingsong 595 _ ^ _ V. Description of the invention (2) The anti-reflective film is used to accumulate light-sensitive photoresist. The photoresist is then exposed in a pattern. The wiring material used for 16M bit DRAM can be aluminum system. The wiring material has low resistance, and compared with the aluminum, its electrical migration resistance and corrosion resistance are very high. High melting point metals such as W or Mo. In particular, the high melting point metal is not used as a gate, but is used as a double-layer wiring or a 3-layer wiring. 0 When using wiring materials such as tungsten in high melting point gold, the reflectivity of the wiring material is lower than that of aluminum The reflectance, so the effect of halo caused by the exposure accuracy of the anti-light agent is not a problem. The inventors of the present invention have found that in order to realize the next-generation bit, for example, 64 M bit D RAM, the semiconductor manufacturing process must be more miniaturized, and the reflectance of the tungsten film, which was not a problem in the past, must also be considered. Therefore, even when patterning the wiring using high melting point metals such as W and Mo, the above-described method, for example, B A R C is used. However, the B A R C method has the following problems. Printed by the Zhengong Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs (please read the precautions on the back before filling in this page). The BARC method dry-etchs the anti-reflective film and uses the anti-reflective anti-reflective film as the tungsten wiring for the optical army. process. When the anti-reflection film is processed, the cutting amount of the photoresist / anti-reflection film is large. In addition, when the anti-reflective film is processed, the amount of etching in the lateral direction is large, so that the tungsten is cut and the dimensions are deviated. In the etching process of the anti-reflection film, even if the film thickness is 1000 A, it still takes about 180 seconds, and the throughput is low. The above problems are explained in more detail below. This paper scale is applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) -5-printed by the Employee Consumer Cooperative of the Central Bureau of Economic Development of the Ministry of Economic Affairs «. A7 B7 V. Invention description (3) Article 24 (a) ~ 24 (c) The figure shows the W wiring process using the BARC method. As shown in Fig. 24 (a), the tungsten metal film 101 for wiring is pushed onto the interlayer insulating film 100. A BARC film (antireflection film) 1 02 and a light-resistant photo-resistive film 1 0 3 are pushed on the metal film 101. After pattern exposure of the photoresist film 103 and the BARC film 102, the photoresist film 1.03 is etched by dry etching. Then, as shown in Fig. 24 (b), the BARC film 102 is etched. At this time, it is preferable to perform etching as shown by the broken line. However, the etching selectivity ratio of the BARC film 102 to the anti-light film 103 is about 1. That is, the etching speeds of the two films are approximately equal. Therefore, when the BARC film 102 is etched, the etching on the upper part of the light-resistant film 103 continues to occur, resulting in a loss of the light-resistant film h. At the same time, the side walls of the photoresist film and the BARC film are cut, that is, the size W1 deviates into the size W2. That is, the shape of the anti-reflective mask is defective. In particular, in order to prevent the loss of the anti-reflective film, when the thickness of the anti-reflective film 1 03 applied on the BARC film 102 is increased, the light-collecting margin of the anti-reflective film decreases, resulting in poor formation of the anti-reflective film. . When the tungsten film 101 is etched using the photoresist film 103 and the BARC film 102 as a photomask, the photoresist film 103 and the BARC film 102 used as an etching mask are cut. The reason is that the etching selectivity ratio of the light-resistant film 103 and the BARC film 102 to the tungsten film 101 is as low as about 2. As a result, as shown in the 24th (c), the size deviation of the tungsten wiring (W3 & W2), and the shoulder shaving phenomenon of the tungsten wiring E, the wiring cross-sectional shape becomes uneven 24. Therefore, the current density changes, and the device performance becomes uneven. The size of this paper is applicable to the Chinese National Standard (〇 奶) 84 specifications (210 father 297 mm) _6_ --- ^ J--1M ---- 1¾ ------ Subscribe ------ Media-- —-F (please read the notes on the back before filling in this page)

正 經濟部中央標準局員工消費合作社印製 五、發明説明(4 ) 在反射防止膜(BARC膜)1 0 2之蝕刻加工時, 其反射防止膜1 0 2之蝕刻速度低於上層之抗光層1 〇 3 之蝕刻速度1 0 3、若考慮上層之抗光層1 〇 3之抗.光層 損失時,其蝕刻加工條件受到限制。 因此,光罩(抗光層/反射防止膜)加工之通過量不 能提高。 另一方面,隨著W配線加工後之層間絕緣膜之平坦性 之提高,必須同時加工深度不同之配線連接孔。例如因爲 採用 CMP (Chemica 丨 Mechanical Polishing)技術而 使層間絕緣膜平坦化之結果,必須同時形成方位比不同之 配線連接孔。關於乾式蝕刻技術,則必須使線孔之W切削 量之抑制與深孔之開口性確保同時存在。 此外,即使在線孔上施加過度蝕刻時,亦必須高精確 度的抑制孔徑。亦即必須同時滿足方位比高之孔之開口之 確保,及方位比低之孔之過度開口之抑制。 同時形成方位比不同之配線連接孔時,亦即同時蝕刻 層間絕緣膜之膜厚不同之部分而形成配線連接孔時,如第 2 5圖所示,蝕刻層間絕緣膜1 5之方位比高之孔 1 5 d2之孔底部之下層配線材料5 2 a,使其開口邊緣 充分的擴大露出,則發生位於方位比低之孔1 5 d i之孔 底部之下層配線5 2 b之過度蝕刻,開孔部之側壁削除( 孔徑之擴大),及與上層配線之對正寬裕之減少等問題。 亦即如第2 6圖所示,假設設在下層配線1 4之設計上之 層間絕緣膜之開孔尺寸爲d,開口(連接孔)5 1與上層 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) .「^------'-IT------,一泉.._ (請先閲讀背面之注意事項再填寫本頁)Printed by the Employee Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs 5. Description of the invention (4) When etching the anti-reflective film (BARC film) 102, the etching speed of the anti-reflective film 102 is lower than that of the upper layer. The etching speed of the layer 1 〇3 is 1 0 3. If the resistance of the upper light-resistant layer 1 〇3 is considered, the etching process conditions are limited. Therefore, the throughput of processing the photomask (anti-reflective layer / reflection prevention film) cannot be increased. On the other hand, as the flatness of the interlayer insulating film after W wiring processing is improved, it is necessary to simultaneously process wiring connection holes of different depths. For example, as a result of using CMP (Chemica 丨 Mechanical Polishing) technology to flatten the interlayer insulating film, wiring connection holes with different azimuth ratios must be formed at the same time. Regarding the dry etching technique, it is necessary to ensure that the suppression of the W cutting amount of the wire hole and the opening property of the deep hole coexist. In addition, even when excessive etching is applied to the wire hole, the hole diameter must be suppressed with high accuracy. That is, it is necessary to satisfy both the opening of a hole with a high azimuth ratio and the suppression of excessive opening of a hole with a low azimuth ratio. When wiring connection holes with different orientation ratios are formed at the same time, that is, when portions with different film thicknesses of the interlayer insulating film are simultaneously etched to form wiring connection holes, as shown in FIG. The bottom wiring material 5 2 a of the bottom of the hole 15 d2 is sufficiently enlarged to expose the edge of the opening, and over-etching of the bottom wiring 5 2 b at the bottom of the hole 15 5 di The side wall is cut off (enlarged aperture), and the alignment with the upper layer wiring is reduced and so on. That is, as shown in Figure 26, assuming that the opening size of the interlayer insulating film provided on the design of the lower wiring 14 is d, the opening (connection hole) 51 and the upper layer. The paper size is applicable to the Chinese National Standard (CNS) A4 size (210X297mm). "^ ------'- IT ------, Yiquan .._ (Please read the notes on the back before filling this page)

部分之蝕刻狀態成爲如第2 7圖所示之圖型形狀。亦即開 孔5 1之孔徑擴大成d2>d。因此,與上層配線之對正 寬裕減小(i?>i?2)。因此下層配線14之表面部 52c過度的被蝕刻。 本發明之目的爲提供一種可提高由高融點金屬等所構 成之配線之光學石版印刷精確度,配線電阻小之半導體積 體電路裝置。 本發明之其他目的爲提供一種可提高由高融點金靥等 所構成之導體膜,及連接孔(穿孔)之圖型化時之光學石 版印刷精確度之半導體稹體電路裝置。 本發明之其他目的爲提供一種在方位比不同之連接孔 形成時,一方面可確保方位比高之孔之開口性,另一方面 可抑制方位比低之孔之基層膜削除,及連接孔之側壁削除 之半導體積體電路裝置之製造方法。 經濟部中央標準局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 本發明之其他目的爲提供一種可抑制配線連接孔加工 時發生之橫方向之蝕刻反應,提高孔徑之尺寸精確度之半 導體積體電路裝置之製造方法。 以下說明本發明之代表性構造。 本發明係一種半導體積體電路裝置,其特徵爲,高融 點金屬膜之上面係由反射率低於高融點金屬膜之反射率之 導體膜所構成之導體層具有圖型化之配線。 此時,所謂高融點金屬係指例如鎢(W ),鈦(Τ ί )等。所謂低反射率導體膜係指光反射率低於位於其下方 本紙張尺度適用中國國家橾準(CNS ) Α4規格(210Χ297公釐) A7 B7 322595 五、發明説明(6) 之高融點金靥之導體膜,例如氮化鈦(TiN)。 本發明係一種半導體稹體電路裝置之製造方法,其特 徵爲包括:在半導體基板上形成由高融點金靥膜及低反射 率導體膜所構成之層曼膜之過程:利用光學石版印刷技術 形成由層曼膜所構成之第1導體層之過程:在第1導體層 上部形成絕緣膜之過程:在第1導體層上部利用光學石版 印刷技術於絕緣膜上形成連接孔之過程:及在連接孔上形 成第2導體層之過程; 依照本發明,係由高融點金屬膜之上面由低反射率之 導體膜所構成之層疊配線所構成。低反射率導體膜係使用 T i N膜。該T i N膜與習用之配線圖型化時使用之具有 吸光劑之抗光膜比較反射率較低,而且對基層高融點金靥 膜之触刻選擇比高於感光用抗光膜之蝕刻選擇比。因此, 可抑制配線圖型化(乾式蝕刻)時之抗光膜損失,而且即 使無抗光膜時,其T i N膜變成硬光罩,可抑制基層高融 點金靥膜之削除。因此,可製成一種可提高包括T i N腠 之實效配線寬度之尺寸加工精確度,而且具有配線電阻小 之配線之半導體積體電路裝置。 〔實施例〕 以下參照圓式說明本發明一實施例之半導體稹體電路 裝g及其製造方法。本實施例之半導體積體《路裝置構成 例如6 4M位元DRAM。- 本紙張尺度適用中國國家標準(CNS)A4規格( 210X297公釐)_ 9 _ ----ΙΊ Ι1Ί---¾-- (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部中央樣準局貝工消費合作杜印製 經濟部中央標準局WC工消費合作社印製 A7 _______B7__ 五、發明説明(7) 〔實施例1〕 第1圖表示包括本發明之半導體積體電路裝置之記憶 體晶胞領域及周邊電路領域之要部斷面圖。圖中Μ表示記 憶體晶胞領域,圖中Α表示周邊電路領域。 半導體基板1係由例如具有(1 0 0 )結晶面之p型 矽單結晶所構成。在半導體基板1之記億體晶胞領域Μ及 記憶體晶胞領域Α內形成有記憶體晶胞及周邊C Μ 0 S之 n MOS (FET)用之共同ρ阱2ρ。該ρ阱2ρ係 將Ρ型不純物之硼(Β )等選擇性的導入半導體基板1內 而形成。半導體基板1之周邊電路領域Α內形成有周邊 CMOS之p MOS (FET)用之η阱2n。該η阱 2 η係將η型不純物之磷(Ρ )等選擇性的導入半導體基 板1內。 在Ρ阱2 ρ上,爲了防止晶圓表面形成寄生通道,在 元件分離用場絕緣膜3之正下方接觸於該絕緣膜形成有ρ 型逋道止動層4 ρ。該通道止動層4 ρ係將ρ型不純物之 硼(Β )等經由絕緣膜3導入全部阱中而形成。 在η阱2 η上,爲了防止阱表面形成寄生通道,在場 絕緣膜3之正下方接通於該絕綠膜形成有η型通道止動層 4 η。該通道止動層4 η係將η型不純物之磷(Ρ )等經 由場絕緣膜導入全部阱內而形成。該場絕緣膜3係由例如 將半導體基板選擇性的氧化而成之二氧化矽(S i 〇2) 所構成。 通道止動層4 ρ在位於由場絕緣膜3包圍之元件形成 本紙張尺度逍用中國國家標準(CNS)A4規格( 210X297公釐)_ iq _ —7 T— I r— 111 I — (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部中央標準局只工消費合作社印製 A7 B7 五、發明説明(8) 領域5 p內部之部分具有不純物濃度之尖峰値,而且具有 耐α線防止對策用之p+埋入層之功能。 同樣的,通道止動層4 η在由場絕緣膜3圍繞之元件 形成領域4 η內部具有不純物濃度尖峰値,而且具有耐α 線防止對策用之η +埋入層之功能。 在記憶體晶胞領域Μ內之元件形成領域5 ρ,亦即ρ 型半導體領域上形成有構成記憶體晶胞之轉換器用之η MOS (FET) 6及資訊儲存用電容器1 1。 n MO S 6 具有 LDD (Lightly Doped Drain) 構造,而且經由形成於P型半導體領域5 p主面上之閘極 絕緣膜6 c,及構成形成於閛極6 b及ρ型半導體領域 5p內之源極吸極之一對η型半導體領域6A1,6A2 所構成。閘極絕緣膜6 c係例如由S i 〇2所構成。閘極 6 b係由例如η型之低電阻聚矽所構成。在閘極6 b上部 披覆例如由CVD — S i 〇2製成之閘極帽蓋a。在閘極 6 b側部形成有由C V D - S i 0 2所構成之側壁絕緣膜 1 0。一對η型半導體領域6 a 1,6 a 2係將磷(P) 選擇性的導入P型半導體領域5 ρ內而形成。 記憶體晶胞領域Μ中之n MOS 6中之一半導體領 域層6Α2構成鄰接之n MOS 6之一半導體領域層, 而成爲2個記憶體晶胞之共同領域。 電容器1 1之形狀爲散熱片狀,而由一對《容器用電 極1 1 a 1 ,1 1 a 2,及形成於其間之氰容器用絕緣膜 1 1 b所構成。 本紙張尺度適用中國國家揉準(CNS ) A4規格(210 X 297公釐)_ j j - --------------^'隻-- (請先聞讀背面之注意事項再填寫本頁) 訂 322595 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(9) 電容器用電極1 1 a 1 ,1 1 a 2係例如由η型之低 電阻聚矽所構成。電容器用絕緣膜1 1 b係由例如氮化矽 (S i 3N4)所構成。一方之電容器用電極1 1 a 1電連 接於n MOS 6之一半導髏領域層6Α2 ,而另一方之 電容器用電極1 1 a 2電連接於供電用配線(未圖示)。 另一方之電容器用電極1 1 a 2電連接於供電用配線(未 圖示)。 在周邊電路領域A之元件形成領域(半導體領域) 5p上形成有n MOS (FET) 7,元件形成領域( 半導體領域層)5n上形成有p MOS (FET) 8。 n MOS7及p MOS8構成記憶體晶胞之周邊電路 0 n M0S7 及 p M0S8 具有 LDD (Lightly Doped Drain)構造。 n MOS7係由形成於ρ型半導體領域5ρ之主面 上之閘極絕緣膜7 c,閘極7 b及構成,設在半導體領域 5ρ內之源極及吸極之一對η型半導體領域7A1 , 7Α2所構成。η型半導體領域7Α1 ,7Α2係將η型 不純物之磷(Ρ )及砒(A s )等選擇性的導入ρ型半導 體領域5 ρ內而形成。 p MOS 8係由設在η型半導體領域5 η之主面上 之閘極絕綠膜8 c,閘極8 b,及構成設在半導體領域 5b內之源極及吸極之一對P型半導體領域8A1, 8A2所構成。p MOS之ρ型半導體領域8A1, 本紙張尺度適用中國國家標準(CNS)A4规格( 210X297公釐)_ 12 _ (請先閱讀背面之注意事項再填寫本頁)Part of the etching state becomes the pattern shape as shown in Fig. 27. That is, the diameter of the opening 51 is enlarged to d2> d. Therefore, the alignment with the upper layer wiring reduces the margin (i?> I? 2). Therefore, the surface portion 52c of the lower-layer wiring 14 is excessively etched. An object of the present invention is to provide a semiconductor integrated circuit device which can improve the accuracy of optical lithography of wiring composed of high-melting-point metals and the like and has low wiring resistance. Another object of the present invention is to provide a semiconductor device circuit that can improve the accuracy of optical lithography when patterning a conductive film made of high melting point gold or the like and connecting holes (through holes). Another object of the present invention is to provide a connection hole with a high azimuth ratio while ensuring the opening of a hole with a high azimuth ratio when forming connection holes with different azimuth ratios, and to suppress the removal of the base film of a hole with a low azimuth ratio and the Method for manufacturing semiconductor integrated circuit device with side walls removed. Printed by the Employee Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs (please read the precautions on the back before filling in this page) The other purpose of the present invention is to provide a lateral etching reaction that can occur during the processing of wiring connection holes and increase the size of the aperture A method for manufacturing a precision semiconductor integrated circuit device. The representative structure of the present invention will be described below. The present invention is a semiconductor integrated circuit device, characterized in that the upper layer of the high-melting-point metal film is a conductor layer composed of a conductor film having a reflectivity lower than that of the high-melting-point metal film, and has patterned wiring. At this time, the so-called high melting point metal means, for example, tungsten (W), titanium (T ί), and the like. The so-called low-reflectivity conductive film means that the light reflectivity is lower than the paper size below it. The Chinese National Standard (CNS) Α4 specification (210Χ297 mm) A7 B7 322595 V. The invention description (6) high melting point gold plume The conductor film is, for example, titanium nitride (TiN). The present invention is a method for manufacturing a semiconductor ball circuit device, which is characterized in that it includes: a process of forming a layered film composed of a high-melting point gold film and a low-reflectivity conductor film on a semiconductor substrate: using optical lithography technology The process of forming the first conductor layer composed of the layered man film: the process of forming the insulating film on the top of the first conductor layer: the process of forming the connection hole on the insulating film using the optical lithography technology on the top of the first conductor layer: and The process of forming the second conductor layer on the connection hole; According to the present invention, it is composed of a laminated wiring composed of a high-melting-point metal film with a low-reflectivity conductor film. The low-reflectivity conductor film uses TiN film. The TiN film has a lower reflectivity than the conventional light-absorbing film with a light-absorbing agent used for wiring patterning, and the contact selection ratio of the high-melting-point gold-tallow film at the base layer is higher than that of the light-sensitive photoresist film Etching selection ratio. Therefore, the loss of the photoresist film during patterning (dry etching) of the wiring can be suppressed, and even when there is no photoresist film, the TiN film becomes a hard mask, which can suppress the removal of the high-melting point gold film of the base layer. Therefore, it is possible to manufacture a semiconductor integrated circuit device which can improve the accuracy of dimension processing including the effective wiring width of TiN, and has wiring with a small wiring resistance. [Embodiment] Hereinafter, a semiconductor package circuit device according to an embodiment of the present invention and a method of manufacturing the same will be described with reference to a round system. The semiconductor integrated circuit of this embodiment constitutes, for example, a 64 Mbit DRAM. -This paper scale is applicable to China National Standard (CNS) A4 specification (210X297mm) _ 9 _ ---- ΙΊ Ι1Ί --- ¾-- (please read the precautions on the back before filling in this page) Prototype Bureau Beigong Consumer Cooperation Du Printing A7 __B7__ printed by the WC Industrial Consumer Cooperative of the Central Standards Bureau of the Ministry of Economy V. Description of the invention (7) [Example 1] FIG. 1 shows the memory including the semiconductor integrated circuit device of the present invention Cross-sectional view of the main parts of the body cell field and the peripheral circuit field. In the figure, M represents the memory cell area, and A represents the peripheral circuit area. The semiconductor substrate 1 is composed of, for example, a p-type silicon single crystal having a (100) crystal plane. In the memory cell area M and the memory cell area A of the semiconductor substrate 1, a common p-well 2ρ for the n MOS (FET) of the memory cell and the peripheral C MOS is formed. The p-well 2p is formed by selectively introducing boron (B) of the P-type impurity into the semiconductor substrate 1. In the peripheral circuit area A of the semiconductor substrate 1, an n well 2n for p MOS (FET) of peripheral CMOS is formed. The η well 2 η selectively introduces phosphorus (P) of the η type impurity into the semiconductor substrate 1. In order to prevent the formation of parasitic channels on the surface of the wafer 2 p, the p-type track stopper 4 p is formed in contact with the field isolation film 3 for element isolation in contact with this insulating film. The channel stop layer 4p is formed by introducing boron (B) and the like of p-type impurities through the insulating film 3 into all wells. On the η well 2 η, in order to prevent the formation of a parasitic channel on the surface of the well, an n-type channel stop layer 4 η is formed on this green film to be directly connected to the field insulating film 3. The channel stop layer 4? Is formed by introducing phosphorus (?) Of the? -Type impurity into the entire well through the field insulating film. The field insulating film 3 is made of, for example, silicon dioxide (S i 〇2) formed by selectively oxidizing a semiconductor substrate. The channel stop layer 4 ρ is located in the element surrounded by the field insulating film 3 to form the paper size. The Chinese National Standard (CNS) A4 specification (210X297mm) _ iq _ — 7 T — I r — 111 I — (please Read the precautions on the back and then fill out this page) Order A7 B7 printed by the Consumer Standards Cooperative of the Central Bureau of Standards of the Ministry of Economy V. Description of the invention (8) Field 5 p The internal part has a peak value of impurity concentration and is resistant to the alpha line The function of p + buried layer for prevention measures. Similarly, the channel stop layer 4 η has an impurity concentration peak value in the element formation area 4 η surrounded by the field insulating film 3, and has the function of the η + buried layer for countermeasures against α line resistance. In the element formation area 5 ρ within the memory cell area M, that is, the ρ-type semiconductor area, an η MOS (FET) 6 for the converter constituting the memory cell and a capacitor 11 for information storage are formed. n MO S 6 has an LDD (Lightly Doped Drain) structure, and is formed through a gate insulating film 6 c formed on the main surface of the p-type semiconductor field 5 p, and a structure formed on the gate electrode 6 b and the p-type semiconductor field 5 p One of the source and sink is composed of n-type semiconductor fields 6A1 and 6A2. The gate insulating film 6c is composed of Si02, for example. The gate electrode 6 b is made of, for example, n-type low-resistance polysilicon. A gate cap a made of, for example, CVD-S i 〇2 is coated on the upper portion of the gate 6 b. A side wall insulating film 10 composed of C V D-S i 0 2 is formed on the side of the gate electrode 6 b. A pair of n-type semiconductor fields 6 a 1 and 6 a 2 are formed by introducing phosphorus (P) into the p-type semiconductor field 5 ρ selectively. A semiconductor domain layer 6A2 in the n MOS 6 in the memory cell domain M constitutes a semiconductor domain layer in the adjacent n MOS 6 and becomes a common domain of the two memory cells. The shape of the capacitor 1 1 is a heat sink, and it is composed of a pair of electrodes for the container 1 1 a 1, 1 1 a 2, and an insulating film for the cyan container 1 1 b formed therebetween. The size of this paper is applicable to China National Standard (CNS) A4 (210 X 297 mm) _ jj--------------- ^ 'only-(please read the notes on the back first Please fill in this page again.) Order 322595 Printed by the Ministry of Economic Affairs Bureau of Central Standards Staff Consumer Cooperative A7 B7 V. Description of invention (9) Capacitor electrode 1 1 a 1, 1 1 a 2 is made of n-type low-resistance polysilicon Pose. The insulating film 1 1 b for capacitor is made of, for example, silicon nitride (S i 3N4). One of the capacitor electrodes 1 1 a 1 is electrically connected to the semi-conductor field layer 6A2 of the n MOS 6, and the other of the capacitor electrodes 1 1 a 2 is electrically connected to the power supply wiring (not shown). The other capacitor electrode 1 1 a 2 is electrically connected to the power supply wiring (not shown). An n MOS (FET) 7 is formed on the element formation area (semiconductor area) 5p of the peripheral circuit area A, and a p MOS (FET) 8 is formed on the element formation area (semiconductor area layer) 5n. n MOS7 and p MOS8 form the peripheral circuit of the memory cell. 0 n M0S7 and p M0S8 have an LDD (Lightly Doped Drain) structure. n MOS7 is composed of a gate insulating film 7 c, a gate electrode 7 b, and a gate electrode 7 b formed on the main surface of the p-type semiconductor field 5ρ, and one of the source electrode and the sink electrode provided in the semiconductor field 5ρ is a pair of n-type semiconductor fields 7A1 , Composed of 7Α2. The n-type semiconductor domains 7Α1 and 7Α2 are formed by introducing n-type impurities such as phosphorous (P) and arsenic (A s) into the p-type semiconductor domain 5ρ selectively. The p MOS 8 is composed of a gate green film 8 c, a gate 8 b provided on the main surface of the η-type semiconductor field 5 η, and a pair of P-type source and sink provided in the semiconductor field 5b The semiconductor field is composed of 8A1 and 8A2. pMOS type 8A1, the size of this paper is in accordance with Chinese National Standard (CNS) A4 specification (210X297mm) _ 12 _ (please read the precautions on the back before filling this page)

經濟部中央樣準局爲工消費合作社印製 本紙張尺度適用中國國家揉準(€阳)八4規格(210父297公釐)_ A7 _B7_ 五、發明説明(10) 8 A 2係將P型不純物之硼(B )選擇性的導入η型半導 體領域5 η內而形成。 閘極絕緣膜7 c,8 c係由將半導體領域5 ρ及半導 體領域5 η表面予以熱氧化而成之S i 〇2所構成。閘極 7 b係由例如η型之低電阻聚矽所構成。一方面,閘極 8 b係由ρ烈之低電阻聚矽所構成。在閘極7 b,8 b上 部分別披覆由CVD · S i 〇2所構成之閘極帽蓋9。在 閘極7 b,8 b之側部形成有由CVD · S i 〇2所構成 之側壁絕緣膜1 0。 在形成有電容器11,n ]^036,7,及口 MOS 8之半導體基板上設有層間絕緣膜(第1絕緣膜) 1 2。第1絕緣膜係由例如二氧化矽(S i 〇2)及形成 於 S i 〇2上之 BPSG (Boro-Phospho Silicate Glass)之層曼膜所構成。 在記憶體晶胞領域Μ之第1絕綠膜12上部形成有位 元線1 4 Β,該位元線經由形成於絕緣膜上之連接孔電連 接於構成記憶體晶胞之n MOS 6之半導體領域6Α2 。非常不容易以位元線1 4 B經由深連接孔直接接觸半導 體領域6 A 2。因此,在連接孔內埋設有η型之低電阻聚 矽1 3。 在周邊電路領域Α內,於第1絕綠膜12上部,與形 成位元線14B之同時形成第1導體層14之圖型,並經 由設在第1絕緣膜之連接孔電連接於n MOS 7, n MOS8之各半導體領域7Α2,8Α1。 13 - ---Ί---Ί------ (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部中央樣準局哭工消費合作社印製 A7 ____ B7五、發明説明(η) 位元線14Β及第1導體層14係構成本發明特徴之 要素,形成爲T i N/W/T i Ν構造,亦即從下方依次 形成氮化鈦(TiN)膜1 4a,鎢(W)膜1 4b,及 在最上面之氮化鈦膜1 4 c。下層之T i N膜1 4 a係爲 了與半導體領域7 a 2,8 a 1形成歐姆接觸而設置者。 其膜厚大約爲5 0〔nm〕膜1 4 b係做爲主要配線 材料形成者。其膜厚大約爲150 〔nm〕。上層TiN 膜1 4 C係用來做爲配線加工時之反射防止膜,而且留做 配線材料之一部份。其膜厚大約爲50 〔nm〕。各位元 線1 4 b及第1導體層1 4之線寬大約爲4 0 0 〔nm〕 Ο 在第1絕緣膜1 2上面形成有披覆在位元線及第1導 體層 1 4 上,由例如 CMP (Chemical Mechanical Polishing)平坦化之層間絕綠膜(第2絕綠膜)1 5。 亦即第2絕綠膜1 5係由例如S i 〇2/SOG/ Si〇2(15a,1 5b,1 5c)之重叠膜所構成, 而且其重壘膜中,對SOG15b實施CMP加工。因此 ,第2絕緣膜1 5在記憶體晶胞領域Μ上具有大約4 0 0 〔nm〕之膜厚,而在周邊電路領域Α上具有大約6 0 0 —7 0 0 〔nm〕之膜厚。 在第2絕緣膜1 5上,S0G 1 5 b係由對熱非常安 定之S i 〇2( 1 5 a,1 5 c )所挾持。因此,SOG 1 5 b本身對熱非常不安定(容易因溫度循環而發生龜裂 )。但因爲由對熱安定之Si〇2(15a,15c)保 (請先閲讀背面之注意事項再填寫本頁) •y 訂 --7· 本紙張尺度適用中國國家揉準(CNS)A4規格( 210X297公釐)· Μ - 經濟部中央橾準局舅工消費合作社印製 A7 B7 五、發明説明(12) 護S0G1 5b,故即使S0G1 5b發生龜裂,亦不會 影響上層及下層之導體層。此外,因爲上層導體層(第2 導體層1 6 )係接觸安定之S i 〇2( 1 5 c )上,故可 提高上層導體層之加工尺寸精確度。 在第2絕綠膜1 5上面形成有許多第2導體層1 6, 該導體層經由連接孔電連接於位元線1, 4 B及第1導體層 1 4。第2導體層1 6形成Ti N/AJ2/W構造。亦即 從下方依次形成鎢(W)膜1 6a,鋁(Ai2)膜1 6b ,及最上面之氮化鈦(T i N)膜1 6 c。基層W膜 1 6 a係做爲上層Aj?膜1 6 b與第1導體層1 4 (位元 線14B)間之障礙層,及爲了改善第2絕緣膜15在連 接孔內之覆蓋性而形成者。其膜厚大約爲50 〔nm〕。 A5膜1 6 b係做爲低電阻配線材料形成者。其膜厚大約 爲100 〔nm〕。上層TiN膜16c係與第1導體層 1 4 (位元線1 4 B )相同,做爲配線加工時之反射防止 膜使用,而且留作配線材料之一部份。其膜厚大約爲5 '0 〔n m〕〇 在第2絕緣膜1 5之上面形成有披覆在第2導體層 1 6之層間絕緣膜(第3絕緣膜)1 7。該絕緣膜係與第 2絕緣膜1 6相同的由S i 〇2/SOG/S i 〇2( 1 6a,1 6b,1 6c)之重叠膜所構成。在第3絕緣 膜1 7上形成有許多第3導體層1 8。雖然圖中未表示, 第3導髓層1 8經由設在第2絕緣膜1 6上之連接孔電連 接於第2導體層1 6。 (請先閲讀背面之注意事項再填寫本頁) .¾ 訂 本紙浪尺度適用中國國家梯準(CNS ) A4规格(210X297公釐)_ - 年月曰 修止補充 A7 B7 五、發明説明(13) 第3導體層1 8具有與第2導體層1 6相同之Ti N /Aj?/W 構造。 第3絕綠膜1'7,及第3導體層1 8之上面形成有由 膜厚大約6 0 0 〔nm〕之S i 〇2所構成之做爲表面保 護用之最後表面穩定化膜(Final Passivation) 1 9。 以下參照第2至1 9圖說明本實施例1 (第1圖)之 半導體稹體電路裝置之製造方法。圖中Μ表示記憶體晶胞 領域,Α表不周邊電路領域。 如第2圇所示,在由p型矽單結晶所構成之半導體基 板1之主面上形成η阱2 η,及P阱2 ρ ° η阴=2 π係在 形成只有η阱領域露出之光罩後,在半導體基板內注入磷 (Ρ)等,然後退火而形成。一方面,Ρ阱2 ρ係形成只 有ρ阱領域露出之光罩後,在半導體基板內注入硼(Β ) 等,然後退火而形成_。 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 具體言之形成Ρ阱2 ρ,及η阱2 η時,係採用利用 氧化膜厚度之自調整技術(雙阱自調整)達成(未圖示) 。亦即以耐氧化性膜之S i 3 Ν 4膜選擇性的覆蓋半導體基 板1上需要形成P阱之主要面部。然後,利用離子注入法 在未形成S i3N4膜上需要形成半導體基板1之η阱之主 面部上道由η型磷所構成之不純物,形成η型離子注入層 。此時之注入劑量爲2. 0Xl013atoms/cm2, 注入能量爲12 5KeV。然後,以該S i3N4膜爲光罩 選擇性的氧化該η型離子注入層表面,在其表面形成 S i 〇2膜。然後,未除S i3N4膜,以該S i 〇2膜(選 各紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -16 - 經濟部中央標準局貝工消費合作社印製 A7 ___B7_ 五、發明説明(14)The Central Prototype Bureau of the Ministry of Economic Affairs has printed this paper for the industrial and consumer cooperatives. The paper is suitable for the Chinese National Standard (€ Yang) 84 specifications (210 father 297 mm) _ A7 _B7_ V. Description of the invention (10) 8 A 2 series will be P The boron (B) of the type impurity is selectively introduced into the η-type semiconductor field 5 η and formed. The gate insulating films 7c, 8c are composed of S i 〇2 obtained by thermally oxidizing the surfaces of the semiconductor field 5 ρ and the semiconductor field 5 η. The gate electrode 7 b is made of, for example, n-type low-resistance polysilicon. On the one hand, the gate electrode 8 b is made of low-resistance polysilicon. A gate cap 9 made of CVD · S i 〇2 is coated on the top of the gates 7 b and 8 b, respectively. On the sides of the gate electrodes 7 b and 8 b, a side wall insulating film 10 made of CVD · S i 〇2 is formed. An interlayer insulating film (first insulating film) 12 is provided on the semiconductor substrate on which the capacitor 11, n, 036, 7, and MOS 8 are formed. The first insulating film is composed of, for example, a silicon dioxide (S i 〇2) and a layered film of BPSG (Boro-Phospho Silicate Glass) formed on the S i 〇2. A bit line 14B is formed on the first green film 12 of the memory cell area M, and the bit line is electrically connected to the n MOS 6 constituting the memory cell through a connection hole formed in the insulating film 6Α2 in the field of semiconductors. It is very difficult to directly contact the semiconductor area 6 A 2 via the deep connection hole with the bit line 1 4 B. Therefore, n-type low-resistance polysilicon 13 is buried in the connection hole. In the peripheral circuit area A, a pattern of the first conductor layer 14 is formed on the top of the first green film 12 at the same time as the formation of the bit line 14B, and is electrically connected to the n MOS through the connection hole provided in the first insulating film 7, n MOS8 each semiconductor field 7Α2, 8Α1. 13---- Ί --- Ί ------ (Please read the precautions on the back before filling in this page) Order A7 ____ B7 printed by the Crypto-Consumer Cooperative of the Central Bureau of Samples of the Ministry of Economic Affairs η) The bit line 14B and the first conductor layer 14 constitute the elements of the present invention and are formed into a TiN / W / TiN structure, that is, a titanium nitride (TiN) film 14a, tungsten is formed in sequence from below (W) Film 14b, and the uppermost titanium nitride film 14c. The lower TiN film 14a is provided to make ohmic contact with the semiconductor areas 7a2, 8a1. The film thickness is about 50 [nm] film 1 4 b is used as the main wiring material. The film thickness is about 150 [nm]. The upper TiN film 1 4 C is used as an anti-reflection film during wiring processing, and is left as part of the wiring material. The film thickness is about 50 [nm]. The line width of each bit line 1 4 b and the first conductor layer 14 is approximately 4 0 0 [nm] Ο The bit line and the first conductor layer 1 4 are formed on the first insulating film 12, An interlayer green film (second green film) planarized by, for example, CMP (Chemical Mechanical Polishing) 15. That is, the second green film 15 is composed of, for example, an overlapping film of Si02 / SOG / Si〇2 (15a, 15b, 15c), and the SOG 15b is subjected to CMP processing in its heavy barrier film. Therefore, the second insulating film 15 has a film thickness of about 400 [nm] on the memory cell area M, and has a film thickness of about 600-7 0 0 [nm] on the peripheral circuit area A. . On the second insulating film 15, SOG 1 5 b is held by S i 〇2 (15 a, 15 c) which is very stable to heat. Therefore, SOG 15 b itself is very unstable to heat (it is easy to crack due to temperature cycling). However, because it is covered by Si〇2 (15a, 15c) for thermal stability (please read the precautions on the back before filling in this page) • y order--7 · This paper size is applicable to China National Standard (CNS) A4 ( 210X297mm) · Μ-A7 B7 printed by the Uncle Consumer Cooperative of the Central Bureau of Economic Affairs of the Ministry of Economic Affairs 5. Description of the invention (12) Protect S0G1 5b, so even if S0G1 5b cracks, it will not affect the upper and lower conductor layers . In addition, since the upper conductor layer (second conductor layer 16) is in contact with the stable Si0 2 (15c), the accuracy of the processing dimension of the upper conductor layer can be improved. A plurality of second conductor layers 16 are formed on the second green film 15, and the conductor layers are electrically connected to the bit lines 1, 4 B and the first conductor layer 14 via connection holes. The second conductor layer 16 has a Ti N / AJ2 / W structure. That is, a tungsten (W) film 16a, an aluminum (Ai2) film 16b, and an uppermost titanium nitride (TiN) film 16c are formed in this order from below. The base layer W film 16 a serves as an obstacle between the upper layer Aj? Film 16 b and the first conductor layer 14 (bit line 14B), and in order to improve the coverage of the second insulating film 15 in the connection hole Former. The film thickness is about 50 [nm]. The A5 film 16 b is used as a low resistance wiring material. The film thickness is about 100 [nm]. The upper TiN film 16c is the same as the first conductor layer 14 (bit line 14B), and is used as an anti-reflection film during wiring processing, and is reserved as part of the wiring material. The thickness of the film is approximately 5'0 [nm]. An interlayer insulating film (third insulating film) 17 covering the second conductor layer 16 is formed on the second insulating film 15 above. This insulating film is the same as the second insulating film 16 and is composed of an overlapping film of S i 〇2 / SOG / S i 〇2 (16a, 16b, 16c). A plurality of third conductor layers 18 are formed on the third insulating film 17. Although not shown in the figure, the third medullary guide layer 18 is electrically connected to the second conductor layer 16 via a connection hole provided in the second insulating film 16. (Please read the precautions on the back before filling in this page). ¾ The standard of the paper wave is applicable to the Chinese National Standard (CNS) A4 specification (210X297mm) _-Supplementary A7 B7 for the year and month ) The third conductor layer 18 has the same Ti N / Aj? / W structure as the second conductor layer 16. The third green film 1'7 and the third conductor layer 18 are formed on the upper surface of the third conductor layer 18 with a thickness of about 600 [nm] S i 〇2 as a final surface stabilizing film for surface protection ( Final Passivation) 1 9. The method of manufacturing the semiconductor device circuit of the first embodiment (FIG. 1) will be described below with reference to FIGS. 2 to 19. In the figure, M represents the memory cell area, and A represents the peripheral circuit area. As shown in the second wall, the η well 2 η is formed on the main surface of the semiconductor substrate 1 composed of p-type silicon single crystal, and the P well 2 ρ ° η overcast = 2 π is formed when only the η well area is exposed After the photomask, phosphorus (P) or the like is injected into the semiconductor substrate, and then annealed to form. On the one hand, after forming a photomask in which only the ρ-well area is exposed, ρ-well 2 ρ is implanted into the semiconductor substrate with boron (B), etc., and then annealed to form _. Printed by the Employee Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs (please read the precautions on the back before filling in this page). Specifically, when forming ρ-well 2 ρ and η-well 2 η, self-adjusting technology using oxide film thickness ( Double-trap self-adjustment) reached (not shown). That is, the Si 3 N 4 film of the oxidation-resistant film selectively covers the main surface of the semiconductor substrate 1 on which the P well needs to be formed. Then, an ion implantation method is used to form an impurity of n-type phosphorus on the main surface of the n-well of the semiconductor substrate 1 on which the Si3N4 film is not formed to form an n-type ion implantation layer. At this time, the implantation dose is 2.0 × 1013 atoms / cm2, and the implantation energy is 12 5KeV. Then, using the Si3N4 film as a photomask, the surface of the n-type ion implantation layer is selectively oxidized to form a Si02 film on the surface. Then, without removing the S i3N4 film, use the S i 〇2 film (select the paper size applicable to the Chinese National Standard (CNS) A4 specification (210X 297 mm) -16-A7 printed by the Beigong Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs ___B7_ V. Description of the invention (14)

擇氧化膜)爲光罩,利用離子注入法在需要形成P阱之主 面部(去除S i 3N4膜之半導體基板1之主面部)導入由 P型硼所構成之不純物,形成p型離子注入層。此時之注 入劑量爲8. 0X1012atoms/cm2,注入能量 爲6 OKeV。然後,在溫度大約8 0 0 °C〜1 2 0 0 °C 之條件下,進行具有離子注入損壞復元之退火之阱擴散, 1 1 在半導體基板1內形成p阱2 P,及η阱2 η。如此去除 形成於阱表面之S i 〇2膜。第2圖表示去除S i 〇2膜時 之半導體基板。 然後,如第3圖所示,在形成有阱2 p,2n之半導 體基板1之主面選擇性的形成場絕緣膜3。場絕緣膜3係 由膜厚大約4 0 0 〔 nm〕之S i 〇2所構成,而且由公 知之 LOCOS (Local Oxidation of Silicon)法形成 。第3圇爲去除形成場絕綠膜3時使用之耐氧化光罩( S i3N4膜)之狀態下之半導體基板。 '然後,如第4圖所示,在半導體基板1上形成p型通 道止動層4 p,及η型通道止動層4 η。首先,爲了形成 Ρ型通道止動層4 ρ,選擇性的形成覆蓋η阱2 η表面上 之光罩,利用離子注入法將硼等經由場絕緣膜3導入表面 上形成光罩之Ρ阱2 ρ·內。此時之注入劑置爲4X1 012 a t oms/cm2,注入能量爲1 8 OKeV。以如此 高之能置注入離子,即可經由場絕緣膜3在ρ阱2 ρ內導 入不純物,而且使胲場絕緣膜3與ρ阱2 ρ間之界面附近 具有不純物溴度之尖峰,藉此可防止形成場絕嫌膜3下方 張尺度逍用中國國家棣準(CNS ) A4规格(210X297公釐)-17 · (請先閲讀背面之注意事項再填寫本頁)(Selective oxide film) is a photomask, and an ion implantation method is used to introduce an impurity made of P-type boron into the main surface of the P-well (the main surface of the semiconductor substrate 1 with the Si 3N4 film removed) to form a p-type ion implantation layer . At this time, the injection dose is 8. 0X1012 atoms / cm2, and the injection energy is 6 OKeV. Then, under the condition of a temperature of about 800 ° C ~ 120 ° C, the well diffusion with the annealing of ion implantation damage recovery is performed, 1 1 a p-well 2 P and an n-well 2 are formed in the semiconductor substrate 1 η. In this way, the Si02 film formed on the surface of the well is removed. Fig. 2 shows the semiconductor substrate when the Si02 film is removed. Then, as shown in FIG. 3, a field insulating film 3 is selectively formed on the main surface of the semiconductor substrate 1 on which the wells 2p, 2n are formed. The field insulating film 3 is composed of Si 0 2 with a film thickness of about 400 [nm], and is formed by the well-known LOCOS (Local Oxidation of Silicon) method. The third wall is a semiconductor substrate in a state where the oxidation-resistant photomask (Si3N4 film) used when forming the field green film 3 is removed. Then, as shown in FIG. 4, a p-type channel stop layer 4p and an n-type channel stop layer 4n are formed on the semiconductor substrate 1. First, in order to form the p-type channel stopper layer 4 ρ, a photomask covering the η well 2 η surface is selectively formed, and boron etc. are introduced into the p well 2 forming the photomask on the surface through the field insulating film 3 by ion implantation ρ · 内. At this time, the injection agent is set to 4X1 012 a t oms / cm2, and the injection energy is 18 OKeV. By implanting ions at such a high energy level, impurities can be introduced into the ρ well 2 ρ through the field insulating film 3, and the bromine peak of the impurity near the interface between the arson field insulating film 3 and the ρ well 2 ρ can be obtained by this It can prevent the formation of field-scale film 3 below the scale of the use of the Chinese National Standard (CNS) A4 specifications (210X297 mm) -17 · (please read the precautions on the back before filling this page)

經濟部中央標準局貝工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) A7 B7___ 五、發明説明(is) 之寄生通道(η型反轉層)。該P型通道止動層4 p在未 形成場絕緣膜3之形成有薄S i 〇2膜3 a之ρ阱內,於 較場絕綠膜3之正下方更深之位置具有不純物澳度之尖峰 ,產生所謂之耐α線防止用之埋設P +層之功能。 爲了形成η型通道止動層4 η,選擇性的形成覆羞Ρ 阱2 ρ表面上之光罩,利用離子注入法將磷等經由場絕緣 膜3導入表面未形成有光罩之η阱2 η內。然後,將半導 體基板1退火,將離子注入時所發生之損壞復元,並且拉 長擴散,在ρ阱2 ρ,及η阱2η內分別形成如第4圖所 示Ρ型,及η型之通道止動層4ρ,4η。 然後,如第5 ,6圖所示,在半導體基板之主面上形 成 MISFET6,7,8〇 在形成閘極(閘極絕緣膜及閘極)之前,利用離子注 入法等在ρ阱2ρ,及η阱2η表面分別導入硼,及磷, 形成Ρ型及η型之元件形成領域5 ρ,5 η。如此,可使 設在元件形成領域5 ρ,5 η之MI SFET具有所需之 電氣特性,具體言之,因爲閾値電壓(Vt h )控制,可 控制P阱2 ρ,及η阱2 η之表面不純物濃度。亦即元件 形成領域5 ρ係形成n MOS之領域,例如以注入劑量 3 . 6 X 1 〇12a t oms/cm2,注入能量 4 5KeV之條件注入硼離子而形成。另一方面,元件形 成領域5 η係形成p MOS之領域,例如以注入劑量4 XI OUa t oms/_cm2,注入能量 4 OKeV 之條 件利用磷注入法形成。去除S i 0 2膜3 a,3 b後,如 18 - (請先聞讀背面之注意事項再填寫本頁) 訂Printed by the Beigong Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs. This paper scale is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) A7 B7___ 5. The parasitic channel of the invention (is) (n-type inversion layer). The p-type channel stopper layer 4 p has an impurity degree in a p-well in which a thin S i 〇2 film 3 a without a field insulating film 3 is formed, at a position deeper than directly below the field green film 3 The spikes have the function of burying the P + layer for the so-called α-line resistance. In order to form the η-type channel stop layer 4 η, a photomask on the surface of the ρ-well 2 is selectively formed, and phosphorus or the like is introduced into the η-well 2 without a photomask on the surface through the field insulating film 3 by ion implantation within η. Then, the semiconductor substrate 1 is annealed to recover the damage that occurred during ion implantation and elongated diffusion, forming p-type and n-type channels as shown in FIG. 4 in the ρ-well 2 ρ and η-well 2η, respectively Stop layer 4ρ, 4η. Then, as shown in Figs. 5 and 6, MISFETs 6, 7, and 8 are formed on the main surface of the semiconductor substrate. Before forming the gates (gate insulating film and gate), ion implantation is used in the ρ well 2ρ, Boron and phosphorus are introduced on the surface of the η well 2η, respectively, to form a p-type and n-type element formation area 5 ρ, 5 η. In this way, the MI SFETs provided in the device formation area 5 ρ, 5 η can have the required electrical characteristics. Specifically, because of the threshold value voltage (Vt h) control, the P well 2 ρ and η well 2 η can be controlled. Surface impurity concentration. That is, the element formation area 5 ρ is an area where n MOS is formed, for example, it is formed by implanting boron ions at an implantation dose of 3.6 X 1 〇12at oms / cm2 and an implantation energy of 45 KeV. On the other hand, the element formation area 5 η is the area where the p MOS is formed. For example, an implantation dose of 4 XI OUa t oms / _cm2 and an implantation energy of 4 OKeV are formed by the phosphorus implantation method. After removing the S i 0 2 film 3 a, 3 b, as in 18-(please read the precautions on the back before filling in this page)

五、發明説明(16) 經濟部中央樣準局貝工消费合作社印製 第5圖所示,對各元件形成領域5 p,5n之主面實施熱 氧化而形成由S i 〇2所構成之閘極絕緣膜6 c,7 c, 8c。其膜厚大約爲12 〔nm〕。然後,爲了在元件形 成領域5p,5n表面形成閘極6b,7b,8b,首先 以CVD法堆積η型之低電阻聚矽膜。聚矽膜之膜厚大約 爲15 0 〔nm〕。然後,利用CVD法等推稹由 S i 〇2所構成之絕緣膜做爲帽蓋層。其膜厚大約2 0 0 〔n m〕。然後,利用光學石版印刷技術,或蝕刻法形成 絕緣膜及聚矽膜圖型,形成閘極6 b,7 b,8 b及閘極 帽蓋層9。如此,在元件形成領域5 p內選擇性的形成自 整合於場絕緣膜3及閘極6 b,7 b之η型MI SFET 之半導體領域6al ,6a2,7al ,7a2。例如半 導體領域係利用離子注入法形成。 此時之離子注入條件例如爲注入劑量2 X 1 0 1 3 a t oms/cm2,注入能量4 OKeV。然後,在場 絕綠膜3及閘極8 b上,於元件形成領域5 η內選擇性的 形成自整合之Ρ型MISFET之半導體領域8a1 , 8 a 2。各半導體領域係利用硼離子注入法形成。此時之 離子注入條件係例如注入劑量2 X 1 0 1 3 a t 〇 m s / cm2,注入能量爲4 5 KeV。 然後,如第6圖所示,在閘極6b,7b,8b及場 絕緣膜9之側面形成側壁1 0。具體言之,在形成厚度 1 0 0 〔nm〕之S i 〇2膜厚,利用各向異性蝕刻該 S丨0 2膜而形成側壁1 0 A,1 0 B。 (請先閱讀背面之注意事項再填寫本頁) 1*. 訂 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 19 經濟部中央揉隼局肩工消費合作社印裝 32^S9s A7 —_ B7 五、發明説明(I7) 然後,如第6圖所示,在閘極6b,7b,8b及絕 綠膜9之側面形成側壁1 〇。具體言之,在形成厚度 1 〇 0 〔nm〕之S i 〇2膜厚,利用各向異性蝕刻該 s i 〇2膜而形成側壁1 OA,1 OB。 然後,在元件形成領域5 p內選擇性的形成自整合於 場絕緣膜9及側壁1 OA之半導體領域6A1 ,6A2, 7A1 ,7A2。該半導體領域 6A1 ,6A2,7A1 ’ 7 A2係利用磷離子注入法及包括退火處理之不純物導 入法形成,而且具有較先前形成之半導體領域8 a 1 , 8 a 2更深,及更高之不純物濃度領域。形成n型半導體 領域6Α1,6Α2,7Α1,7Α2,及ρ型半導體領 域8Α1 ,8Α2用之退火處理係同時進行。 然後,如第7圖所示,在半導體基板(記憶體晶胞領 域Μ)之主面形成構成記憶體晶胞之散熱型電容器11。 該電容器之具體形成方法不予詳細說明。本實施例中,電 容器1 1係使用具有3片散熱片之散熱片型電容器,但本 發明不受其限制,亦可使用皇冠型電容器。 然後,如第8圖所示,在形成有電容器11之半導體 基板上形成絕緣膜(第1絕緣膜)1 2。第1絕緣膜1 2 係由例如S丨〇2及8?30所構成。S i 〇2膜具有 1 0 0 〔nm〕左右之膜厚,而且利用CVD (V. Description of the invention (16) Printed in Figure 5 of the Beigong Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs, as shown in Figure 5, the main surface of each component formation area 5 p, 5 n is thermally oxidized to form a structure consisting of S i 〇2 Gate insulating films 6c, 7c, 8c. The film thickness is about 12 [nm]. Then, in order to form the gate electrodes 6b, 7b, 8b on the surface of the element formation area 5p, 5n, first, an n-type low resistance polysilicon film is deposited by CVD method. The thickness of the polysilicon film is about 15 0 [nm]. Then, an insulating film made of Si0 2 is used as a cap layer by CVD or the like. The film thickness is about 200 [n m]. Then, an optical lithography technique or an etching method is used to form an insulating film and a polysilicon film pattern to form gates 6 b, 7 b, and 8 b and a gate cap layer 9. In this way, the semiconductor areas 6al, 6a2, 7al, 7a2 of the n-type MI SFET integrated in the field insulating film 3 and the gates 6b, 7b are selectively formed in the element formation area 5p. For example, the semiconductor field is formed by ion implantation. The ion implantation conditions at this time are, for example, an implantation dose of 2 X 1 0 1 3 at oms / cm2 and an implantation energy of 4 OKeV. Then, a self-integrated p-type MISFET semiconductor area 8a1, 8a 2 is selectively formed on the field-exiting green film 3 and the gate electrode 8b in the element formation area 5n. Each semiconductor field is formed by boron ion implantation. The ion implantation conditions at this time are, for example, an implantation dose of 2 X 1 0 1 3 at 0 m s / cm2, and an implantation energy of 4 5 KeV. Then, as shown in Fig. 6, side walls 10 are formed on the side surfaces of the gate electrodes 6b, 7b, 8b and the field insulating film 9. Specifically, the side wall 10 A, 10 B is formed by forming the Si 0 2 film with a thickness of 100 [nm] and anisotropically etching the SIO 2 film. (Please read the precautions on the back before filling in this page) 1 *. The size of the printed paper is in accordance with the Chinese National Standard (CNS) A4 (210X297mm) 19 Printed by the Ministry of Economic Affairs Central Falcon Bureau Shoulder Consumption Cooperative 32 ^ S9s A7 —_B7 V. Description of the invention (I7) Then, as shown in FIG. 6, side walls 10 are formed on the sides of the gate electrodes 6b, 7b, 8b and the green film 9. Specifically, the Si 0 2 film thickness is formed to a thickness of 100 [nm], and the Si 0 2 film is anisotropically etched to form sidewalls 1 OA and 1 OB. Then, the semiconductor areas 6A1, 6A2, 7A1, and 7A2 that are self-integrated into the field insulating film 9 and the side wall 1 OA are selectively formed in the element formation area 5 p. The semiconductor field 6A1, 6A2, 7A1 '7 A2 is formed using a phosphorus ion implantation method and an impurity introduction method including annealing treatment, and has a deeper and higher impurity concentration than the previously formed semiconductor field 8 a 1, 8 a 2 field. Annealing treatments for forming n-type semiconductor areas 6A1, 6A2, 7A1, 7A2, and p-type semiconductor areas 8A1, 8A2 are performed simultaneously. Then, as shown in FIG. 7, on the main surface of the semiconductor substrate (memory cell area M), a heat dissipating capacitor 11 constituting the memory cell is formed. The specific method of forming the capacitor will not be described in detail. In this embodiment, the capacitor 11 uses a heat sink type capacitor having three heat sinks, but the present invention is not limited thereto, and a crown type capacitor may also be used. Then, as shown in FIG. 8, an insulating film (first insulating film) 12 is formed on the semiconductor substrate on which the capacitor 11 is formed. The first insulating film 12 is composed of, for example, S〇2 and 8-30. The S i 〇2 film has a film thickness of about 100 0 [nm], and uses CVD (

Chemical Vapor Deposition)法等堆稹。此時使用之反 應氣體係例如S i H4及N2〇之.混合氣體。相繼形成之 B P S G ( Boro-Phospho Silicate Glass)膜之厚度大 (請先閲讀背面之注意事項再填寫本頁) 訂 本紙張尺度適用中國國家梯準(CNS ) A4規格(210X297公釐) 20 - 經濟部中央標準局員工消费合作社印製 A7 B7 五、發明説明(18) 約爲500 〔nm〕,而且係利用CVD法等推積。此時 使用之反應氣體係例如T E 0 S ( Tatraethoxysilane) 氣體中添加磷及硼之混合氣體。 然後,爲了消除記憶體晶胞領域Μ與周邊電路領域A 之間之絕緣膜1 2之劇烈段差,將絕緣膜之上面形成爲緩 和面。因此,在將半導體基板1退火而使絕緣膜12成爲 1 緩和後,將絕緣膜12之表面反蝕刻。然後,再度將半導 體基板1退火。退火處理係在利用1^2與0 2之混合氣體中 進行。如此形成第1絕緣膜12做爲層間絕緣膜。 然後,如第9圖所示,在第1絕緣膜1 2上形成連接 於構成記憶體晶胞之n MOS6之半導體領域6Α2之 連接孔1 2 a。該連接孔係例如利用光學石版印刷技術及 蝕刻技術形成。然後,在連接孔1 2 a內埋設例如由η型 低低電阻聚矽所構成之導體膜1 3。導體膜1 3之形成方 法如下。 首先,在第1絕緣膜12上面利用CVD法推稹η型 之低電阻聚矽。此時使用之反應氣體係矽烷氣體( S i Η4)與磷(ΡΗ3)之混合氣體。然後,將利用 CVD法形成之聚矽膜反蝕刻,只在連接孔1 2 a上形成 導髦膜,亦即殘留聚矽膜之狀態。 然後,如第10圖所示,在第1絕緣膜12上形成連 接構成周邊電路之n MOS7中之一半導體領域7Α2 ,及P MOS8中之一半導髏領域8Α1之連接孔 1 2 b。該連接孔1 2 b係利用例如光學石版印刷技術及 本紙張尺度適用中國國家標準(CNS > A4規格(210X297公釐)_ 21 (請先閲讀背面之注意事項再填寫本頁) H^ 訂 經濟部中央揉隼局負工消费合作社印製 A7 B7 五、發明説明(19) 蝕刻技術形成。 然後,如第1 1 ,1 2圖所示,形成構成記憶體晶胞 電路之位元線1 4 B,及構成周邊電路之第1導體層1 4 。第1導體層1 4係本發明特徵之構成要素,係採用如下 之方法製成。 首先,如第1 1圖所示,在具有連接孔1 2 b之第1 1 絕緣膜1 2之主面,利用離子濺射法推積由氮化鈦( T i N)所構成之金屬膜1 4 a。或者亦可利用離子濺射 法推稹鈦(T i )後,在氮(N2)中進行熱處理而形成 T i N膜1 4 a。使用這種方法時,在推積之金靥膜與半 導體領域之連接部內,T i擴散至半導體領域內,可降低 接觸電阻。TiN膜14a之膜厚大約爲50 〔nm〕。 然後,形成例如由鎢(W)所構成之金屬膜1 4 b。爲此 首先利用離子濺射法推積W膜。然後相繼的利用CVD法 龙積W膜。W膜之膜厚大約爲150 〔nm〕。利用前者 之離子濺射法製作之W膜具有改善連接孔1 2 b內之覆蓋 性之基層膜之功能。 然後,例如利用離子濺射法在鎢膜1 4 b上形成由 T i N所構成之膜1·4 c。該T i N膜1 4 c之膜厚大約 爲50 〔nm〕,而且係如後文中所述,爲了達成本發明 之目的而形成者。亦即T i N膜1 4具有反射防止膜之功 能。然後,在其上面塗数抗光劑,並利用光學石版印刷技 術形成抗光劑之圖型。 然後,如第12圖所示,利用乾式蝕刻法等形成 本紙張尺度適用中國國家標準(CNS ) A4规格(210X297公釐) 22 - (請先閲讀背面之注意事項再填寫本頁) 訂 $月曰修止‘ 補充, A7 B7 經濟部中央標準局員工消費合作社印裝 五、發明説明(20) T i N膜1 4 a,1 4 c及W膜1 4 b之圖型使其與殘留 之抗光劑R之圖型整合。Τ ί N膜及W膜可段階式的蝕刻 ,亦可連續的蝕刻、例如段階式的蝕刻T i Ν膜及W膜時 ,先利用BCi^3與Cj^2之混合氣體在大約4 0°C之溫度 下將T i N膜予以乾式蝕刻。然後,利用S F 6與N 2之混 合氣體在大約一 1 〇〜—3 0°C之溫度下將W膜予以乾式 蝕刻。一方面,連績的蝕刻T i N膜與W膜時,例如使用 3?6與8(:又3之混合氣體在大約10°(:之溫度下予以乾 式蝕刻。 然後,利用灰化法只除去抗光劑R。如此形成構成記 憶體晶胞電路之位元線1 4 B,同時形成構成周邊電路用 之第1導體層1 4。 然後,如第1 3圖所示,在位元線1 4 B及第1導體 層1 4上面形成層間絕緣膜(第2絕緣膜)1 5。第2絕 緣膜1 5之形成方法如下。 首先,在半導體基板上利用CVD法推積由S i 〇2 所構成之絕緣膜1 5 a。其膜厚爲2 0 0 〔nm〕。此時 使用之反應氣體係例如TEOS與氦(He )與〇2之混 合氣體。然後,在絕綠膜1 5 a上塗敷例如SOG (Chemical Vapor Deposition) method. The reaction gas system used at this time is, for example, a mixed gas of SiH4 and N2〇. The successively formed BPSG (Boro-Phospho Silicate Glass) film has a large thickness (please read the precautions on the back and then fill out this page). The paper size is applicable to China National Standards (CNS) A4 specification (210X297mm) 20-Economy A7 B7 is printed by the employee consumer cooperative of the Central Bureau of Standards. V. Description of invention (18) is about 500 [nm], and it is the product of CVD method. In the reaction gas system used at this time, for example, T E 0 S (Tatraethoxysilane) gas, a mixed gas of phosphorus and boron is added. Then, in order to eliminate the severe step difference of the insulating film 12 between the memory cell area M and the peripheral circuit area A, the upper surface of the insulating film is formed as a relief surface. Therefore, after annealing the semiconductor substrate 1 to relax the insulating film 12 to 1, the surface of the insulating film 12 is etched back. Then, the semiconductor substrate 1 is annealed again. The annealing treatment is carried out in a mixed gas of 1 ^ 2 and 02. In this way, the first insulating film 12 is formed as an interlayer insulating film. Then, as shown in FIG. 9, a connection hole 1 2 a is formed in the first insulating film 12 to connect to the semiconductor field 6A2 of the n MOS 6 constituting the memory cell. This connection hole is formed by, for example, optical lithography technology and etching technology. Then, a conductive film 13 made of, for example, n-type low-low resistance polysilicon is buried in the connection hole 12a. The formation method of the conductor film 13 is as follows. First, n type low resistance polysilicon is pushed on the first insulating film 12 by CVD. The reaction gas system used at this time is a mixed gas of silane gas (S i H4) and phosphorus (PH3). Then, the polysilicon film formed by the CVD method is etched back to form a conductive film only on the connection hole 12 a, that is, a state where the polysilicon film remains. Then, as shown in FIG. 10, a connection hole 1 2 b is formed on the first insulating film 12 to connect one of the semiconductor areas 7A2 of n MOS7 constituting the peripheral circuit and one semi-conductor area 8A1 of P MOS8. The connection hole 1 2 b is made using optical lithography technology and the paper standard is applicable to the Chinese national standard (CNS> A4 specifications (210X297 mm) _ 21 (please read the precautions on the back before filling this page) H ^ Order Printed by the Ministry of Economic Affairs, Central Falcon Bureau Consumer Labor Cooperative A7 B7 V. Description of the invention (19) Etching technology is formed. Then, as shown in Figures 1 1 and 12, the bit lines 1 that constitute the memory cell circuit are formed 4 B, and the first conductor layer 14 that constitutes the peripheral circuit. The first conductor layer 14 is a characteristic element of the present invention and is manufactured by the following method. First, as shown in FIG. The main surface of the first 1 1 insulating film 12 of the hole 1 2 b is deposited with a metal film 14 a made of titanium nitride (TiN) by ion sputtering. Alternatively, it can also be pushed by ion sputtering After titanium (T i), heat treatment is performed in nitrogen (N 2) to form a Ti N film 14 a. When using this method, T i diffuses to the junction of the deposited gold-titanium film and the semiconductor field In the semiconductor field, the contact resistance can be reduced. The thickness of the TiN film 14a is approximately 50 [nm]. For example, a metal film 14 b made of tungsten (W) is formed. To this end, the W film is deposited by ion sputtering. Then, the W film is deposited by CVD. The thickness of the W film is about 150 [nm 〕. The W film made by the former ion sputtering method has the function of improving the coverage of the base layer film in the connection hole 12 b. Then, for example, the ion sputtering method is used to form a T i N film on the tungsten film 1 4 b. The formed film 1.4 c. The thickness of the Ti N film 14 c is about 50 [nm], and it is formed for the purpose of costing the invention as described later. That is, Ti N The film 14 has the function of an anti-reflection film. Then, a photoresist is coated on it, and the pattern of the photoresist is formed by optical lithography. Then, as shown in FIG. 12, it is formed by dry etching, etc. The size of this paper is applicable to the Chinese National Standard (CNS) A4 specification (210X297mm) 22-(Please read the notes on the back before filling in this page) Order $ 月 日 修 止 'Supplement, A7 B7 Employee Consumption, Central Standards Bureau, Ministry of Economic Affairs Printed by the cooperative 5. Description of the invention (20) Picture of T i N film 1 4 a, 1 4 c and W film 1 4 b It is integrated with the pattern of the remaining photoresist R. Τ ί N film and W film can be etched in stages, or continuous etching, such as stage etching of TiN film and W film, first use The mixed gas of BCi ^ 3 and Cj ^ 2 dry-etchs the TiN film at a temperature of about 40 ° C. Then, the mixed gas of SF 6 and N2 is used at a temperature of about -10 ~ -3 0 ° C The W film was dry-etched at the temperature. On the one hand, when successively etching the TiN film and the W film, for example, a mixed gas of 3? 6 and 8 (: 3) is used for dry etching at a temperature of about 10 ° (:. Then, the ashing method is used only The photoresist R is removed. In this way, the bit line 14B constituting the memory cell circuit is formed, and at the same time, the first conductor layer 14 forming the peripheral circuit is formed. Then, as shown in FIG. 1 4 B and the upper surface of the first conductor layer 14 are formed with an interlayer insulating film (second insulating film) 15. The method for forming the second insulating film 15 is as follows. First, on the semiconductor substrate, the CVD method is used to derive the product from Si. 2 Insulation film 1 5 a formed by the film thickness of 2 0 0 [nm]. The reaction gas system used at this time, such as TEOS and a mixed gas of helium (He) and 〇2. Then, in the green film 1 5 a coated with eg SOG (

Spin On Glass)膜 1 5 b。其膜厚爲 3 0 0 〔 nm〕。 然後,將雙層構造之絕緣膜上部反蝕刻,使其上面成爲,緩 和。然後,在SOG膜15b上面利用CVD法堆積由 S i 〇2所構成之絕緣膜1 5 c。其膜厚爲2 0 〇 〔nm 〕。此時使用之反應氣體爲例如TEOS與He與〇2之 本紙張尺度適用中囷國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) -- 訂 -23 - A7 B7 322595 五、發明説明(21) 混合氣體。爲了提高上層配線之圖型之精確度,例如利用 CMP技術將第2絕緣膜15上面平坦化。該CMP係對 SOG膜1 5 b進行。如此進行平坦化後,可提高上層配 線之光學石版印刷技術之精確度,亦即曝光時之聚焦邊限 ,而且可防止抗光膜圖型之形狀不良。如此,可提高配線 間距之細微化,及可靠性。 然後,如第14圖所示,在第2絕緣膜15上形成連 接第1導體層1 4與第2導體層之連接孔1 5 d 1, 1 5d 2。因此,在第2絕緣膜1 5上塗敷抗光劑R,利 用光學石版印刷技術形成圖型。然後,以抗光劑R之圖型 做爲蝕刻掩罩,利用乾式蝕光法蝕刻位於記憶體晶胞領域 Μ及周邊電路領域A之第2絕緣膜1 5,同時形成連接孔 1 5dl ,1 5d2。蝕刻氣體係使用CF4,CHF3與 A r之混合氣體。 然後,如第1 5,1 6圖所示,形成第2導體層1 6 。如第1 5圖所示,第2導體層1 6係從下方依次形成ΪΙ (W)膜1 6 a,鋁(A5)膜1 6 b,在最上面形成氮 化鈦(T i N )膜1 6 c而形成,其製造方法如下。 首先,例如形成由鎢(W)所構成之金靥膜1 6 a。 爲了改善設在第2絕緣膜15上之連接孔內之金屬膜之覆 蓋特性,該金屬膜1 6 a係利用離子濺射法龙稹。其膜厚 大約爲5 0 〔nm〕。然後,利用CVD法龙積W膜。其 膜厚大約爲1 0 0 〔 n m〕。然後’利用C V D法#稹由 A5所構成之金屬膜1 6 b。其膜厚大約爲4 0 0 〔 nm 本紙張尺度適用中國國家標準(CNS > A4规格(210X297公釐)-24 - (請先閲讀背面之注意事項再填寫本頁) H, 訂 經濟部中央揉準局貝工消费合作社印製 A7 ________B7____ 五、發明説明(22) 〕。然後,爲了達成與第1導體膜相同之目的,利用離子 濺射法Λ稹由T i N所構成之金靥膜1 6 c做爲反射防止 膜。其膜厚大約爲50 〔nm〕。然後,如第16圖所示 ,使用形成第1導體層1 5之圖型之光學石版印刷技術及 蝕刻技術形成第2導體層1 6。然後,如第1 7圖所示, 在第2導體層16之上面形成絕緣膜(第3絕緣膜)17Spin On Glass) film 1 5 b. The film thickness is 300 [nm]. Then, the upper part of the insulating film of the double-layer structure is back-etched so that the upper surface becomes gentle. Then, on the SOG film 15b, an insulating film 15 c composed of Si0 2 is deposited by CVD. The film thickness is 200 [nm]. The reaction gases used at this time are, for example, TEOS, He and 〇2. The paper standard is applicable to the Chinese National Standard (CNS) A4 specification (210X297mm) (please read the precautions on the back before filling this page)-Order- 23-A7 B7 322595 V. Description of the invention (21) Mixed gas. In order to improve the accuracy of the pattern of the upper layer wiring, for example, the upper surface of the second insulating film 15 is flattened using CMP technology. This CMP is performed on the SOG film 15 b. After flattening in this way, the accuracy of the optical lithography technology of the upper wiring can be improved, that is, the focus margin during exposure, and the shape of the photoresist pattern can be prevented from being defective. In this way, the fineness of wiring pitch and reliability can be improved. Then, as shown in FIG. 14, connection holes 15d1, 15d2 for connecting the first conductor layer 14 and the second conductor layer are formed in the second insulating film 15. Therefore, a photoresist R is applied on the second insulating film 15 to form a pattern by optical lithography. Then, using the pattern of the photoresist R as an etching mask, the second insulating film 15 located in the memory cell area M and the peripheral circuit area A is etched by dry etching, and the connection holes 15dl, 1 are formed at the same time. 5d2. The etching gas system uses a mixed gas of CF4, CHF3 and Ar. Then, as shown in FIGS. 15 and 16, a second conductor layer 16 is formed. As shown in FIG. 15, the second conductor layer 16 is formed with a ΪΙ (W) film 16 a, an aluminum (A5) film 16 b, and a titanium nitride (T i N) film on the uppermost layer from the bottom It is formed by 1 6 c, and its manufacturing method is as follows. First, for example, a gold film 16 a made of tungsten (W) is formed. In order to improve the covering characteristics of the metal film provided in the connection hole on the second insulating film 15, the metal film 16a is made by ion sputtering method Long Zhen. The film thickness is about 50 [nm]. Then, the W film was deposited by CVD. The film thickness is about 100 [n m]. Then 'use the C V D method # 稹 a metal film 16 b composed of A5. The thickness of the film is about 400 0 [nm The paper size is in accordance with Chinese National Standards (CNS & A4 specifications (210X297 mm) -24-(please read the precautions on the back and then fill out this page) H Printed on the A7 ________B7____ by the Beigong Consumer Cooperative Society of the Ministry of Industry and Technology. 5. Description of the invention (22)]. Then, in order to achieve the same purpose as the first conductor film, a gold film composed of T i N is formed by ion sputtering. 1 6 c is used as an anti-reflection film. The thickness of the film is about 50 [nm]. Then, as shown in FIG. 16, the optical lithography technique and the etching technique that form the pattern of the first conductor layer 15 are used to form the second Conductor layer 16. Then, as shown in FIG. 17, an insulating film (third insulating film) 17 is formed on the second conductor layer 16

I 。第3絕緣膜17係與第2絕緣膜15相同的形成,係由 Si〇2/SOG/Si〇2(17a, 17b, 17c) 之重叠膜所構成。此時,因爲已對第2絕緣膜實施CMP 而將半導體基板主面平坦化,故第3絕緣膜上不必實施 CMP。然後,圖中雖未表示,在第3絕緣膜1 7上形成 連接於第2導體層1 6之連接孔。連接孔係與第1導髏層 1 4與第2導體層1 6之連接孔相同的形成。 然後,如第1 8圖所示,形成第3導體層1 8。第3 導體層1 8係例如與第2導體層1 6相同的形成。 經濟部中央標準局貝工消费合作社印裂 然後,如第19圇所示,形成表面保護膜19而在伞 導體基板上面披覆第3導體層1 8。該表面保護膜1 9係 例如由具有6 0 0 〔nm〕厚度之S i 〇2所構成,並且 係利用CVD法錐積。反應氣體係例如TEOS,He與 0 2之混合氣體。 以上說明本發明之半導體積體電路裝置之製造方法之 具體實施例。本實施例中,構成第1導體層1 4 (及位元 線1 4 B)之W膜1 4 b之上面由Ti N膜1 4 c披覆。 因此,在形成如第12圚所示第1導體層14之圖型時, 本紙張尺度適用中國國家標準(CNS ) A4规格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 25 - 經濟部中央樣準局工消費合作社印裝 本紙張尺度逍用中國國家標準(〇阳)八4規格(2丨0父297公釐)_ A7 _____B7_- 五、發明説明(23) 可產生如下之作用及效果。 本發明之發明者比較BARC膜與T i N反射防止膜 。第2 0圖表示其結果。以下根據第2 0圇所示之比較資 料說明本發明之作用及效果。 首先,Ti N之反射率爲3 0%,低於鎢之反射率 6 0 %。因此,可防止進行抗光膜曝光(投影曝光)時發 1 ί 生之录光作用,亦即雖然有抗光劑之基層膜(第1導體層 1 4 )之段差(凹凸),因爲其基層膜表面係由反射率低 之Ti Ν膜構成,故可降低亂反射所造成之駐波。此外, 又可改善聚焦邊限。亦即可擴大蝕刻不均勻對抗光膜厚不 均勻之容許範圍。因此,可提高第21(a)圖所示抗光 劑R之加工精確度。第2 1圖中,基層導體膜之T i N膜 (1 4 a )省略未圚示。 T i N對抗光膜之蝕刻選擇比大約爲4 ,高於習用之 BARC膜之蝕刻選擇比之1。T i N之蝕刻時間大約爲 1 0秒,短於習用之BARC膜加工時間之1 8 0秒。囟 此,在蝕刻第21 (13)圖所示TiN膜時,抗光膜之尺 寸飄移及抗光損失小,可提高T i N膜1 4 c之圇型化精 確度。因爲加工時間短,故可提高通過量。 T i N對鋳之蝕刻選擇比大約爲5,高於抗光膜( BARC膜)之蝕刻選擇比2。因此,如第21 (c)圖 所示,做爲鎢膜1 4 b之蝕刻掩罩之抗光劑R及T i N膜 1 4 c不會被削除S,可提高第1導體膜1 4之圖型化精 確度。 26 - (請先閲讀背面之注意事項再填寫本頁) 訂 Α7 Β7 經濟部中央橾準局貝工消費合作社印製 --- 24五、發明説明() 若連續的加工W膜1 4 b及Ti N膜4 c時,只要1 台裝置即可加工,故可提高通過率。此外,在電漿蝕刻時 ,從Τ ί N膜蝕刻變成W膜蝕刻之際,不會切斷發生之® 漿,故漂浮於電漿中之異物不會附著於半導體基板上,可 減少異物。 本實施例中,因爲第1導體層1 4之上面係由T i N 膜1 4 c構成,故在蝕刻第2絕綠膜1 5時,可產生如下 之功效。第2 2圖爲其功效之模式圖。 第1 ,如第22圖所示,因爲絕緣膜對TiN膜 1 4 C之蝕刻選擇比高,故不會過度的削除餺出於孔底部 之第1導體層1 4。亦即Ti N膜1 4 c產生蝕刻止動之 作用。 第2,如第2 2圖所示,露出於孔底部之Τ ί N與蝕 刻氣體發生反.應而產生氮化合物5 0,該氮化合物5 0附 著於側壁而保護側壁,故連接孔之孔徑d 1不會過度的擴 大0 第3,因爲連接孔之開口部d 1不會擴大,故可依照 設計1形成配線連接孔5 1與上層配線1 6之對正寬裕度 1 1 〇 如本實施例中第1絕綠膜1 2被平坦化時,記億體晶 泡領域Μ與周邊電路領域A之連接孔之方位比不相同。利 毛蝕刻法同時形成方位比不同之連接孔時,必須一方面確 呆方位比高之孔之開口,同時抑制方位比低之孔之過度開 3 °本資施例中,因爲連接之導體層之上部係由Τ ί N構 (請先閲讀背面之注意事項再填寫本頁) τ', 訂 本紙張尺度遑用中國國家揉隼(CNS ) Μ規格(210X297公釐)27 322595 經濟部中央樣準局員工消費合作杜印製 修- 補. h A7 B7 五、發明説明(25) 成,故可解決此問題。亦即可保護連接孔之側壁而且抑制 連接孔之過度蝕刻。第2 3 ( a )圖表示其效果之模式圖 。亦即可保護連接>L之側壁而且抑制該連接孔之過度蝕刻 。因此,本實施例中,可利用蝕刻處理同時形成方位不同 之連接孔,可防止增加製造過程。 以上功效在由電阻係數小於T i N,W,Μ 〇等之電 阻係數之鋁(Aj?)之金屬膜所構成之第2導體層及第3 導體層時,同樣可達成。 以下說明本發明所產生之效果。 1〉.可提高形成由高融點金屬等所構成之導體膜及 連接孔之圖型時之光學石版印刷技術之精確度。 ♦ 2).可提高由高融點金屬等所構成之導體膜之加工 精確度。 3 ).在形成方位比不同之連接孔時,可一方面確保 方位比高之孔之開口性,同時抑制方位比低之孔之基層膜 削除,及側壁削除。亦即即使在方位比高之孔之底部賁施 蝕刻使下層構件露出,仍可防止過度蝕刻方位比低之孔之 底部,及側壁削除。 4) .可提高由高融點金饜所構成之導體膜,及連接 孔之尺寸加工精確度,可提高連接孔與上層導體膜之對正 寬裕度。 5) .可利用同時蝕刻形成方位比不同之連接膜之絕 緣膜,可防止大幅度的增加製造過程。 (請先閲讀背面之注意事項再填寫本頁) 訂 本紙張尺度適用中國國家樣準(CNS ) A4現格(210 X297公釐) -28 - 經濟部中央梂準局貝工消費合作社印製 A7 ___B7______ 五、發明説明(26) 圖式: 第1圖爲本發明實施例之半導體積體電路裝置之要部 斷面圖: 第2圖爲第1圓所示半導體積體電路裝置之製造過程 中之半導體基板之要部斷面圖; 第3圖爲第1圖所示半導髓積體電路裝置之製造過程 中之半導體基板之要部斷面圇; 第4圖爲第1圖所示半導體積體電路裝置之製造過程 中之半導體基板之要部斷面圖; 第5圖爲第1圖所示半導體積體電路裝置之製造過程 中之半導體基板之要部斷面圖: 第6圖爲第1圖所示半導體積體電路裝置之製造過程 中之半導體基板之要部斷面圚; 第7圖爲第1圖所示半導體積體電路裝置之製造過程 中之半導體基板之要部斷面圖: 第8圖爲第1圚所示半導體積體電路裝置之製造過植 中之半導體基板之要部斷面圖; 第9圖爲第1圖所示半導體稹體電路裝置之製造過程 中之半導體基板之要部斷面圖: 第1 0圇爲第1圇所示半導體稹體電路裝置之製造過 程中之半導體基板之要部斷面圖; 第1 1圖爲第1圖所示半導體積體電路裝置之製造過 程中之半導體基板之要部斷面圖: 第1 2圖爲第1圖所示半導體稹體電路裝置之製造過 本紙張尺度逋用中國國家梂牟(CNS }八4规格(210X297公釐).29 - (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部中央樣準局貝工消費合作社印装 A7 __B7__ 五、發明説明(27) 程中之半導體基板之要部斷面圖: 第1 3圖爲第1圖所示半導體稹體電路裝置之製造過 程中之半導體基板之要部斷面圖: 第1 4圖爲第1圖所示半導體積體電路裝置之製造過 程中之半導體基板之要部斷面圖: 第1 5圖爲第1圖所示半導體稹體電路裝置之製造過 程中之半導體基板之要部斷面圖: 第1 6圖爲第1圖所示半導體積體電路裝置之製造過 程中之半導體基板之要部斷面圖; 第1 7圖爲第1圖所示半導體積體電路裝置之製造過 程中之半導體基板之要部斷面圇: 第1 8圖爲第1圖所示半導體積體電路裝置之製造過 程中之半導體基板之要部斷面圖; 第1 9圖爲第1圖所示半導體積體電路裝置之製造過 程中之半導體基板之要部斷面圖: 第2 0圖爲習用之BARC膜與本發明之T丨N反射 防止膜之比較圖: 第2 1 ( a )〜(c )圖爲使用本發明時之導體層之 蝕刻機構斷面圖: 第2 2圇爲使用本發明時之連接孔與上層配線之對正 寬裕度之模式圖及斷面圇: 第2 3圖爲使用本發明時圇,在餍間絕緣膜上形成方 位比不同之連接孔之半導體稹體電路裝置之要部斷面圇: 第2 4 ( a )〜(c )圚爲習用技術中之導髄層蝕刻 ^^1- In i_l In 1^1 ^^1 ί. ντ « Γ (請先閲讀背面之注意事項再填寫本頁) 訂 本紙張尺度逍用中國國家標準(CNS ) Α4規格(210Χ297公釐) 30 - 322595 A7 B7 五、發明説明(28) 機構之斷面圖: 第2 5圖爲使用習用技術時,在層間絕緣膜上形成方 位比不同之連接孔之半導體積體電路裝置之要部斷面圖: 第2 6圖爲關於設計階段時之連接孔與上層配線之對 正寬裕度之模式圖: 第2 7圖爲使用習用技術時,連接孔與上層配線之對 正寬裕度之模式圖及其斷面圇。 經濟部中央標隼局貝工消費合作社印製 〔符號 Μ 半導體 緣膜。 層0 0 6 6 a 1 6 A 2 :閘極 η Μ 〇 7 A 極0 ρ Μ 。 8 閛極。 壁。 說明〕 :記億體 基板。 5 Ρ :形 ,6 I η 絕緣 0 S 1 » 7 c 0 S A 1 P : :P 成於 a 2 型高 膜° 0 7 A ••閘 電 晶胞領域。 A : 2 p : ρ 阱0 2 P型通道止動層。 型半導體領域。 記憶體晶胞領域內 :η型低濃度半導 濃度半導體領域。 7 :形成於周邊 7 a 1 ,7 a 2 : 2 : η型高濃度半 極絕緣膜。 8 : 8 a 1 » 8 a 2 : A 2 : ρ型高澳度 閘極絕緣膜。 9 容器β 1 1 a 1 周邊電路領域 η : η 讲0 4 η : η 型 5 η : η型半 之 η Μ Ο S 體領域。 6 6 b :閘極 電路領域內之 η型低澳度半 導體領域。 形成於周邊領 Ρ型低澳度半 半導體領域。 :絕緣膜。 ,1 1 a 2 : 3 .場絕 通道止動 導體領域 Ο A 1 , 導體領域 7 b :閘 域內之 導體領域 8 b : 1 0 :側 電容器用 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4规格(21〇X297公釐) 31 A7 B7 經濟部中央標準局負工消費合作社印製 五、發明説明(29) 電極。 lib:電容器用絕緣膜。 12:第1絕緣膜 。 12a,12b:第1絕緣膜之連接孔。 13:導 體膜。 14:第1導體層。 14a〜14c:金屬膜 。 15:第2絕緣膜。 15a〜15c:絕緣膜。 15d,15dl ,15d2 :第2絕緣膜之連接孔。 16:第2導體層。 16a〜16c:金屬膜。 17 :第3絕緣膜。 17a〜17c:絕緣膜。 18:第 2導體層。 18a〜18c:金屬膜。 19:表面保 護膜。 50:氮化合物。 51:連接孔。 52a, 52b :習用之由W構成之第1導體層。 52c :第1 導體層之過度蝕刻部。 R:抗光膜(掩罩)。 E:習 用之由W構成之第1導體層之屑部削除。 S :本發明之 上層由T i N構成之第1導體層之肩部削除。 d :在設 計階段時之連接孔開口徑。 d1:使用本發明時之連接 孔開口徑。 d 2 :使用習用技術時之連接孔開口徑。 1 :在設計瞎段時之連接孔與上層配線之對正寬裕度。’ 1 1 :使用本發明時之連接孔與上層配線之對正寬裕度。 1 2 :使用習用技術時之連接孔與上層配線之對正宽裕 度。 (請先Μ讀背面之注意事項再填寫本頁) 訂 本紙張尺度適用中國國家揉準(CNS ) Α4规格(210Χ297公釐)_ 32 -I. The third insulating film 17 is formed in the same manner as the second insulating film 15, and is composed of an overlapping film of Si〇2 / SOG / Si〇2 (17a, 17b, 17c). At this time, since the second insulating film has been subjected to CMP to flatten the main surface of the semiconductor substrate, it is not necessary to perform CMP on the third insulating film. Although not shown in the figure, a connection hole for connecting to the second conductor layer 16 is formed in the third insulating film 17. The connection hole is formed in the same way as the connection hole of the first guide layer 14 and the second conductor layer 16. Then, as shown in FIG. 18, a third conductor layer 18 is formed. The third conductor layer 18 is formed in the same manner as the second conductor layer 16, for example. Printed by the Beigong Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs. Then, as shown in Figure 19, a surface protective film 19 is formed and a third conductor layer 18 is coated on the umbrella conductor substrate. This surface protection film 19 is composed of, for example, S i 〇2 having a thickness of 600 [nm], and is conic by CVD method. A reaction gas system such as TEOS, a mixed gas of He and 02. The specific embodiment of the method for manufacturing the semiconductor integrated circuit device of the present invention has been described above. In this embodiment, the upper surface of the W film 1 4 b constituting the first conductor layer 14 (and the bit line 14 B) is covered with a Ti N film 14 c. Therefore, when forming the pattern of the first conductor layer 14 as shown in the twelfth image, the paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) (please read the precautions on the back before filling this page) 25-Printed copies of the paper printed by the Central Provincial Bureau of Industry and Consumer Cooperatives of the Ministry of Economic Affairs of the People ’s Republic of China Standards (〇 阳) 84 specifications (297 mm 2) _ A7 _____ B7_- V. Invention description (23) can be produced The following functions and effects. The inventor of the present invention compared the BARC film with the TiN antireflection film. Figure 20 shows the results. The function and effect of the present invention will be described below based on the comparative data shown in Article 20. First, the reflectivity of Ti N is 30%, which is lower than that of tungsten by 60%. Therefore, it is possible to prevent the light-recording effect from occurring during the exposure of the light-resistant film (projection exposure), that is, the step (concavo-convexity) of the base film (first conductor layer 1 4) although the light-resistant agent is present because of its base The surface of the film is composed of TiN film with low reflectivity, so it can reduce the standing wave caused by chaotic reflection. In addition, the focus margin can be improved. That is, the allowable range of uneven etching resistance to uneven light film thickness can be expanded. Therefore, the processing accuracy of the photoresist R shown in Fig. 21 (a) can be improved. In Figure 21, the TiN film (14a) of the base conductor film is omitted. The etching selection ratio of the T i N anti-photo film is about 4, which is higher than that of the conventional BARC film. The etching time of T i N is about 10 seconds, which is shorter than 180 seconds of conventional BARC film processing time. Therefore, when the TiN film shown in Fig. 21 (13) is etched, the size of the light-resistant film drifts and the light loss is small, which can improve the accuracy of the T i N film 14 c. Because the processing time is short, the throughput can be increased. The etching selection ratio of T i N to 鋳 is about 5, which is higher than the etching selection ratio 2 of the photoresist film (BARC film). Therefore, as shown in FIG. 21 (c), the photoresist R and T i N film 14 c used as the etching mask of the tungsten film 14 b will not be removed by S, and the first conductor film 14 can be improved Graphical accuracy. 26-(please read the precautions on the back before filling in this page) Order Α7 Β7 Printed by Beigong Consumer Cooperative of the Central Bureau of Economics of the Ministry of Economic Affairs --- 24 V. Description of Invention () If continuous processing of W film 1 4 b and When the Ti N film is 4 c, it can be processed with only one device, so the throughput can be improved. In addition, during plasma etching, when the T N film etching is changed to the W film etching, the occurrence of the ® slurry is not cut off, so the foreign objects floating in the plasma will not adhere to the semiconductor substrate, which can reduce the foreign objects. In this embodiment, because the upper surface of the first conductor layer 14 is composed of the Ti N film 14 c, the following effects can be produced when the second green film 15 is etched. Figure 22 is a model diagram of its efficacy. First, as shown in FIG. 22, because the insulating film has a high etching selectivity to the TiN film 1 4 C, the first conductor layer 14 at the bottom of the hole is not excessively removed. That is, the Ti N film 14 c produces an etching stop. Second, as shown in FIG. 22, the ΤΝΝ exposed at the bottom of the hole reacts with the etching gas to produce a nitrogen compound 50, the nitrogen compound 50 is attached to the side wall to protect the side wall, so the diameter of the connection hole d 1 does not expand excessively. The third, because the opening d 1 of the connection hole does not expand, so the wiring connection hole 5 1 and the upper wiring 16 can be aligned according to the design 1. The width margin 1 1 is the same as this embodiment. In the example, when the first green film 12 is planarized, the azimuth ratio of the connection holes in the M-cell region M and the peripheral circuit region A is different. When the connecting holes with different azimuth ratios are simultaneously formed by the Limao etching method, the openings of the holes with high azimuth ratio must be confirmed on the one hand, and the excessive opening of the holes with low azimuth ratio must be suppressed by 3 ° The upper part is constructed by Τ ί N (please read the precautions on the back and then fill out this page) τ ', the paper size of the order is to use the Chinese National Falcon (CNS) Μ specification (210X297 mm) 27 322595 Central Sample of the Ministry of Economic Affairs The quasi-administrative staff's consumer cooperation du printing and repairing-supplement. H A7 B7 5. The invention description (25) was completed, so this problem can be solved. That is, the side wall of the connection hole can be protected and over-etching of the connection hole can be suppressed. Figure 2 3 (a) shows a schematic diagram of its effect. That is, the side wall of the connection > L can be protected and the over-etching of the connection hole can be suppressed. Therefore, in this embodiment, etching processes can be used to simultaneously form connection holes with different orientations, which can prevent an increase in the manufacturing process. The above effect can also be achieved when the second conductor layer and the third conductor layer are composed of a metal film of aluminum (Aj?) With a resistance coefficient less than TiN, W, Mo, etc. The effects of the present invention will be described below. 1>. It can improve the accuracy of optical lithography technology when forming patterns of conductive films and connecting holes made of high melting point metals. ♦ 2). It can improve the processing accuracy of the conductor film composed of high melting point metals. 3). When connecting holes with different azimuth ratios are formed, on the one hand, the opening of the holes with high azimuth ratios can be ensured, while the removal of the base film and the side walls of the holes with low azimuth ratios can be suppressed. That is, even if etching is performed on the bottom of the hole with a high aspect ratio to expose the underlying structure, the bottom of the hole with a low aspect ratio and the side wall can be prevented from being over-etched. 4). It can improve the precision of the size of the conductor film made of high melting point golden noodles and the connecting hole, and can improve the alignment margin between the connecting hole and the upper conductor film. 5). It is possible to use simultaneous etching to form insulating films of connection films with different orientation ratios, which can prevent a significant increase in the manufacturing process. (Please read the precautions on the back before filling in this page) The standard paper size is applicable to the Chinese National Standard (CNS) A4 (210 X297 mm) -28-Printed by the Ministry of Economic Affairs Central Bureau of Economics and Technology Co., Ltd. A7 ___B7______ V. Description of the invention (26) Schema: Figure 1 is a cross-sectional view of the main part of the semiconductor integrated circuit device of the embodiment of the present invention: Figure 2 is the manufacturing process of the semiconductor integrated circuit device shown in the first circle A cross-sectional view of the main part of the semiconductor substrate of the semiconductor substrate; Figure 3 is a cross-sectional view of the main part of the semiconductor substrate during the manufacturing process of the semiconductor integrated circuit device shown in Figure 1; Figure 4 is the semiconductor shown in Figure 1 The cross-sectional view of the main part of the semiconductor substrate during the manufacturing process of the integrated circuit device; FIG. 5 is the cross-sectional view of the main part of the semiconductor substrate during the manufacturing process of the semiconductor integrated circuit device shown in FIG. 1: FIG. 6 is Figure 1 shows the cross-section of the main part of the semiconductor substrate in the manufacturing process of the semiconductor integrated circuit device; Figure 7 shows the cross section of the main part of the semiconductor substrate in the manufacturing process of the semiconductor integrated circuit device shown in Figure 1 Picture: Picture 8 is the first picture The cross-sectional view of the main part of the semiconductor substrate in the manufacturing process of the conductive volume circuit device; FIG. 9 is the cross-sectional view of the main part of the semiconductor substrate in the manufacturing process of the semiconductor masher circuit device shown in FIG. 1: 0 is the cross-sectional view of the main part of the semiconductor substrate in the manufacturing process of the semiconductor masher circuit device shown in the first 囵; Sectional view of the main parts: Figure 12 shows the manufacturing of the semiconductor device circuit shown in Figure 1. This paper has been produced using the Chinese National Instruments (CNS) 84 specifications (210X297mm). 29-(please first Read the precautions on the back and fill in this page) Order A7 __B7__ printed by Beigong Consumer Cooperative of the Central Prototype Bureau of the Ministry of Economy V. Invention description (27) Sectional view of the main parts of the semiconductor substrate in the process: Figure 13 is the first figure The cross-sectional view of the main part of the semiconductor substrate in the manufacturing process of the semiconductor masher circuit device shown: Figure 14 is the cross-sectional view of the main part of the semiconductor substrate in the manufacturing process of the semiconductor integrated circuit device shown in Figure 1: Picture 15 is the half of picture 1 The cross-sectional view of the main part of the semiconductor substrate during the manufacturing process of the conductor ball circuit device: Figure 16 is the cross-sectional view of the main part of the semiconductor substrate during the manufacturing process of the semiconductor integrated circuit device shown in Figure 1; 7 is a cross-sectional view of the main part of the semiconductor substrate in the manufacturing process of the semiconductor integrated circuit device shown in FIG. 1: FIG. 18 is a semiconductor substrate in the manufacturing process of the semiconductor integrated circuit device shown in FIG. 1 Figure 19 is a cross-sectional view of the main part of the semiconductor substrate in the manufacturing process of the semiconductor integrated circuit device shown in Figure 1: Figure 20 is a conventional BARC film and the T 丨 of the present invention Comparison chart of N reflection prevention film: Figure 2 1 (a) ~ (c) is a cross-sectional view of the etching mechanism of the conductor layer when using the present invention: Figure 2 2 囵 is the connection hole and upper layer wiring when using the present invention The pattern diagram and cross-section of the alignment width margin: Figure 23 shows the cross-section of the main part of the semiconductor device circuit device in which connection holes with different azimuth ratios are formed on the interlayer insulating film when using the present invention. 2 4 (a) ~ (c) is the guide layer etching in the conventional technology ^^ 1- In i_l In 1 ^ 1 ^^ 1 ί. Ντ «Γ (please read the precautions on the back before filling in this page) The size of the paper used for the Chinese National Standard (CNS) Α4 specification (210Χ297mm) 30-322595 A7 B7 5. Description of the invention (28) Sectional view of the mechanism: Figure 25 shows the main part of a semiconductor integrated circuit device in which connection holes with different orientation ratios are formed on the interlayer insulating film when using conventional techniques Top view: Figure 2 6 is a schematic diagram of the alignment width margin of the connection hole and the upper wiring at the design stage: Figure 2 7 is a schematic diagram of the alignment width margin of the connection hole and the upper wiring when using conventional technology And its cross-section. Printed by the Beigong Consumer Cooperative of the Central Standard Falcon Bureau of the Ministry of Economic Affairs [Symbol Μ Semiconductor Velvet Film. Layer 0 0 6 6 a 1 6 A 2: gate η Μ 0 7 A pole 0 ρ Μ. 8 Pole pole. wall. Description】: Remember the 100 million body substrate. 5 Ρ: shape, 6 I η insulation 0 S 1 »7 c 0 S A 1 P:: P is formed in a 2 type high film ° 0 7 A •• Gate cell area. A: 2 p: ρ well 0 2 P-type channel stop layer. Semiconductor field. Within the memory cell area: n-type low-concentration semiconductor area. 7: formed on the periphery 7a1, 7a2: 2: η-type high-concentration semi-polar insulating film. 8: 8 a 1 »8 a 2: A 2: ρ-type high-degree gate insulating film. 9 Container β 1 1 a 1 Peripheral circuit area η: η lecture 0 4 η: η type 5 η: η type half of the η Μ Ο S body area. 6 6 b: n-type low-degree semiconductor in the field of gate circuits. Formed in the field of peripheral semi-semiconductors with low-degree P-type. : Insulating film. , 1 1 a 2: 3. Field barrier stop conductor area Ο A 1, conductor area 7 b: conductor area within the gate area 8 b: 1 0: for side capacitors (please read the precautions on the back before filling in this Page) This paper scale is applicable to China National Standards (CNS) A4 specifications (21〇X297 mm) 31 A7 B7 Printed by the National Standards Bureau of the Ministry of Economic Affairs, the Consumer Labor Cooperatives 5. Instructions for invention (29) Electrodes. lib: insulating film for capacitors. 12: The first insulating film. 12a, 12b: the connection hole of the first insulating film. 13: Conductor membrane. 14: The first conductor layer. 14a ~ 14c: Metal film. 15: The second insulating film. 15a ~ 15c: insulating film. 15d, 15dl, 15d2: the connection hole of the second insulating film. 16: The second conductor layer. 16a ~ 16c: metal film. 17: The third insulating film. 17a ~ 17c: insulating film. 18: The second conductor layer. 18a ~ 18c: metal film. 19: Surface protection film. 50: Nitrogen compounds. 51: connection hole. 52a, 52b: The first conductor layer composed of W is used conventionally. 52c: Over-etched part of the first conductor layer. R: Light-resistant film (mask). E: It is used to remove the chip part of the first conductor layer composed of W. S: The shoulder of the first conductor layer composed of Ti N in the upper layer of the present invention is removed. d: The opening diameter of the connection hole at the design stage. d1: opening diameter of the connection hole when using the present invention. d 2: Diameter of connection hole when using conventional technology. 1: When designing the blind section, the connection hole and the upper layer wiring are aligned with the margin. 1 1: Alignment width margin of connection hole and upper layer wiring when using the present invention. 1 2: Alignment width margin of connection hole and upper layer wiring when using conventional technology. (Please read the precautions on the back first and then fill out this page) The size of this paper is applicable to China National Standard (CNS) Α4 specification (210Χ297mm) _ 32-

Claims (1)

六、申請專利範圍 第841 1 1 554號專利申請案 中文申請專利範圍修正本 民國85年12月修正 1 . 一種半導體積體電路裝置,其特徵爲包括:高融 點金屬膜之上面由反射率低於該高融點金靥膜之反射率之 導體膜所構成之第1導體層;在第1導體層上形成連接孔 之絕緣膜;及連接於設在連接孔之第1導體層部分之第2 導體層。 經濟部中夬橾準局另工消費合作社印装 (請先聞讀背面之注意事項再填寫本頁) 2. —種半導體積體電路裝置,該裝置係包括由具有 電容器與Μ I S F E T之許多記憶體晶胞所構成之記憶體 晶胞領域,及由許多Μ I S F Ε Τ所構成之周邊電路領域 之動態型半導體記憶裝置,其特徵爲包括:披覆記億體晶 胞領域及周邊電路領域之第1絕緣膜;由形成於記億體領 域上之第1絕緣膜及周邊電路領域上之第1絕緣膜上之高 融點金屬膜,及高融點金屬膜之上面之反射率低於高融點 金屬膜之反射率之導體膜所構成之許多第1導體層;在記 億體晶胞領域及周邊電路領域之第1導體層上形成連接孔 之第2絕緣膜;及分別連接於設在各連接孔之第1導體層 部分之第2導體層。 3. 如申請專利範圍第1或2項之裝置,其中高融點 金屬膜係鎢膜。 4. 如申請專利範圍第1或2項之裝置,其中低反射 率導體膜爲氮化鈦膜。 5. 如申請專利範圍第1或2項之裝置,其中高融點 本紙張尺度逋用中國國家操準(CNS ) Α4規格(210X297公釐) 經濟部中央榡準局男工消費合作社印製 Α8 Β8 C8 D8 六、申請專利範圍 金屬膜爲鎢膜,而低反射率導體膜爲氮化鈦膜。 6. 如申請專利範圍第2項之裝置,其中記億體晶胞 領域內之連接孔與周邊電路領域內之連接孔之方位比不相 同。 7. —種半導體積體電路裝置之製造方法,其特徵爲 包括:在半導體基板上形成由高融點金屬膜及反射率低於 高融點金靥膜之反射率之導體膜所構成之第1導體層之圖 型之過程;在第1導體層上部形成絕緣膜之過程;在第1 導體層上部利用光學石版印刷技術於絕緣膜上形成連接孔 之過程;及在連接孔上形成第2導體層之過程。 8. —種半導體積體電路裝置之製造方法,該裝置係 具有由包括電容器及Μ I S F Ε Τ之許多記憶體晶胞所構 成之記憶體晶胞領域,及由許多Μ I S F Ε Τ所構成之周 邊電路領域之動態型半導體記憶裝置,其特徵爲包括:在 半導體基板之記憶體晶胞領域內形成記憶體晶胞領域,在 周邊電路領域內形成Μ I S F Ε Τ之過程;在半導體基板 主面上形成披覆記憶體晶胞領域內之記億體晶胞及周邊電 路領域內之MISFET之第1絕緣膜之過程;在第1絕 緣膜上形成由高融點金屬膜及反射率低於高融點金屬膜之 反射率之導體膜所構成之層疊膜之過程;利用光學石版印 刷技術形成由層叠膜所構成之記億體晶胞用第1導體層及 周邊電路用第1導體層之過程;在各第1導體層上部形成 絕緣膜之過程;利用光學石版印刷技術在第1導體層上部 之絕緣膜上形成第1 ,第2連接孔之過程;及在第1 ,第 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐)_ 〇 (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部中央標準局負工消費合作社印«. A8 B8 C8 D8 六、申請專利範圍 2連接孔上分別形成第2導體層之過程。 9. 如申請專利範圍第7或8項之方法’其中高融點 金靥膜爲鎢膜。 10. 如申請專利範圍第7或8項之方法’其中低反 射率導體膜係氮化矽膜》 1 1 ·如申請專利範園第7或8項之方法,其中高融 點金靥膜係鎢膜,而低反射率導體膜係氮化鈦膜。 1 2 .如申請專利範圍第7或8項之方法,其中連接 孔之方位比不相同。 1-3 .如申請專利範圍第7或8項之方法,其中前述 高融點金靥膜爲鎢膜,前述低反射率之導體膜係氮化鈦膜 ,連續的形成由鎢膜及氮化鈦膜所構成之層叠膜之圖型而 形成第1導體層》 14.如申請專利範圍第7或8項之方法,其中第1 導體層上之絕緣膜成爲平坦狀。 1 5 . —種半導體積體電路裝置,其特徵爲:低電阻 係數之金屬膜之上面包括由電阻係數大於金屬膜之電阻係 數,而反射率小於金屬膜之反射率之導體膜所構成之第1 導體層,在第1導體層上形成連接孔之絕緣膜,及連接於 設在連接孔之第1導體層部分之第2導體層。 1 6 .如申請專利範圍第1 5項之裝置,其中金屬膜 係鋁膜*而低反射率導體膜係氮化鈦膜。 1 7 . —種半導體積體電路裝置之製造方法,其特徵 係具有準備具備主表面之半導體基板(1), 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)-3 - < „策— (請先閲讀背面之注意事項再填寫本頁) 訂 322595 SJ C8 D8 六、申請專利範圍 和於前述半導體基板之主表面上方,形成高融點金靥 之第1導體膜(14b) ’ 和經由前述第1之導體膜,令反射率爲低之第2導體 膜(1 4 c )形成於前述第1之導體膜上, 和於前述第2之導體膜上形成光阻膜(R), 和令前述光阻膜圖案化, 和將前述圖案化之光阻膜,做爲光罩加以使用,蝕刻 前述第2之導體膜’ 和令前述蝕刻之第2導體膜做爲光罩加以使用,蝕刻 前述第1之導體膜者。 18.如申請專利範圍第17項之半導體積體電路裝 置之製造方法,其中,前述第2之導體膜爲氮化鈦者。 1 9 . 一種半導體積體電路裝置之製造方法,其特徵 係具有準備具備主表面之半導體基板(1), 和於前述半導體基板之主表面上方,形成高融點金靥 (請先Μ讀背面之注意事項再填寫本頁) 經濟部_央棣準局負工消費合作社印裝 體 刻裝 導 蝕路。 2 ,電者 第 , 用體鈦 之 > 使 積化 低 R 以 體氮 爲,{ 加 導爲 率上膜 罩 半膜 射膜阻 光 之體 反體光 爲 項導. 令導成 做 9 之 ’ 之形 ’ 1 CM 膜 1 上,膜"第第 , 體第膜化阻者圍述 }導述體案光膜範前 b 之前導圖之體利 , 41 於之膜化導專中 1 第成 2 阻案之請其 { 述形第光圖 2 申 , 膜前}述述述第如法 體由 C 前前前及 方 導經 4 於令將 Ιο 造 ί 和 1 和和和第 2 製 第 < 述之 之膜 前置 本紙張尺度適用中國國家樣準(CNS )八4規格(210Χ297公釐)-4 - A8 B8 C8 D8 六、申請專利範圍 2 1 . —種半導體稹體電路裝置之製造方法,其特徵 係具有準備具備主表面之半導體基板(1), 和於前述半導體基板之主表面上方,形成配線導體圖 案(1 4 ) ’ 和形成爲被覆前述配線導體圖案之層間絕緣膜(1 5 ), 和平坦化前述層間絕緣膜之上面,在此該平坦化之上 面所見之階段,不同之複數個導體膜(14,14B)則 含於前述配線導體圚案, 形成貫穿前述層間絕緣膜,達到前述不同複數個之導 體膜的連接孔(15dl,15d2)者。 2 2 .如申請專利範圍第2 1項之半導體積體電路裝 置之製造方法,其中,前述第2之導體膜爲氮化鈦者。 23.—種半導體積體電路裝置之製造方法,其特徵 係具有準備具備主表面之半導體基板(1), 經濟部中央標準局身工消費合作社印装 (請先鬩讀背面之注意事項再填寫本頁) 和令具有形成於各前述半導體基板之主表面的一對半 導體範圍的複數MI SFETs (6,7,9),形成於 前述半導體基板之主表面, 和介由絕緣膜,於前述MISFETs中之預定數之 MI SFETs之上方,形成資訊儲存電容(11),各 電容器係與前述Μ I S F E T s之一個之一對半導體範圍 中之第1半導體範圍連構成記憶格, 和於基板上形成第1之層間絕緣膜(12),和形成 貫穿前述第1之層間絕緣膜,到達前述預定數之 本紙張尺度逍用中國國家標準(CNS ) Α4規格(210X297公釐)-5 - 322595 韶 C8 D8 々、申請專利範圍 Μ I S F E T s之一對半導體範圍中之第2半導體範圍之 第1連接孔(12a)、令此等之各第1之連接孔,以連 接導(1 3 )充填,而該連接導體則與前述預定之數之 Μ I SF E T s之一對半導體範圍中之第2半導體範圍接 Zen 觸, 和於前述第1之層間絕緣膜上,形成與前述連接導體 接觸之高融點金屬之第1導體膜(14b), 和經由前述第1之導體膜,令反射率爲低之第2導體 膜(1 4 c )形成於前述第1之導體膜上, 和蝕刻第1及第2之導體膜,形成第1之配線導體圖 案, 和於所得基板上,形成第2之層間絕緣膜(15), 和平坦化前述第2之層間絕緣膜之上面,在此該平坦 化之上面所見之階段,不同之複數個導體膜(1 4, 1 4 B )則含於前述第1之配線導體圖案, 形成貫穿前述第2之層間絕緣膜,達到前述不同複數 個之導體膜的連接孔(15dl,15d2), 經濟部中央標準局貝工消費合作社印製 -------丄裝------訂 (請先閱讀背面之注意事項再填寫本頁) 介由前述第2之連接孔,令於前述第1之配線導體圖 案電氣連接之第2配線導體圖案(16),形成於前述平 坦化之第2層間絕緣膜上面上者。 2 4 .如申請專利範圍第2 3項之半導體積體電路裝 置之製造方法,其中,前述第2之導體膜爲氮化鈦者。 25. —種半導體積體電路裝置,其特徵係具有準備 具備主表面之半導體基板(1), 本紙伕尺度逋用中國國家標準(CNS ) A4規格(210X297公釐) 8 88 8 ABCD 々、申請專利範圍 和於前述半導體基板之主表面上方,含有形成於第1 之導體膜(1 4 b )及該第1之導體膜上之第2導體膜( 14c)之配線導體圖案(14), 和前述第1之導體膜爲高融點金屬所成, 和前述第2之導體膜係具有較前述第1之導體膜爲低 之反射率,和較第1導體膜爲低之蝕刻速度者。 2 6 .如申請專利範圍第2 5項之半導體積體電路裝 置,其中,前述第2之導體膜爲氮化鈦者。 27.如申請專利範圍第25項之半導體積體電路裝 置,其中,前述第1之導體膜爲鎢,鈦或鉬者。 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局負工消費合作社印製 本紙張尺度適用中國國家標隼(CNS ) A4規格(210X297公釐)-7 -6. Patent application scope No. 841 1 1 554 Patent application Chinese application Patent scope amendment December, 1985 Amendment 1. A semiconductor integrated circuit device, which is characterized by including: the upper surface of the high melting point metal film by the reflectivity A first conductor layer composed of a conductor film having a reflectance lower than the high melting point gold film; an insulating film formed with a connection hole on the first conductor layer; and connected to the portion of the first conductor layer provided in the connection hole The second conductor layer. Printed and printed by the Ministry of Economic Affairs of the Ministry of Economic Affairs and Industry and Consumer Cooperatives (please read the precautions on the back before filling out this page) 2. A semiconductor integrated circuit device, which includes many memories with capacitors and M ISFET The dynamic semiconductor memory device in the memory cell field constituted by the body cell and the peripheral circuit field constituted by many M ISF ET is characterized by including: covering the memory cell field and the peripheral circuit field The first insulating film; the reflectivity of the high-melting-point metal film formed on the first-insulating film on the memory area and the first-insulating film on the peripheral circuit area, and on the high-melting point metal film Many first conductor layers composed of a conductive film of the melting point metal film; a second insulating film with connection holes formed on the first conductor layer in the area of the memory cell and peripheral circuits; The second conductor layer in the first conductor layer portion of each connection hole. 3. For the device of patent application item 1 or 2, the high melting point metal film is a tungsten film. 4. The device as claimed in item 1 or 2 of the patent application, wherein the low-reflectivity conductor film is a titanium nitride film. 5. If the device of patent application item 1 or 2, the high melting point paper size is printed in China National Standards (CNS) Α4 specification (210X297 mm). The Alpha 8 is printed by the Male Industry Consumer Cooperative of the Central Bureau of Economics of the Ministry of Economic Affairs. Β8 C8 D8 VI. Patent application The metal film is a tungsten film, and the low-reflectivity conductor film is a titanium nitride film. 6. For example, the device in the second scope of the patent application, in which the orientation ratio of the connection hole in the area of the memory cell and the connection hole in the area of the peripheral circuit are different. 7. A method for manufacturing a semiconductor integrated circuit device, characterized in that it includes: forming a first semiconductor film composed of a high melting point metal film and a conductive film having a reflectivity lower than that of the high melting point gold film 1 The process of the pattern of the conductor layer; the process of forming the insulating film on the top of the first conductor layer; the process of forming the connecting hole on the insulating film using the optical lithography technology on the top of the first conductor layer; and the formation of the second on the connecting hole Conductor layer process. 8. A method for manufacturing a semiconductor integrated circuit device, which has a memory cell field composed of many memory cells including a capacitor and M ISF ET, and is composed of many M ISF ET The dynamic semiconductor memory device in the peripheral circuit field is characterized by including: the process of forming the memory cell field in the memory cell field of the semiconductor substrate, and the process of forming the M ISF ET in the peripheral circuit field; on the main surface of the semiconductor substrate The process of forming the first insulating film covering the memory cell in the memory cell field and the MISFET in the peripheral circuit field; forming a metal film with a high melting point on the first insulating film and a reflectivity lower than high The process of laminating a film composed of a conductive film that melts the reflectivity of the metal film; the process of forming the first conductor layer for the memory cell composed of the laminated film and the first conductor layer for the peripheral circuit using optical lithography The process of forming an insulating film on the top of each first conductor layer; the process of forming the first and second connection holes on the insulating film on the top of the first conductor layer using optical lithography; On the 1st, the first paper scale is applicable to the Chinese National Standard (CNS) Α4 specification (210X297 mm) _ 〇 (please read the notes on the back before filling this page). Order the Ministry of Economic Affairs Central Standards Bureau negative labor consumption cooperative printed «. A8 B8 C8 D8 VI. Patent application 2 The process of forming the second conductor layer on the connection hole respectively. 9. For the method of claim 7 or 8, the high melting point gold film is a tungsten film. 10. If the method of patent application item 7 or 8 'where the low-reflectivity conductor film is a silicon nitride film "1 1 · If the method of patent patent application item 7 or 8, where the high melting point gold film is applied The tungsten film and the low-reflectivity conductor film are titanium nitride films. 1 2. As in the method of claim 7 or 8, the aspect ratio of the connecting hole is different. 1-3. The method as claimed in item 7 or 8 of the patent application, wherein the high-melting-point gold film is a tungsten film, and the low-reflectivity conductor film is a titanium nitride film, which is continuously formed by a tungsten film and a nitride The pattern of the laminated film composed of the titanium film to form the first conductor layer "14. As in the method of claim 7 or 8, the insulating film on the first conductor layer becomes flat. 15. A semiconductor integrated circuit device, characterized in that the upper surface of the metal film with a low resistivity includes a conductor film composed of a conductive film with a resistivity greater than the resistivity of the metal film and a reflectivity less than the reflectivity of the metal film 1 Conductor layer, an insulating film forming a connection hole on the first conductor layer, and a second conductor layer connected to the portion of the first conductor layer provided in the connection hole. 16. The device as claimed in item 15 of the patent application, wherein the metal film is an aluminum film * and the low-reflectivity conductor film is a titanium nitride film. 1 7. A method for manufacturing a semiconductor integrated circuit device, which is characterized by having a semiconductor substrate (1) with a main surface prepared, and the paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) -3-< "Strategy-(Please read the precautions on the back before filling in this page) Order 322595 SJ C8 D8 6. The scope of patent application and the formation of the first conductor film (14b) of high melting point gold on the main surface of the aforementioned semiconductor substrate ) 'And a second conductor film (1 4 c) having a low reflectance via the first conductor film is formed on the first conductor film, and a photoresist film is formed on the second conductor film ( R), and patterning the photoresist film, and using the patterned photoresist film as a photomask, etching the second conductor film 'and etching the second conductive film as a photomask It is used to etch the aforementioned first conductor film. 18. A method for manufacturing a semiconductor integrated circuit device according to claim 17, wherein the aforementioned second conductor film is titanium nitride. 1 9. A semiconductor Integrated circuit device The manufacturing method is characterized by preparing a semiconductor substrate (1) with a main surface, and forming a high melting point of gold on the main surface of the aforementioned semiconductor substrate (please read the precautions on the back before filling this page) Ministry of Economic Affairs _ Central Diplomatic Bureau Cooperative Consumer Cooperative Printed Body Engraved Conductive Etching Path. 2. Electrician, using bulk titanium to make the accumulation of low R with bulk nitrogen as the rate, {add conductivity as the rate to cover the film half film The anti-reflective body of the film-blocking light is the guide. Let the guide be made into the shape of 9 1 CM film 1 on the film "quote, the first section, the body of the film resists the enclosing} introduction case light film Fan Qianb's physical benefits of the previous map, 41 Yuzhi's film guide secondary school 1 No. 2 into the case, please call it {述 形 第 光 图 2 Shen, film front} The description of the first legal body from C front front Front and side guide 4 Yu Ling will Ιο made ί and 1 and the second and the second system of the film described in front of the paper size of this paper is applicable to China National Standards (CNS) 8 4 specifications (210Χ297 mm) -4 -A8 B8 C8 D8 VI. Patent application range 2 1.-A method for manufacturing semiconductor device circuit, the characteristics of which are accurate A semiconductor substrate (1) having a main surface, and above the main surface of the semiconductor substrate, a wiring conductor pattern (1 4) 'and an interlayer insulating film (15) formed to cover the wiring conductor pattern are formed, and the foregoing Above the interlayer insulating film, at the stage of this planarization seen above, a plurality of different conductor films (14, 14B) are included in the aforementioned wiring conductor case, forming a through-layer insulating film that reaches the aforementioned plurality of different ones Conductor film connection holes (15dl, 15d2). 2 2. A method for manufacturing a semiconductor integrated circuit device as claimed in item 21 of the patent scope, wherein the second conductor film is titanium nitride. 23. A method for manufacturing a semiconductor integrated circuit device, characterized by having a semiconductor substrate (1) with a main surface prepared by the Ministry of Economic Affairs, Central Bureau of Standards, Labor and Consumer Cooperatives (please read the notes on the back before filling in (This page) A pair of complex MI SFETs (6, 7, 9) having a pair of semiconductor regions formed on the main surface of each of the aforementioned semiconductor substrates, formed on the main surface of the aforementioned semiconductor substrates, and through the insulating film, on the aforementioned MISFETs Above a predetermined number of MI SFETs, an information storage capacitor (11) is formed. Each capacitor is connected to the first semiconductor range in the semiconductor range of one of the aforementioned MISFETs to form a memory cell, and a 1 interlayer insulating film (12), and formed through the first interlayer insulating film, reached the predetermined number of the paper size of the above-mentioned Chinese National Standard (CNS) Α4 specifications (210X297 mm) -5-322595 Shao C8 D8 々. One of the patent application ranges MISFETs, the first connection hole (12a) of the second semiconductor range in the semiconductor range, and the first connection hole of each of these, to The connection conductor (1 3) is filled, and the connection conductor is in contact with Zen of the second semiconductor range in the semiconductor range of one of the aforementioned predetermined number of M I SF ET s, and on the aforementioned first interlayer insulating film, A first conductor film (14b) of a high-melting-point metal in contact with the connecting conductor, and a second conductor film (14c) having a low reflectance via the first conductor film are formed on the first On the conductor film, the first and second conductor films are etched to form a first wiring conductor pattern, and on the resulting substrate, a second interlayer insulating film (15) is formed, and the second interlayer insulating film is planarized On the top, at this stage of the flattening seen above, a plurality of different conductor films (14, 14B) are included in the first wiring conductor pattern to form an interlayer insulating film penetrating the second The aforementioned connection holes (15dl, 15d2) of multiple conductor films are printed by the Beigong Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs ---------- not installed ------ ordered (please read the notes on the back first Please fill in this page for details.) The second wiring conductor pattern (16) electrically connected to the first wiring conductor pattern is formed on the above flat second interlayer insulating film. 24. The method for manufacturing a semiconductor integrated circuit device according to item 23 of the patent application, wherein the second conductor film is titanium nitride. 25. A semiconductor integrated circuit device, which is characterized by having a semiconductor substrate (1) with a main surface prepared, and this paper uses the Chinese National Standard (CNS) A4 specification (210X297 mm) 8 88 8 ABCD 々, apply The patent scope and the wiring conductor pattern (14) containing the first conductor film (14b) and the second conductor film (14c) formed on the first conductor film above the main surface of the aforementioned semiconductor substrate, and The first conductor film is made of a high-melting-point metal, and the second conductor film has a reflectivity lower than that of the first conductor film and a lower etching rate than the first conductor film. 26. The semiconductor integrated circuit device as claimed in item 25 of the patent scope, wherein the second conductor film is titanium nitride. 27. The semiconductor integrated circuit device of claim 25, wherein the first conductor film is tungsten, titanium or molybdenum. (Please read the precautions on the back before filling in this page) Printed by the Consumer Labor Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs. This paper scale is applicable to the Chinese National Standard Falcon (CNS) A4 specification (210X297 mm) -7-
TW084111554A 1995-09-13 1995-11-01 TW322595B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7235003A JPH0982800A (en) 1995-09-13 1995-09-13 Semiconductor integrated circuit device and manufacture thereof

Publications (1)

Publication Number Publication Date
TW322595B true TW322595B (en) 1997-12-11

Family

ID=16979627

Family Applications (1)

Application Number Title Priority Date Filing Date
TW084111554A TW322595B (en) 1995-09-13 1995-11-01

Country Status (3)

Country Link
JP (1) JPH0982800A (en)
KR (1) KR970017961A (en)
TW (1) TW322595B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW410435B (en) 1998-06-30 2000-11-01 United Microelectronics Corp The metal interconnection manufacture by using the chemical mechanical polishing process
JP2001284360A (en) * 2000-03-31 2001-10-12 Hitachi Ltd Semiconductor device
KR100434334B1 (en) * 2002-09-13 2004-06-04 주식회사 하이닉스반도체 Method for fabricating capacitor of semiconductor device using the dual mask
JP7134902B2 (en) * 2019-03-05 2022-09-12 キオクシア株式会社 semiconductor equipment

Also Published As

Publication number Publication date
JPH0982800A (en) 1997-03-28
KR970017961A (en) 1997-04-30

Similar Documents

Publication Publication Date Title
US9514976B2 (en) Trench isolation implantation
US6388296B1 (en) CMOS self-aligned strapped interconnection
KR950011983B1 (en) Fabricating method of semiconductor device
US6268637B1 (en) Method of making air gap isolation by making a lateral EPI bridge for low K isolation advanced CMOS fabrication
US20050026345A1 (en) Process for forming dual metal gate structures
SG182007A1 (en) Methods to form dual metal gates by incorporating metals and theirconductive oxides
JPH11251457A (en) Semiconductor device, memory cell and its forming method
JP2005026586A (en) Semiconductor device and its manufacturing method
JP2007123364A (en) Semiconductor device and method of manufacturing same
US10490645B2 (en) Semiconductor devices comprising nitrogen-doped gate dielectric, and methods of forming semiconductor devices
US20070080404A1 (en) Semiconductor device
US7078307B2 (en) Method for manufacturing single-sided buried strap in semiconductor devices
US20080251824A1 (en) Semiconductor memory device and manufacturing method thereof
JP2011204997A (en) Method of manufacturing semiconductor device, and semiconductor device
TW322595B (en)
US6383859B2 (en) Method of forming semiconductor device including patterning lower electrode of capacitor and gate electrode of transistor with same resist
KR100576464B1 (en) A method for forming a metal line of semiconductor device
JP2006237425A (en) Manufacturing method of semiconductor device
JP2008021935A (en) Electronic device and manufacturing method thereof
US7387921B2 (en) Method of manufacturing semiconductor device
TW531893B (en) Semiconductor device and manufacture method therefor
US20160276213A1 (en) Reliable contacts
US7820537B1 (en) Method for fabricating semiconductor device
KR20030058664A (en) Method for forming CMOS of semiconductor device
KR20030079806A (en) Method for manufacturing a semiconductor device having a layered gate electrode