TW202407463A - Dual tone photoresists - Google Patents

Dual tone photoresists Download PDF

Info

Publication number
TW202407463A
TW202407463A TW112124728A TW112124728A TW202407463A TW 202407463 A TW202407463 A TW 202407463A TW 112124728 A TW112124728 A TW 112124728A TW 112124728 A TW112124728 A TW 112124728A TW 202407463 A TW202407463 A TW 202407463A
Authority
TW
Taiwan
Prior art keywords
photoresist
metal oxide
substrate
metal
areas
Prior art date
Application number
TW112124728A
Other languages
Chinese (zh)
Inventor
蘭卡摩查理杜 卡路塔瑞奇
韓振興
路易莎 波薩諾
馬賀 沙奇安
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202407463A publication Critical patent/TW202407463A/en

Links

Abstract

Embodiments disclosed herein include a method of patterning a metal oxo photoresist. In an embodiment, the method comprises depositing the metal oxo photoresist on a substrate, treating the metal oxo photoresist with a first treatment, exposing the metal oxo photoresist with an EUV exposure to form exposed regions and unexposed regions, treating the exposed metal oxo photoresist with a second treatment, and developing the metal oxo photoresist.

Description

雙型光阻劑Dual type photoresist

本申請案主張於2022年7月11日提交的美國專利申請案第17/862,283號的優先權,該申請案的全部內容藉由引用在此併入本文。This application claims priority from U.S. Patent Application No. 17/862,283, filed on July 11, 2022, the entire contents of which are hereby incorporated by reference.

本揭示案的實施例係關於半導體處理領域,且特定言之,係關於使用乾式沉積及氧化處理將正型光阻劑層沉積至基板上的方法。Embodiments of the present disclosure relate to the field of semiconductor processing, and in particular, to methods of depositing a positive photoresist layer onto a substrate using dry deposition and oxidation processes.

數十年來,微影術已在半導體工業中使用以在微電子裝置中建立二維(two-dimension; 2D)圖案及三維(three-dimension; 3D)圖案。微影製程涉及旋塗沉積膜(光阻劑),藉由能量源以選定圖案照射膜(暴露),及藉由溶解在溶劑中來移除(蝕刻)膜的暴露(正型)或非暴露(負型)區域。將進行烘烤以驅除剩餘的溶劑。Lithography has been used in the semiconductor industry for decades to create two-dimension (2D) patterns and three-dimension (3D) patterns in microelectronic devices. The lithography process involves depositing a film (photoresist) by spin coating, irradiating the film in a selected pattern with an energy source (exposing), and removing (etching) the film by dissolving it in a solvent (etching), exposed (positive) or unexposed (negative) area. Bake out to drive out remaining solvent.

光阻劑應為輻射敏感材料,並且在輻照之後,在膜的暴露部分中發生化學轉化,如此使得暴露區域與非暴露區域之間的溶解度發生變化。使用此溶解度變化,光阻劑的暴露區域或非暴露區域得以移除(蝕刻)。隨後使光阻劑顯影且可藉由蝕刻將圖案轉移到下層薄膜或基板上。圖案轉移之後,移除殘留的光阻劑,且多次重複此製程可得到用於微電子元件的2D及3D結構。The photoresist should be a radiation-sensitive material and, upon irradiation, undergo a chemical transformation in the exposed portions of the film such that the solubility changes between exposed and non-exposed areas. Using this solubility change, exposed or non-exposed areas of the photoresist are removed (etched). The photoresist is then developed and the pattern can be transferred to the underlying film or substrate by etching. After pattern transfer, the remaining photoresist is removed and the process is repeated multiple times to obtain 2D and 3D structures for microelectronic components.

微影製程中的若干性質是重要的。該等重要的性質包括靈敏度、解析度、較低的線邊緣粗糙度(line-edge roughness; LER)、耐蝕刻性以及形成更薄層的能力。當靈敏度較高時,改變沉積膜溶解度所需的能量就較低。如此使得微影製程的效率更高。解析度及LER決定了微影製程可達成多窄的特徵。圖案轉移需要更高的抗蝕刻材料以形成深結構。更高的抗蝕刻材料亦可實現更薄的膜。更薄的膜提高了微影製程的效率。Several properties of the lithography process are important. Such important properties include sensitivity, resolution, low line-edge roughness (LER), etch resistance and the ability to form thinner layers. When the sensitivity is higher, the energy required to change the solubility of the deposited film is lower. This makes the lithography process more efficient. Resolution and LER determine how narrow the features can be achieved with the lithography process. Pattern transfer requires higher etch-resistant materials to create deep structures. Higher etch-resistant materials also enable thinner films. Thinner films improve the efficiency of the lithography process.

本文揭示的實施例包括一種圖案化金屬氧光阻劑的方法。在一實施例中,該方法包括在基板上沉積金屬氧光阻劑,用第一處理處理該金屬氧光阻劑,用EUV暴露暴露該金屬氧光阻劑以形成暴露區域和未暴露區域,用第二處理處理該暴露的金屬氧光阻劑,並且將該金屬氧光阻劑顯影。Embodiments disclosed herein include a method of patterning metal oxide photoresists. In one embodiment, the method includes depositing a metal oxide photoresist on a substrate, treating the metal oxide photoresist with a first process, and exposing the metal oxide photoresist with EUV exposure to form exposed areas and unexposed areas, The exposed metal oxide photoresist is treated with a second process and the metal oxide photoresist is developed.

在一實施例中,提供了沉積和圖案化光阻劑的方法。在一實施例中,該方法包含用乾式沉積製程在基板上沉積光阻劑,其中該光阻劑包含金屬氧材料,用EUV暴露暴露該光阻劑以形成暴露區域和未暴露區域,並且藉由移除該等暴露區域或該等未暴露區域將該光阻劑顯影。In one embodiment, methods of depositing and patterning photoresists are provided. In one embodiment, the method includes depositing a photoresist on a substrate using a dry deposition process, wherein the photoresist includes a metal oxide material, exposing the photoresist using EUV exposure to form exposed areas and unexposed areas, and The photoresist is developed by removing the exposed areas or the unexposed areas.

實施例可進一步包含一種圖案化基板的方法,該方法包括:用乾式沉積製程將光阻劑安置在基板上,其中該光阻劑為金屬氧材料;用EUV暴露暴露該光阻劑以形成暴露區域和未暴露區域,藉由移除將該等暴露區域或該等未暴露區域來將該光阻劑顯影以形成穿過光阻劑的開口,並且透過該光阻劑中的該等開口蝕刻基板。Embodiments may further include a method of patterning a substrate, the method comprising: disposing a photoresist on the substrate using a dry deposition process, wherein the photoresist is a metal oxide material; exposing the photoresist using EUV exposure to form an exposure areas and unexposed areas, developing the photoresist to form openings through the photoresist by removing the exposed areas or the unexposed areas, and etching through the openings in the photoresist substrate.

本文描述了使用乾式沉積及氧化處理製程在基板上沉積正型光阻劑的方法。在以下描述中,闡述了許多具體細節,諸如用於沉積正型光阻劑的化學氣相沉積(chemical vapor deposition; CVD)及原子層沉積(Atomic Layer Deposition; ALD)製程及材料方案,以便提供對本揭示案之實施例的透徹理解。將對熟習此項技術者顯而易見的是,可在沒有該等具體細節的情況下實踐本揭示案的實施例。在其他情況下,沒有詳細描述諸如積體電路製造的眾所熟知的態樣,以免不必要地混淆本揭示案的實施例。此外,應當理解,圖中所示的各種實施例為說明性的表示且不必按比例繪製。This article describes a method for depositing positive photoresist on a substrate using a dry deposition and oxidation process. In the following description, many specific details are set forth, such as chemical vapor deposition (CVD) and atomic layer deposition (ALD) processes and materials solutions used to deposit positive photoresists, in order to provide A thorough understanding of the embodiments of the present disclosure. It will be apparent to those skilled in the art that embodiments of the present disclosure may be practiced without these specific details. In other instances, well-known aspects, such as integrated circuit fabrication, have not been described in detail so as not to unnecessarily obscure the embodiments of the present disclosure. Furthermore, it should be understood that the various embodiments shown in the figures are illustrative representations and are not necessarily drawn to scale.

為了提供背景,在極紫外(extreme ultraviolet; EUV)微影術中使用的光阻劑系統存在效率低的問題。亦即,現有的用於EUV微影術的光阻劑材料系統需要高劑量,以提供所需的溶解度轉換,從而允許光阻劑材料的顯影。傳統上,稱為有機化學放大光阻劑(chemically amplified photoresist; CAR)的碳基膜已被用作光阻劑。然而,最近有機-無機混成材料(金屬-氧)已被用作極紫外線(EUV)輻射下的光阻劑。此類材料通常包括金屬(例如Sn、Hf、Zr)、氧及碳。微影術工業自深紫外線(deep UV; DUV)至極紫外線(EUV)的轉變促進了具有高深寬比的窄特徵。基於金屬-氧的有機-無機混成材料已顯示出較低的線邊緣粗糙度(LER)及較高的解析度,此為形成窄特徵所需的。此外,這種膜具有更高的靈敏度及抗蝕刻特性,且可實施來製造相對較薄的膜。To provide background, photoresist systems used in extreme ultraviolet (EUV) lithography suffer from inefficiencies. That is, existing photoresist material systems for EUV lithography require high dosages to provide the required solubility transition to allow development of the photoresist material. Traditionally, carbon-based films called chemically amplified photoresist (CAR) have been used as photoresists. Recently, however, organic-inorganic hybrid materials (metal-oxygen) have been used as photoresists under extreme ultraviolet (EUV) radiation. Such materials typically include metals (eg Sn, Hf, Zr), oxygen and carbon. The lithography industry's transition from deep UV (DUV) to extreme ultraviolet (EUV) has facilitated narrow features with high aspect ratios. Metal-oxygen-based organic-inorganic hybrid materials have shown lower line edge roughness (LER) and higher resolution, which is required to form narrow features. In addition, such films have higher sensitivity and etch resistance, and can be implemented to make relatively thin films.

目前,金屬-氧光阻劑藉由包括濕化學的旋塗方法來沉積。需要後烘烤製程來驅除膜中的任何殘留溶劑且使膜穩定。此外,濕法會產生大量工業希望擺脫的濕垃圾。藉由旋塗法沉積的光阻劑膜通常會導致不均勻性問題。根據本揭示案的實施例,針對上述問題中的一或多個,本文描述了金屬-氧正型光阻劑的真空沉積製程。Currently, metal-oxygen photoresists are deposited by spin coating methods involving wet chemistry. A post-bake process is required to drive out any residual solvent in the film and stabilize the film. Additionally, wet processes produce large amounts of wet waste that industry would like to get rid of. Photoresist films deposited by spin coating often lead to non-uniformity issues. According to embodiments of the present disclosure, in order to address one or more of the above problems, a vacuum deposition process of a metal-oxygen positive photoresist is described herein.

根據本揭示案的一或多個實施例,本文描述了用於形成正型光阻劑膜的乾式沉積及氧化處理方法。在一些實施例中,熱化學氣相沉積(CVD)用於正型光阻劑膜的乾式沉積。在其他實施例中,電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition; PECVD)用於乾式沉積正型光阻劑膜。在一實施例中,乾式沉積製程並非冷凝製程。在另一實施例中,乾式沉積製程為冷凝製程。在一個此類冷凝製程實施例中,晶圓/基板保持在金屬前驅物可冷凝的溫度下。可藉由將晶圓溫度保持在低於前驅物安瓿溫度的溫度來達成前驅物冷凝。In accordance with one or more embodiments of the present disclosure, described herein are dry deposition and oxidation processing methods for forming positive photoresist films. In some embodiments, thermal chemical vapor deposition (CVD) is used for dry deposition of positive photoresist films. In other embodiments, plasma enhanced chemical vapor deposition (PECVD) is used to dry deposit the positive photoresist film. In one embodiment, the dry deposition process is not a condensation process. In another embodiment, the dry deposition process is a condensation process. In one such condensation process embodiment, the wafer/substrate is maintained at a temperature at which the metal precursor can condense. Precursor condensation can be achieved by maintaining the wafer temperature below the precursor ampoule temperature.

第1A圖示出根據本揭示案的實施例,表示使用由本文所述製程形成之正型光阻劑材料的圖案化製程中之各種操作的橫截面圖。Figure 1A illustrates a cross-sectional view illustrating various operations in a patterning process using a positive photoresist material formed by the processes described herein, in accordance with an embodiment of the present disclosure.

參考第1A圖的部分(a),起始結構100包括在基板或下層102上方的正型光阻劑層104。在一個實施例中,正型光阻劑層104使用乾法沉積來沉積。參考第1A圖的部分(b),在選定位置照射106起始結構100以形成具有照射區域105B及未照射區域105A的照射光阻劑層104A。參考第1A圖的部分(c),移除或蝕刻製程108用於提供未照射區域105A的顯影光阻劑層。參考第1A圖的(d)部分,使用未照射區域105A作為遮罩的蝕刻製程110用於圖案化基板或下層102以形成包括蝕刻特徵112的圖案化基板或圖案化下層102A。Referring to part (a) of Figure 1A, a starting structure 100 includes a positive photoresist layer 104 over a substrate or underlying layer 102. In one embodiment, the positive photoresist layer 104 is deposited using dry deposition. Referring to part (b) of Figure 1A, the starting structure 100 is illuminated 106 at selected locations to form an illuminated photoresist layer 104A having illuminated areas 105B and unirradiated areas 105A. Referring to part (c) of Figure 1A, a removal or etching process 108 is used to provide a developed photoresist layer in unilluminated areas 105A. Referring to part (d) of FIG. 1A , an etching process 110 using unirradiated areas 105A as a mask is used to pattern the substrate or underlying layer 102 to form a patterned substrate or patterned underlying layer 102A that includes etched features 112 .

再次參考第1A圖,正型光阻劑104為輻射敏感材料,且在照射時,在膜的暴露部分中發生化學轉變,這使得暴露與未暴露區域之間的溶解度產生變化。利用溶解度的變化,正型光阻劑的暴露區域被移除(蝕刻)。隨後使正型光阻劑顯影且可藉由蝕刻將圖案轉移到下層薄膜或基板上。圖案轉移之後,移除殘留的正型光阻劑。此製程可重複多次,可製造2D及3D結構,例如用於微電子元件。Referring again to Figure 1A, positive photoresist 104 is a radiation-sensitive material and, upon irradiation, undergoes a chemical transformation in the exposed portions of the film, which causes a change in solubility between exposed and unexposed areas. Using the change in solubility, the exposed areas of the positive photoresist are removed (etched). The positive photoresist is then developed and the pattern can be transferred to the underlying film or substrate by etching. After pattern transfer, the remaining positive photoresist is removed. This process can be repeated many times and can produce 2D and 3D structures, such as for microelectronic components.

第1B圖示出根據本揭示案的實施例,表示使用由本文所述製程形成之負型光阻劑材料的圖案化製程中之各種操作的橫截面圖。Figure 1B illustrates a cross-sectional view illustrating various operations in a patterning process using negative photoresist materials formed by the processes described herein, in accordance with an embodiment of the present disclosure.

參考第1B圖的部分(a),起始結構100包括在基板或下層102上方的負型光阻劑層103。在一個實施例中,負型光阻劑層103使用乾法沉積來沉積。參考第1B圖的部分(b),在選定位置照射106起始結構100以形成具有照射區域105B及未照射區域105A的照射光阻劑層103A。參考第1B圖的部分(c),移除或蝕刻製程108用於提供照射區域105B的顯影光阻劑層。參考第1B圖的(d)部分,使用照射區域105B作為遮罩的蝕刻製程110用於圖案化基板或下層102以形成包括蝕刻特徵112的圖案化基板或圖案化下層102A。Referring to part (a) of Figure 1B, a starting structure 100 includes a negative photoresist layer 103 over a substrate or underlying layer 102. In one embodiment, negative photoresist layer 103 is deposited using dry deposition. Referring to part (b) of Figure 1B, the starting structure 100 is illuminated 106 at selected locations to form an illuminated photoresist layer 103A having illuminated areas 105B and unirradiated areas 105A. Referring to part (c) of Figure 1B, a removal or etching process 108 is used to provide a developed photoresist layer for illuminated area 105B. Referring to part (d) of FIG. 1B , an etching process 110 using illuminated area 105B as a mask is used to pattern the substrate or underlying layer 102 to form a patterned substrate or patterned underlying layer 102A that includes etched features 112 .

再次參考第1B圖,負型光阻劑103為輻射敏感材料,且在照射時,在膜的暴露部分中發生化學轉變,這使得暴露與未暴露區域之間的溶解度產生變化。利用溶解度的變化,負型光阻劑的未暴露區域被移除(蝕刻)。隨後使負型光阻劑顯影且可藉由蝕刻將圖案轉移到下層薄膜或基板上。圖案轉移之後,移除殘留的負型光阻劑。此製程可重複多次,可製造2D及3D結構,例如用於微電子元件。Referring again to Figure 1B, negative photoresist 103 is a radiation-sensitive material and, upon irradiation, undergoes a chemical transformation in the exposed portions of the film, which causes a change in solubility between exposed and unexposed areas. Using the change in solubility, the unexposed areas of the negative photoresist are removed (etched). The negative photoresist is then developed and the pattern can be transferred to the underlying film or substrate by etching. After pattern transfer, the remaining negative photoresist is removed. This process can be repeated many times and can produce 2D and 3D structures, such as for microelectronic components.

如以下將更詳細描述的,正型阻劑及負型阻劑可皆為金屬氧光阻劑薄膜。在一些情況下,相同的材料系統可用於正型阻劑及負型阻劑兩者。特定地,所使用的顯影劑化學性質將指定光阻劑膜為負型阻劑還是正型阻劑。例如,在負型阻劑中,顯影劑可為有機溶劑;並且在正型阻劑中,顯影劑可為水性鹼介質。亦即,利用EUV暴露的乾式沉積可用以形成正型阻劑或負型阻劑。As will be described in more detail below, both the positive resistor and the negative resist can be metal oxide photoresist films. In some cases, the same material system can be used for both positive and negative resistors. Specifically, the developer chemistry used will specify whether the photoresist film is a negative or positive resist. For example, in a negative resist, the developer may be an organic solvent; and in a positive resist, the developer may be an aqueous alkaline medium. That is, dry deposition using EUV exposure can be used to form positive resistors or negative resistors.

為了提供背景,微影工業習慣於使用正型光阻劑(PR)材料進行操作。然而,大多數金屬-氧PR材料為負型光阻劑。正型光阻劑具有比負型光阻劑更高的解析度、更高的抗乾蝕刻性及更高的對比度等優點。根據本揭示案的一或多個實施例,描述了藉由諸如化學氣相沉積(CVD)及原子層沉積(ALD)的乾式沉積方法製造正型PR材料的方法。To provide context, the lithography industry is accustomed to operating with positive photoresist (PR) materials. However, most metal-oxygen PR materials are negative photoresists. Positive photoresists have the advantages of higher resolution, higher resistance to dry etching and higher contrast than negative photoresists. In accordance with one or more embodiments of the present disclosure, methods of fabricating positive PR materials by dry deposition methods such as chemical vapor deposition (CVD) and atomic layer deposition (ALD) are described.

在一實施例中,Sn前驅物用於Sn氧PR材料的真空沉積製程。SnOC膜由於其對暴露的高敏感性而可成為有吸引力的光阻劑膜。通常,錫-氧光阻劑膜在SnOC網路中包含Sn-O及Sn-C鍵,且在暴露(例如UV/EUV)時,Sn-C鍵斷裂且膜中的碳百分比降低。這會導致顯影製程期間的選擇性蝕刻。可藉由使用具有Sn-C鍵的金屬前驅物將Sn-C結合至膜中。在一個實施例中,本文所述的前驅物具有用於暴露敏感性的Sn-C(R含有與Sn結合的C)且具有配位體(ligand; L)以與氧化劑(例如水)反應以形成光阻劑膜。在一個實施例中,可藉由改變Sn前驅物上的R及/或L來調節前驅物與氧化劑之間的反應性。此外,可藉由改變前驅物中的R基團來調節靈敏度。在一個實施例中,銦-氧或錫-銦-氧膜亦可用作正型光阻劑膜。本文所述的方法可擴展至許多其他含金屬膜。雖然本文特定關注於正型光阻劑膜,但是應瞭解,類似的材料系統可用作負型光阻劑膜。特定地,顯影劑溶液的選擇可指定暴露(例如,EUV暴露)是產生正型阻劑還是負型阻劑。In one embodiment, the Sn precursor is used in a vacuum deposition process of Sn-oxygen PR material. SnOC films can be attractive photoresist films due to their high sensitivity to exposure. Typically, tin-oxygen photoresist films contain Sn-O and Sn-C bonds in the SnOC network, and upon exposure (e.g., UV/EUV), the Sn-C bonds break and the carbon percentage in the film decreases. This results in selective etching during the development process. Sn-C can be incorporated into the film by using a metal precursor with Sn-C bonds. In one embodiment, a precursor described herein has Sn-C for exposure sensitivity (R contains C bound to Sn) and has a ligand (ligand; L) to react with an oxidizing agent (eg, water) to A photoresist film is formed. In one embodiment, the reactivity between the precursor and the oxidant can be adjusted by changing R and/or L on the Sn precursor. In addition, the sensitivity can be adjusted by changing the R group in the precursor. In one embodiment, an indium-oxygen or tin-indium-oxygen film can also be used as a positive photoresist film. The methods described here can be extended to many other metal-containing films. Although this article focuses specifically on positive photoresist films, it should be understood that similar material systems can be used as negative photoresist films. Specifically, the choice of developer solution may specify whether the exposure (eg, EUV exposure) produces a positive resist or a negative resist.

根據本揭示案的實施例,藉由在金屬前驅物或電漿輔助沉積方法中使用特定類型的R基團來製造正型或負型光阻劑。例如,可使用含苯基(R)的Sn前驅物(PhSn(NMe 2) 3)。在環境下將阻劑暴露於UV後,藉由FTIR,暴露區域顯示出酸性部分。隨後,將阻劑浸入水性鹼介質(例如,氫氧化鈉(NaOH)或者四甲基氫氧化銨(TMAH))中且使阻劑顯影為正型。阻劑的酸性部分(暴露區域)與鹼性NaOH反應且溶解在水性介質中,產生正型阻劑。此外,當在PECVD中使用Sn(nBu) 4時,獲得了正型阻劑。因此,本文描述了用於製造正型光阻劑的方法。在相反的情況下(例如,對於負型光阻劑),阻劑可浸入在有機溶劑中。有機溶劑可將阻劑膜的未暴露區域溶解。因此,本文描述了用於製造負型阻劑的方法。 According to embodiments of the present disclosure, positive or negative photoresists are made by using specific types of R groups in metal precursors or plasma-assisted deposition methods. For example, a phenyl (R)-containing Sn precursor (PhSn(NMe 2 ) 3 ) can be used. After exposing the resist to UV in ambient conditions, the exposed areas showed acidic portions by FTIR. Subsequently, the resist is immersed in an aqueous alkaline medium (eg, sodium hydroxide (NaOH) or tetramethylammonium hydroxide (TMAH)) and developed into a positive type. The acidic portion of the resist (exposed area) reacts with alkaline NaOH and dissolves in the aqueous medium, producing a positive resist. Furthermore, when using Sn(nBu) 4 in PECVD, a positive resistor was obtained. Therefore, this article describes methods for making positive photoresists. In the opposite case (for example, for negative photoresists), the resist can be immersed in an organic solvent. Organic solvents dissolve unexposed areas of the resist film. Therefore, this article describes methods for making negative resistors.

在第一態樣中,使用具有低自由基穩定性的R基團。例如,諸如苯基、烯基、甲基之R基團的自由基穩定性低(Sn-C → Sn• +C•)。第2A圖包括根據本揭示案的實施例,適用於製造正型或負型光阻劑膜之金屬前驅物的通式及具體實例。在一個實施例中,左側的兩個具體實例可以與熱CVD一起使用,而右側的兩個具體實例可能需要PECVD以便使用下文描述的顯影製程。In a first aspect, R groups with low radical stability are used. For example, R groups such as phenyl, alkenyl, and methyl have low radical stability (Sn-C → Sn• +C•). Figure 2A includes a general formula and specific examples of metal precursors suitable for manufacturing positive or negative photoresist films according to embodiments of the present disclosure. In one embodiment, the two embodiments on the left may be used with thermal CVD, while the two embodiments on the right may require PECVD in order to use the development process described below.

應當理解,微影工業通常用於處理正型PR,且幾乎所有新型金屬-氧PR均為負型PR。正型PR可具有比負型PR更高的解析度、更高的抗乾蝕刻性及更高的對比度等優點。然而,金屬-氧PR可能需要在暴露期間或暴露之後進行氧化,才能起到正型PR的作用。在此,描述了使用氧化操作製作正型PR的方法。應當理解,相同或相似的方法亦可用於負型PR製造。It should be understood that the lithography industry generally deals with positive type PR, and almost all new metal-oxygen PR are negative type PR. Positive PR can have the advantages of higher resolution, higher resistance to dry etching, and higher contrast than negative PR. However, metal-oxygen PR may require oxidation during or after exposure to function as positive PR. Here, a method for making positive-type PR using an oxidation operation is described. It should be understood that the same or similar methods can also be used for negative PR fabrication.

在第二態樣中,對於暴露環境,當光阻劑曝露於能源(例如,EUV)時,暴露腔室(環境)可為含氧或惰性的。在一個實施例中,暴露係在真空下使用氧源例如O 2、H 2O、CO 2、CO、NO 2或NO。在一個實施例中,EUV暴露且隨後氧氣暴露的重複可在1至100次之間。 In a second aspect, for the exposure environment, when the photoresist is exposed to an energy source (eg, EUV), the exposure chamber (environment) can be oxygen-containing or inert. In one embodiment, the exposure is under vacuum using an oxygen source such as O2 , H2O , CO2 , CO, NO2 , or NO. In one embodiment, the repetitions of EUV exposure and subsequent oxygen exposure may be between 1 and 100 times.

在第三態樣中,後退火在含氧環境中進行。在一個實施例中,氧源為O 3、NO 2、NO或O 2,其可用於形成電漿,及/或可與N 2、Ar或He一起使用。在一個實施例中,後退火在攝氏25-200度範圍內的溫度下進行。在一個實施例中,後退火在小於200托的壓力下進行。在特定實施例中,使用臭氧(O 3)作為氧源氣體,在攝氏25-250度範圍內的溫度下,在小於200托的壓力下進行後退火。 In a third aspect, post-annealing is performed in an oxygen-containing environment. In one embodiment, the oxygen source is O3 , NO2 , NO or O2 , which can be used to form the plasma, and/or can be used with N2 , Ar or He. In one embodiment, the post-annealing is performed at a temperature in the range of 25-200 degrees Celsius. In one embodiment, post-annealing is performed at a pressure of less than 200 Torr. In a specific embodiment, post-annealing is performed at a pressure of less than 200 Torr using ozone ( O3 ) as the oxygen source gas at a temperature in the range of 25-250 degrees Celsius.

在第四態樣中,可使用的鹼性顯影劑包括可在水中製備的無機鹼,且濃度及顯影時間可加以調整。在一個實施例中,可使用第1族及第2族氫氧化物(例如,NaOH、KOH)、NH 4OH、NaHCO 3、NaCO 3、N(CH 3) 4OH或第2B圖中所示的胺。 In the fourth aspect, the alkaline developer that can be used includes an inorganic base that can be prepared in water, and the concentration and development time can be adjusted. In one embodiment, Group 1 and Group 2 hydroxides (e.g., NaOH, KOH), NH 4 OH, NaHCO 3 , NaCO 3 , N(CH 3 ) 4 OH, or as shown in Figure 2B may be used of amines.

在第五態樣中,有機溶劑可經使用以製備負型光阻劑。有機溶劑可將具有較低極性的光阻劑膜的有機部分(亦即,未暴露區域)溶解。適當的有機溶劑包括但不限於2-庚酮、MIBC、MINK、苯甲醚、D-檸檬烯、苯甲酸甲酯、乙酸正丁酯、GBL及超臨界CO 2In a fifth aspect, an organic solvent may be used to prepare a negative photoresist. Organic solvents can dissolve organic portions (ie, unexposed areas) of the photoresist film that have lower polarity. Suitable organic solvents include, but are not limited to, 2-heptanone, MIBC, MINK, anisole, D-limonene, methyl benzoate, n-butyl acetate, GBL, and supercritical CO2 .

另外地,第2C圖包括一列某些金屬前驅物及彼等金屬前驅物的特定實例。示出了具有通式MR XL Y的材料,其中x=0-6並且y=0-6。R組分可以包括例如烷基、烯基、炔基、芳基、碳烯或含有矽、鍺及錫的R基團。L組分可為水反應性配位體,例如胺或醇鹽。金屬組分可為第2C圖中所列的彼等組分的任一者。第2C圖中所述的材料系統可用作第2A圖中所述的彼等材料系統的替代,或者與第2A圖中所述的彼等材料系統結合使用。另外地,應瞭解,在第2A圖及第2C圖中所述的材料系統中可能有重疊。在一個實施例中,氧化劑共反應物係選自由水、O 2、N 2O、NO、CO 2、CO、乙二醇、醇類(例如甲醇、乙醇)、過氧化物(例如H 2O 2)以及酸(例如甲酸、乙酸)組成的群組。 Additionally, Figure 2C includes a list of certain metal precursors and specific examples of those metal precursors. A material with the general formula MR X LY where x=0-6 and y=0-6 is shown. The R component may include, for example, alkyl, alkenyl, alkynyl, aryl, carbene, or R groups containing silicon, germanium, and tin. The L component can be a water-reactive ligand, such as an amine or alkoxide. The metal component can be any of those listed in Figure 2C. The material systems described in Figure 2C can be used as an alternative to, or in combination with, those material systems described in Figure 2A. Additionally, it should be understood that there may be overlap in the material systems depicted in Figures 2A and 2C. In one embodiment, the oxidant co-reactant is selected from the group consisting of water, O 2 , N 2 O, NO, CO 2 , CO, ethylene glycol, alcohols (e.g., methanol, ethanol), peroxides (e.g., H 2 O 2 ) and a group of acids (such as formic acid, acetic acid).

在第一方法中,根據本揭示案的實施例,一種用於形成正型或負型光阻劑的化學氣相沉積(CVD)方法包括:(A)將來自第2A圖的一或多種金屬前驅物及上文列出的一或多種氧化劑汽化至真空腔室中,在此真空腔室中將基板晶圓保持在預定的基板溫度。基板溫度可在0℃至500℃之間變化。當前驅物/氧化劑汽化至腔室中時,其可用諸如Ar、N2、He的惰性氣體稀釋。由於前驅物及氧化劑的反應性,金屬-氧膜沉積在晶圓上。可由所有前驅物同時或金屬前驅物及氧化劑的交替脈衝來進行對腔室的汽化。此製程可描述為熱CVD。(B)在此製程期間亦可開啟電漿,且隨後該製程可被描述為電漿增強(PE)-CVD。電漿源的實例為CCP、ICP、遠程電漿、微波電漿。(C)光阻劑膜沉積可藉由熱沉積隨後進行電漿處理來進行。在這種情況下,熱沉積膜,且隨後進行電漿處理操作。電漿處理可能涉及來自惰性氣體(諸如Ar、N 2、He)的電漿,或此等氣體可與O 2、CO 2、CO、NO、NO 2、H 2O混合。該些製程可以循環方式進行;熱沉積後進行電漿處理且重複此循環或完成沉積部分且隨後進行一次電漿處理(後處理)。PECVD之後進行電漿處理亦係可能的。在任一情況下,在一實施例中,在含氧環境中進行後退火。在一個實施例中,使用臭氧(O 3)作為氧氣源氣體,在攝氏25-250度的溫度範圍內,在小於200托的壓力下進行後退火。 In a first method, according to embodiments of the present disclosure, a chemical vapor deposition (CVD) method for forming a positive or negative photoresist includes: (A) applying one or more metals from Figure 2A The precursors and one or more of the oxidants listed above are vaporized into a vacuum chamber where the substrate wafer is maintained at a predetermined substrate temperature. The substrate temperature can vary from 0°C to 500°C. As the precursor/oxidant vaporizes into the chamber, it can be diluted with an inert gas such as Ar, N2, He. Due to the reactivity of the precursors and oxidants, a metal-oxygen film is deposited on the wafer. Vaporization of the chamber can be performed by all precursors simultaneously or by alternating pulses of metal precursors and oxidants. This process can be described as thermal CVD. (B) Plasma can also be turned on during this process, and the process can then be described as plasma-enhanced (PE)-CVD. Examples of plasma sources are CCP, ICP, remote plasma, microwave plasma. (C) Photoresist film deposition can be performed by thermal deposition followed by plasma treatment. In this case, the film is thermally deposited, and a plasma treatment operation is subsequently performed. Plasma treatment may involve plasma from inert gases such as Ar, N2 , He, or these gases may be mixed with O2 , CO2 , CO, NO, NO2 , H2O . These processes can be performed in a cyclic fashion; thermal deposition is followed by plasma treatment and the cycle is repeated or the deposition portion is completed and followed by a plasma treatment (post-processing). Plasma treatment after PECVD is also possible. In either case, in one embodiment, the post-annealing is performed in an oxygen-containing environment. In one embodiment, post-annealing is performed at a pressure of less than 200 Torr using ozone ( O3 ) as the oxygen source gas in a temperature range of 25-250 degrees Celsius.

在第二方法中,根據本揭示案的實施例,一種用於形成正型或負型光阻劑的原子層沉積(ALD)方法包括:(A)將第2A圖中的金屬前驅物汽化至真空腔室中,在此真空腔室中,基板晶圓保持在預定的基板溫度。基板溫度可在攝氏0至500度之間變化。隨後,提供內部氣體淨化以移除副產物及過量的金屬前驅物。隨後,將一或多種氧化劑汽化至腔室中。氧化劑與表面吸附的金屬前驅物反應。隨後,應用惰性氣體淨化以移除副產物及未反應的氧化劑。可重複此循環以達到所需的厚度。當前驅物或氧化劑汽化至腔室時,可用惰性氣體諸如Ar、N 2、He稀釋。此製程可描述為熱ALD。使用此方法,藉由將額外的金屬前驅物脈衝結合至ALD循環中,可將一種以上的金屬結合至膜中。此外,可在第一氧化劑之後脈衝化不同的氧化劑。(B)在氧化劑脈衝期間可打開電漿,且隨後此製程可描述為PE-ALD。(C)此外,可由熱ALD進行沉積,且隨後進行電漿處理。在這種情況下,熱沉積膜,且隨後進行電漿處理操作。電漿處理可能涉及來自惰性氣體(諸如Ar、N 2、He)的電漿,或此等氣體可與O 2、CO 2、CO、NO、NO 2、H 2O混合。該些製程可以循環方式執行;X次熱ALD循環(X=1-5000),隨後進行電漿處理,且將整個循環重複所需次數,或完成沉積部分,且隨後進行一次電漿處理。PE-ALD之後進行電漿處理亦係可能的。在任一情況下,在一實施例中,在含氧環境中進行後退火。在一個實施例中,使用臭氧(O 3)作為氧氣源氣體,在攝氏25-250度的溫度範圍內,在小於200托的壓力下進行後退火。 In a second method, according to an embodiment of the present disclosure, an atomic layer deposition (ALD) method for forming a positive or negative photoresist includes: (A) vaporizing the metal precursor in Figure 2A to In a vacuum chamber, the substrate wafer is maintained at a predetermined substrate temperature. The substrate temperature can vary from 0 to 500 degrees Celsius. Subsequently, internal gas purification is provided to remove by-products and excess metal precursors. One or more oxidants are then vaporized into the chamber. The oxidizing agent reacts with the metal precursor adsorbed on the surface. Subsequently, an inert gas purge is applied to remove by-products and unreacted oxidant. This cycle can be repeated to achieve the desired thickness. When the precursor or oxidant vaporizes into the chamber, it can be diluted with inert gases such as Ar, N2 , and He. This process can be described as thermal ALD. Using this method, more than one metal can be incorporated into the film by pulsing additional metal precursors into the ALD cycle. Additionally, a different oxidizing agent can be pulsed after the first oxidizing agent. (B) The plasma can be turned on during the oxidant pulse, and the process can then be described as PE-ALD. (C) Additionally, deposition can be performed by thermal ALD, followed by plasma treatment. In this case, the film is thermally deposited, and a plasma treatment operation is subsequently performed. Plasma treatment may involve plasma from inert gases such as Ar, N2 , He, or these gases may be mixed with O2 , CO2 , CO, NO, NO2 , H2O . These processes can be performed in a cyclic fashion; Plasma treatment after PE-ALD is also possible. In either case, in one embodiment, the post-annealing is performed in an oxygen-containing environment. In one embodiment, post-annealing is performed at a pressure of less than 200 Torr using ozone ( O3 ) as the oxygen source gas in a temperature range of 25-250 degrees Celsius.

在第三方法中,根據本揭示案的實施例,一種用於形成正型或負型光阻劑的原子層沉積(ALD)或化學氣相沉積(CVD)方法包括在整個膜中提供組分梯度。例如,膜的前幾奈米與膜的其餘部分具有不同的組分。膜的主要部分可針對劑量進行最佳化,但針對靠近界面層的不同成分,以改變黏著力、對EUV光子的敏感性、對顯影化學的敏感性,以改善後微影術輪廓控制(特定言之浮渣)以及缺陷及抗塌陷/剝離。漸變可以針對圖案類型進行最佳化,例如柱需要提高黏著力,而線/空間圖案能夠降低黏著力以提高劑量。In a third method, according to embodiments of the present disclosure, an atomic layer deposition (ALD) or chemical vapor deposition (CVD) method for forming a positive or negative photoresist includes providing components throughout the film gradient. For example, the first few nanometers of the film have a different composition than the rest of the film. The main part of the film can be optimized for dose, but different components near the interface layer are targeted to alter adhesion, sensitivity to EUV photons, sensitivity to development chemistry to improve post-lithography profile control (specific scum) as well as defects and resistance to collapse/peeling. Gradients can be optimized for pattern type, for example columns need to increase adhesion, while line/space patterns can reduce adhesion to increase dose.

在一實施例中,這裡描述的光阻劑膜沉積方法為不涉及濕化學的真空沉積方法。本文所述的正型或負型光阻劑具有比負型光阻劑更高的解析度、更高的乾蝕刻抗性及更高的對比度等優點。In one embodiment, the photoresist film deposition method described herein is a vacuum deposition method that does not involve wet chemistry. The positive or negative photoresists described herein have the advantages of higher resolution, higher dry etching resistance, and higher contrast than negative photoresists.

實施本文描述的一或多種方法的優點包括正型或負型光阻劑膜沉積方法為乾式沉積方法且不涉及濕化學。濕化學方法會產生大量的濕副產物,較佳避免這些副產物。此外,旋塗(濕法)通常會導致不均勻性問題,這些問題可藉由本文所述的真空沉積方法成功解決。此外,膜中金屬及碳(C)的百分比可由真空沉積法進行調諧。在旋塗中,金屬百分比及C通常固定在給定沉積系統中。用於在真空下沉積正型或負型光阻劑膜的前驅物需要為揮發性的,且本文所述的前驅物基於L及R結構為揮發性的。乾式沉積方法可能需要比其他真空沉積方法(例如ALD或CVD)更低的溫度。當在低溫下進行沉積時,相對較高量的碳可保留在膜中,這有助於圖案化。Advantages of implementing one or more of the methods described herein include that the positive or negative photoresist film deposition method is a dry deposition method and does not involve wet chemistry. Wet chemical methods produce large amounts of wet by-products, which are best avoided. Additionally, spin coating (wet) often leads to non-uniformity issues that can be successfully addressed by the vacuum deposition method described in this article. In addition, the percentage of metal and carbon (C) in the film can be tuned by vacuum deposition. In spin coating, the metal percentage and C are usually fixed for a given deposition system. Precursors used to deposit positive or negative photoresist films under vacuum need to be volatile, and the precursors described herein are volatile based on the L and R structure. Dry deposition methods may require lower temperatures than other vacuum deposition methods such as ALD or CVD. When deposition is performed at low temperatures, relatively high amounts of carbon can remain in the film, which aids in patterning.

在一實施例中,真空沉積製程依賴於金屬前驅物與氧化劑之間的化學反應。將金屬前驅物及氧化劑汽化至真空腔室。在一些實施例中,將金屬前驅物及氧化劑一起提供給真空腔室。在其他實施例中,金屬前驅物及氧化劑以交替脈衝提供至真空腔室。在形成具有所需厚度的金屬-氧正型光阻劑膜之後,可停止此製程。在一實施例中,可在形成具有所需厚度的金屬-氧正型光阻劑膜之後執行可選電漿處理操作。In one embodiment, the vacuum deposition process relies on a chemical reaction between a metal precursor and an oxidant. Vaporize the metal precursor and oxidant into the vacuum chamber. In some embodiments, the metal precursor is provided to the vacuum chamber along with the oxidant. In other embodiments, the metal precursor and oxidant are provided to the vacuum chamber in alternating pulses. After forming a metal-oxygen positive photoresist film with a desired thickness, the process can be stopped. In one embodiment, the optional plasma treatment operation may be performed after forming a metal-oxygen positive photoresist film having a desired thickness.

在一實施例中,包括金屬前驅物蒸氣脈衝及氧化劑蒸氣脈衝的循環可重複多次以提供具有期望厚度的金屬-氧正型光阻劑膜。在一實施例中,可切換循環的次序。例如,氧化劑蒸氣可首先脈衝化,而金屬前驅物蒸氣可其次脈衝化。在一實施例中,金屬前驅物蒸氣的脈衝持續時間可實質上類似於氧化劑蒸氣的脈衝持續時間。在其他實施例中,金屬前驅物蒸氣的脈衝持續時間可不同於氧化劑蒸氣的脈衝持續時間。在一實施例中,脈衝持續時間可在0秒與1分鐘之間。在特定實施例中,脈衝持續時間可在1秒與5秒之間。在一實施例中,循環的每次迭代均使用相同的處理氣體。在其他實施例中,處理氣體可在循環之間改變。例如,第一循環可利用第一金屬前驅物蒸氣,而第二循環可利用第二金屬前驅物蒸氣。隨後的循環可繼續在第一金屬前驅物蒸氣與第二金屬前驅物蒸氣之間交替。在一實施例中,多種氧化劑蒸氣可以類似的方式在循環之間交替。在一實施例中,可在每個循環之後執行可選電漿處理操作。亦即,每個循環可包括金屬前驅物蒸氣脈衝、氧化劑蒸氣脈衝及電漿處理。在替代實施例中,可在多個循環之後執行可選電漿處理操作。在又一個實施例中,可在所有循環完成之後執行可選電漿處理操作(亦即,作為後處理)。In one embodiment, a cycle including metal precursor vapor pulses and oxidant vapor pulses may be repeated multiple times to provide a metal-oxygen positive photoresist film with a desired thickness. In one embodiment, the order of the loops can be switched. For example, the oxidant vapor can be pulsed first and the metal precursor vapor can be pulsed second. In one embodiment, the pulse duration of the metal precursor vapor may be substantially similar to the pulse duration of the oxidant vapor. In other embodiments, the pulse duration of the metal precursor vapor may be different than the pulse duration of the oxidant vapor. In one embodiment, the pulse duration may be between 0 seconds and 1 minute. In certain embodiments, the pulse duration may be between 1 second and 5 seconds. In one embodiment, each iteration of the loop uses the same process gas. In other embodiments, the process gas may be changed between cycles. For example, a first cycle may utilize a first metal precursor vapor, while a second cycle may utilize a second metal precursor vapor. Subsequent cycles may continue alternating between the first metal precursor vapor and the second metal precursor vapor. In one embodiment, multiple oxidant vapors can be alternated between cycles in a similar manner. In one embodiment, optional plasma processing operations may be performed after each cycle. That is, each cycle may include a metal precursor vapor pulse, an oxidant vapor pulse, and plasma treatment. In alternative embodiments, optional plasma processing operations may be performed after multiple cycles. In yet another embodiment, optional plasma processing operations may be performed after all cycles are completed (ie, as post-processing).

使用諸如在上文實施例中所述的乾式沉積及氧化處理製程提供金屬-氧正型及負型光阻劑膜可達成優於濕式化學方法的顯著優點。一個這樣的優點為消除了濕副產物。藉由乾式沉積製程,消除了液體廢物且簡化了副產物的移除。此外,乾式沉積製程可提供更均勻的正型及負型光阻劑層。這種意義上的均勻性可代表晶圓上的厚度均勻性及/或金屬-氧膜的金屬成分分佈的均勻性。Providing metal-oxygen positive and negative photoresist films using dry deposition and oxidation processes such as those described in the examples above can achieve significant advantages over wet chemical methods. One such advantage is the elimination of wet by-products. With a dry deposition process, liquid waste is eliminated and by-product removal is simplified. In addition, the dry deposition process can provide more uniform positive and negative photoresist layers. Uniformity in this sense may represent thickness uniformity on the wafer and/or uniformity of metal composition distribution of the metal-oxygen film.

此外,乾式沉積製程的使用提供了微調正型或負型光阻劑中金屬的百分比及正型或負型光阻劑中金屬的組成的能力。可藉由增加/減少金屬前驅物進入真空腔室的流動速率及/或藉由改變金屬前驅物/氧化劑的脈衝長度來改變金屬的百分比。乾式沉積製程的使用亦允許將多種不同的金屬包含至金屬-氧膜中。例如,可使用流過兩種不同金屬前驅物的單個脈衝,或可使用兩種不同金屬前驅物的交替脈衝。In addition, the use of dry deposition processes provides the ability to fine-tune the percentage of metals in positive or negative photoresists and the composition of metals in positive or negative photoresists. The percentage of metal can be changed by increasing/decreasing the flow rate of the metal precursor into the vacuum chamber and/or by changing the pulse length of the metal precursor/oxidant. The use of dry deposition processes also allows the inclusion of a variety of different metals into the metal-oxygen film. For example, a single pulse flowing through two different metal precursors may be used, or alternating pulses of two different metal precursors may be used.

此外,已表明,使用乾法沉積製程形成的金屬-氧正型及負型光阻劑在暴露之後更能抵抗厚度減小。據信,不依賴於特定機制,對厚度減小的抵抗至少部分歸因於暴露時碳損失的減少。Additionally, metal-oxygen positive and negative photoresists formed using dry deposition processes have been shown to be more resistant to thickness reduction after exposure. It is believed that, independent of a specific mechanism, resistance to thickness reduction is at least partially due to reduced carbon loss upon exposure.

現參考第3圖,示出了根據實施例的用以形成負型金屬氧光阻劑的化學反應的示意圖。如圖所示,金屬前驅物320可經供應至腔室(例如,真空腔室)。在321處,氧化源,諸如上文更詳細描述的彼等氧化源,可經供應至腔室以形成金屬氧光阻劑322。如圖所示,金屬氧膜可包括金屬中心(例如,Sn),該金屬中心在先前由配位體L佔據的位置處結合到氧。在操作323處,可暴露負型光阻劑膜(例如,藉由EUV暴露)。該暴露產生其中暴露區域325中的反應物基團R由氧置換的化學反應。亦即,暴露區域中的碳百分比降低。暴露區域中的交聯可能高於未暴露區域。在未暴露區域324中,化學結構可保持有機部分。因此,未暴露區域324可具有比暴露區域325更低的極性。未暴露區域的有機性質允許未暴露區域324溶解在有機溶劑中,例如上文更詳細描述的彼等溶劑。Referring now to Figure 3, a schematic diagram of a chemical reaction to form a negative metal oxide photoresist is shown in accordance with an embodiment. As shown, metal precursor 320 may be supplied to a chamber (eg, a vacuum chamber). At 321 , an oxidation source, such as those described in greater detail above, may be supplied to the chamber to form metal oxide photoresist 322 . As shown, the metal-oxygen film may include a metal center (eg, Sn) bound to oxygen at a site previously occupied by ligand L. At operation 323, the negative photoresist film may be exposed (eg, by EUV exposure). This exposure produces a chemical reaction in which reactant groups R in exposed region 325 are displaced by oxygen. That is, the percentage of carbon in the exposed area decreases. Cross-linking may be higher in exposed areas than in unexposed areas. In unexposed areas 324, the chemical structure may retain the organic portion. Therefore, unexposed area 324 may have a lower polarity than exposed area 325 . The organic nature of the unexposed areas allows the unexposed areas 324 to be dissolved in organic solvents, such as those described in greater detail above.

現參考第4圖,示出了根據實施例的用以形成正型金屬氧光阻劑的化學反應的示意圖。如圖所示,金屬前驅物420可經供應至具有氧化源421的腔室。金屬前驅物420與氧化源421之間的反應導致金屬氧膜422的形成。在操作423處,金屬氧膜422經暴露(例如,藉由EUV暴露)以產生暴露區域425及未暴露區域424。由於未暴露區域424的有機性質,未暴露區域424將不溶解在將溶解暴露區域425的水性鹼介質中。Referring now to Figure 4, a schematic diagram of a chemical reaction to form a positive metal oxide photoresist is shown, in accordance with an embodiment. As shown, metal precursor 420 may be supplied to a chamber having an oxidation source 421. The reaction between metal precursor 420 and oxidation source 421 results in the formation of metal oxide film 422. At operation 423 , the metal oxide film 422 is exposed (eg, by EUV exposure) to create exposed areas 425 and unexposed areas 424 . Due to the organic nature of unexposed areas 424, unexposed areas 424 will not dissolve in the aqueous alkaline medium that will dissolve exposed areas 425.

亦即,顯影劑溶液的選擇可允許形成負型阻劑或正型阻劑。用於負型阻劑與用於正型阻劑的材料系統的大體上類似。因此,可使用具有靈活性的單個材料系統以提供負型系統或正型系統。因此,本文揭示的材料系統歸因於其用作正型阻劑或負型阻劑的能力而具有增加的值。That is, the choice of developer solution may allow the formation of a negative resistor or a positive resistor. The material systems used for negative resistors are generally similar to those used for positive resistors. Therefore, a single material system can be used with the flexibility to provide a negative or positive system. Accordingly, the material systems disclosed herein have increased value due to their ability to function as either a positive resistor or a negative resistor.

現參看第5圖,示出了根據實施例的用於顯影金屬氧膜的製程580的製程流程圖。在一實施例中,製程580以操作581開始,該操作包含在基板上沉積金屬氧光阻劑。在一實施例中,金屬氧光阻劑可用上文更詳細描述的處理操作的任一者沉積。例如,CVD、PE-CVD、ALD、PE-ALD製程可用於在基板上沉積金屬氧膜。雖然本文詳細描述了乾式沉積製程,但應理解,雙型阻劑材料可視情況地經由旋塗沉積製程或其他濕式沉積製程沉積。Referring now to FIG. 5 , a process flow diagram of a process 580 for developing a metal oxide film is shown, in accordance with an embodiment. In one embodiment, process 580 begins with operation 581 , which includes depositing a metal oxide photoresist on a substrate. In one embodiment, the metal oxide photoresist can be deposited using any of the processing operations described in greater detail above. For example, CVD, PE-CVD, ALD, and PE-ALD processes can be used to deposit metal oxide films on substrates. Although a dry deposition process is described in detail herein, it should be understood that the dual-type resist material may optionally be deposited via a spin-on deposition process or other wet deposition process.

在一實施例中,製程580可以操作582繼續,該操作包含處理金屬氧光阻劑。在一實施例中,該處理可為退火處理。例如,可執行在50℃與200℃之間的退火。該退火可在惰性環形或氧化環境中。例如,O 2、O 3、H 2O、H 2O 2或醇可以用作退火環境。周圍環境亦可用於退火。在一些實施例中,處理可包括紫外線處理。除了退火之外可提供紫外線處理,或者紫外線處理可在無退火的情況下提供。紫外線處理可包括暴露於波長在172 nm與900 nm之間、功率在1 mW至400 W的範圍內的光。 In one embodiment, process 580 may continue with operation 582, which includes processing the metal oxide photoresist. In one embodiment, the treatment may be an annealing treatment. For example, annealing between 50°C and 200°C may be performed. This annealing can be in an inert annular or oxidizing environment. For example, O 2 , O 3 , H 2 O, H 2 O 2 or alcohol can be used as the annealing environment. The surrounding environment can also be used for annealing. In some embodiments, treatment may include ultraviolet treatment. UV treatment can be provided in addition to annealing, or UV treatment can be provided without annealing. UV treatment can include exposure to light with wavelengths between 172 nm and 900 nm and powers in the range of 1 mW to 400 W.

在一實施例中,製程580可以操作583繼續,該操作包含用EUV暴露暴露金屬氧光阻劑。EUV暴露可導致暴露區域或未暴露區域的形成。In one embodiment, process 580 may continue with operation 583, which includes exposing the metal oxide photoresist with EUV exposure. EUV exposure can result in the formation of exposed or unexposed areas.

在一實施例中,製程580可以操作584繼續,該操作包含用暴露後處理來處理暴露金屬氧光阻劑。在一實施例中,暴露後處理可包括退火。例如,退火溫度可在50℃與300℃之間。該退火可在惰性環境或氧化環境(例如,O 2、O 3、H 2O、H 2O 2或醇)中實施。在一些實施例中,周圍環境可用於退火。在一些實施例中,暴露後處理可包括紫外線處理。除了退火之外可提供紫外線處理,或者紫外線處理可在無退火的情況下提供。紫外線處理可包括暴露於波長在172 nm與900 nm之間、功率在1 mW至400 W的範圍內的光。 In one embodiment, process 580 may continue with operation 584, which includes treating the exposed metal oxide photoresist with a post-exposure treatment. In one embodiment, post-exposure treatment may include annealing. For example, the annealing temperature can be between 50°C and 300°C. The annealing can be performed in an inert or oxidizing environment (eg, O2 , O3 , H2O , H2O2 , or alcohol). In some embodiments, the ambient environment may be used for annealing. In some embodiments, post-exposure treatment may include UV treatment. UV treatment can be provided in addition to annealing, or UV treatment can be provided without annealing. UV treatment can include exposure to light with wavelengths between 172 nm and 900 nm and powers in the range of 1 mW to 400 W.

在一實施例中,製程580可以操作585繼續,該操作包含將金屬氧光阻劑顯影。在一實施例中,金屬氧光阻劑可產生正型膜阻劑或負型阻劑。例如,有機溶劑可用於選擇性地溶解未暴露區域以形成負型阻劑,或者水性鹼介質可用於選擇性地溶解暴露區域以形成正型阻劑。在一實施例中,在顯影製程期間,基板的溫度可以保持在自-10℃至90℃。In one embodiment, process 580 may continue with operation 585, which includes developing the metal oxide photoresist. In one embodiment, the metal oxide photoresist can produce a positive film resistor or a negative film resist. For example, an organic solvent can be used to selectively dissolve unexposed areas to form a negative resistor, or an aqueous alkaline medium can be used to selectively dissolve exposed areas to form a positive resistor. In one embodiment, the temperature of the substrate may be maintained from -10°C to 90°C during the development process.

在一實施例中,製程580可以操作586繼續,該操作包含用顯影後處理來處理經顯影的金屬氧光阻劑。在一實施例中,顯影後處理可包括退火。例如,退火溫度可在50℃與300℃之間。該退火可在惰性環境或氧化環境(例如,O 2、O 3、H 2O、H 2O 2或醇)中實施。在一些實施例中,周圍環境可用於退火。在一些實施例中,暴露後處理可包括紫外線處理。除了退火之外可提供紫外線處理,或者紫外線處理可在無退火的情況下提供。紫外線處理可包括暴露於波長在172 nm與900 nm之間、功率在1 mW至400 W的範圍內的光。 In one embodiment, process 580 may continue with operation 586, which includes treating the developed metal oxide photoresist with a post-development process. In one embodiment, post-development processing may include annealing. For example, the annealing temperature can be between 50°C and 300°C. The annealing can be performed in an inert or oxidizing environment (eg, O2 , O3 , H2O , H2O2 , or alcohol). In some embodiments, the ambient environment may be used for annealing. In some embodiments, post-exposure treatment may include UV treatment. UV treatment can be provided in addition to annealing, or UV treatment can be provided without annealing. UV treatment can include exposure to light with wavelengths between 172 nm and 900 nm and powers in the range of 1 mW to 400 W.

在一實施例中,在乾式沉積製程中使用的真空腔室為能夠提供低於大氣壓的壓力的任何適合腔室。在一實施例中,真空腔室可包括用於控制室壁溫度及/或用於控制基板溫度的溫度控制特徵。在一實施例中,真空腔室亦可包括用於在腔室內提供電漿的特徵。下文關於第6圖提供了對適合真空腔室的更詳細描述。第6圖為根據本揭示案的實施例,經配置為進行金屬-氧正型光阻劑之乾式沉積之真空腔室的示意圖。In one embodiment, the vacuum chamber used in the dry deposition process is any suitable chamber capable of providing a pressure below atmospheric pressure. In one embodiment, the vacuum chamber may include temperature control features for controlling chamber wall temperature and/or for controlling substrate temperature. In one embodiment, the vacuum chamber may also include features for providing plasma within the chamber. A more detailed description of suitable vacuum chambers is provided below with respect to Figure 6. Figure 6 is a schematic diagram of a vacuum chamber configured to perform dry deposition of a metal-oxygen positive photoresist in accordance with an embodiment of the present disclosure.

真空腔室600包括接地腔室605。基板610經由開口615裝載且夾緊在溫控卡盤620上。在一實施例中,基板610可在乾式沉積期間進行溫度控制。例如,基板610的溫度可介於約攝氏-40度至攝氏200度。在一特定實施例中,基板610可保持在室溫與150℃之間的溫度。Vacuum chamber 600 includes grounded chamber 605 . Substrate 610 is loaded via opening 615 and clamped on temperature controlled chuck 620 . In one embodiment, substrate 610 may be temperature controlled during dry deposition. For example, the temperature of the substrate 610 may range from approximately -40 degrees Celsius to 200 degrees Celsius. In a particular embodiment, substrate 610 may be maintained at a temperature between room temperature and 150°C.

製程氣體經由各自的質量流量控制器649自氣體源644供應至腔室605的內部。在某些實施例中,氣體分配板635提供製程氣體644的分配,該製程氣體諸如金屬前驅物、氧化劑及惰性氣體。腔室605經由排氣泵655抽空。在一個實施例中,一或多種製程氣體被包含/儲存在一或多個安瓿中。在一個實施例中,乾式沉積製程為化學氣相冷凝製程,且一或多個安瓿保持在高於基板溫度的溫度,諸如比基板溫度高攝氏25度或更大的溫度。Process gases are supplied from gas source 644 to the interior of chamber 605 via respective mass flow controllers 649. In certain embodiments, gas distribution plate 635 provides distribution of process gases 644 such as metal precursors, oxidants, and inert gases. Chamber 605 is evacuated via exhaust pump 655. In one embodiment, one or more process gases are contained/stored in one or more ampoules. In one embodiment, the dry deposition process is a chemical vapor condensation process, and the one or more ampoules are maintained at a temperature above the substrate temperature, such as 25 degrees Celsius or more above the substrate temperature.

當在基板610的處理期間施加RF功率時,在基板610上方的腔室處理區域中形成電漿。偏置功率RF產生器625耦合至溫控卡盤620。若需要,偏置功率RF產生器625提供偏置功率以激發電漿。偏置功率RF產生器625可具有例如約2 Mhz與60 Mhz之間的低頻,且在特定實施例中,在13.56 MHz頻寬中的低頻。在某些實施例中,真空腔室600包括第三偏置功率RF產生器626,其頻率約為2 MHz頻寬,此第三偏置功率RF產生器連接至與偏置功率RF產生器625相同的RF匹配627。源功率RF產生器630經由匹配(未描繪)耦合至電漿產生元件(例如,氣體分配板635)以提供源功率以激發電漿。源RF產生器630可具有例如100與180 Mhz之間的頻率,且在特定實施例中,在162 MHz頻寬中的頻率。因為基板直徑隨著時間自150 mm、200 mm、300 mm等發展,所以在本領域中將電漿蝕刻系統的源及偏置功率歸一化至基板區域係常見的。When RF power is applied during processing of substrate 610, a plasma is formed in the chamber processing region above substrate 610. Bias power RF generator 625 is coupled to temperature controlled chuck 620 . If needed, bias power RF generator 625 provides bias power to excite the plasma. The bias power RF generator 625 may have a low frequency, for example, between approximately 2 Mhz and 60 Mhz, and in a specific embodiment, a low frequency in a 13.56 MHz bandwidth. In some embodiments, the vacuum chamber 600 includes a third bias power RF generator 626 having a frequency of approximately 2 MHz bandwidth and connected to the bias power RF generator 625 Same RF match 627. Source power RF generator 630 is coupled to a plasma generating element (eg, gas distribution plate 635) via matching (not depicted) to provide source power to excite the plasma. Source RF generator 630 may have, for example, a frequency between 100 and 180 Mhz, and in a particular embodiment, a frequency in a 162 MHz bandwidth. As substrate diameters evolve over time from 150 mm, 200 mm, 300 mm, etc., it is common in the art to normalize the source and bias power of a plasma etch system to the substrate area.

真空腔室600由控制器670控制。控制器670可包括CPU 672、記憶體673及I/O介面674。CPU 672可根據儲存在儲存器673中的指令在真空腔室600內執行處理操作。例如,可由控制器670在真空腔室中執行諸如上述製程120及440的一或多個製程。Vacuum chamber 600 is controlled by controller 670. The controller 670 may include a CPU 672, a memory 673, and an I/O interface 674. CPU 672 may perform processing operations within vacuum chamber 600 according to instructions stored in memory 673 . For example, one or more processes, such as processes 120 and 440 described above, may be performed by controller 670 in a vacuum chamber.

在另一態樣中,本文揭示的實施例包括一種處理工具,此處理工具包括特別適合於最佳化乾式沉積的架構。例如,處理工具可包括用於支撐溫控的晶圓的基座。在一些實施例中,基座的溫度可保持在約-40℃與約200℃之間。此外,可在支撐基板之柱的周邊周圍提供邊緣淨化流及陰影環。邊緣淨化流及陰影環防止正型光阻劑沿晶圓邊緣或背面沉積。在一個實施例中,基座亦可提供任何期望的夾持架構,諸如但不限於真空夾持、單極夾持或雙極夾持,這取決於處理工具的操作狀態。In another aspect, embodiments disclosed herein include a processing tool that includes an architecture particularly suited for optimizing dry deposition. For example, a processing tool may include a pedestal for supporting a temperature-controlled wafer. In some embodiments, the temperature of the base can be maintained between about -40°C and about 200°C. Additionally, edge purge flows and shadow rings can be provided around the perimeter of the pillars supporting the substrate. Edge purge flows and shadow rings prevent positive photoresist deposition along the wafer edge or backside. In one embodiment, the base may also provide any desired clamping architecture, such as, but not limited to, vacuum clamping, monopolar clamping, or bipolar clamping, depending on the operating status of the processing tool.

在一些實施例中,處理工具可適用於沒有電漿的沉積製程。或者,處理工具可包括電漿源以實現電漿增強操作。此外,雖然本文揭示的實施例特別適用於沉積用於EUV圖案化的金屬-氧正型光阻劑,但應理解實施例不限於此類配置。例如,本文所述的處理工具可適用於使用乾式沉積製程為任何微影術方案沉積任何正型光阻劑材料。In some embodiments, the processing tool may be adapted for deposition processes without plasma. Alternatively, the processing tool may include a plasma source to enable plasma enhanced operations. Furthermore, while the embodiments disclosed herein are particularly suitable for depositing metal-oxygen positive photoresists for EUV patterning, it should be understood that the embodiments are not limited to such configurations. For example, the processing tools described herein may be adapted to deposit any positive photoresist material for any lithography protocol using a dry deposition process.

現參看第7圖,示出根據實施例的處理工具700的橫截面圖。在一實施例中,處理工具700可包括腔室705。腔室705可為能夠支持次大氣壓力(例如,真空壓力)的任何適當腔室。在一實施例中,包括真空泵的排氣裝置(未圖示)可耦接至腔室705以提供次大氣壓力。在一實施例中,蓋可密封腔室705。例如,蓋可包括噴頭組件740等。噴頭組件740可包括使處理氣體及/或惰性氣體能夠流入腔室705的流體通路。在處理工具700適用於電漿增強操作的一些實施例中,噴頭組件740可電耦合至RF源及匹配電路系統750。在又一實施例中,工具700可以射頻底部饋送架構配置。亦即,基座730連接至RF源,且噴頭組件740接地。在此類實施例中,濾波電路系統仍然可連接至基座。在一個實施例中,前驅物氣體儲存在安瓿799中。Referring now to Figure 7, a cross-sectional view of a processing tool 700 is shown in accordance with an embodiment. In an embodiment, processing tool 700 may include chamber 705 . Chamber 705 may be any suitable chamber capable of supporting sub-atmospheric pressure (eg, vacuum pressure). In one embodiment, an exhaust device (not shown) including a vacuum pump may be coupled to chamber 705 to provide sub-atmospheric pressure. In one embodiment, the lid may seal chamber 705. For example, the cover may include a spray head assembly 740 or the like. Showerhead assembly 740 may include fluid passages that enable process gas and/or inert gas to flow into chamber 705 . In some embodiments in which processing tool 700 is suitable for plasma enhancement operations, showerhead assembly 740 may be electrically coupled to an RF source and matching circuitry 750 . In yet another embodiment, tool 700 may be configured in a radio frequency bottom feed architecture. That is, base 730 is connected to the RF source, and showerhead assembly 740 is connected to ground. In such embodiments, the filtering circuitry may still be connected to the base. In one embodiment, the precursor gas is stored in ampoules 799.

在一實施例中,用於支撐晶圓701的可位移柱提供在腔室705中。在一實施例中,晶圓701可為其上沉積有正型光阻劑材料的任何基板。例如,晶圓701可為300 mm晶圓或450 mm晶圓,但亦可使用其他晶圓直徑。另外,在一些實施例中,可用具有非圓形形狀的基板代替晶圓701。可位移柱可包括柱件714,此柱件延伸出腔室705。柱件714可具有埠以提供從腔室705外部到柱的各種部件的電氣及流體路徑。In one embodiment, displaceable posts for supporting wafer 701 are provided in chamber 705 . In one embodiment, wafer 701 may be any substrate having a positive photoresist material deposited thereon. For example, wafer 701 may be a 300 mm wafer or a 450 mm wafer, although other wafer diameters may be used. Additionally, in some embodiments, wafer 701 may be replaced with a substrate having a non-circular shape. The displaceable column may include a column member 714 that extends out of the chamber 705. Post member 714 may have ports to provide electrical and fluid paths from outside the chamber 705 to various components of the post.

在一實施例中,柱可包括底板710。底板710可接地。如以下將更詳細描述的,底板710可包括流體通道以允許惰性氣體流動以提供邊緣淨化流。In one embodiment, the column may include a base plate 710 . Base plate 710 may be grounded. As will be described in greater detail below, the base plate 710 may include fluid channels to allow the flow of inert gas to provide an edge purge flow.

在一實施例中,絕緣層715設置在底板710上方。絕緣層715可為任何適合的介電材料。例如,絕緣層715可為陶瓷板等。在一實施例中,基座730設置在絕緣層715上方。基座730可包括單一材料,或基座730可由不同的材料形成。在一實施例中,基座730可利用任何適合的夾持系統來固定晶圓701。例如,基座730可為真空卡盤或單極卡盤。在其中腔室705中不產生電漿的實施例中,基座730可利用雙極夾持架構。In one embodiment, the insulating layer 715 is disposed above the base plate 710 . Insulating layer 715 may be any suitable dielectric material. For example, the insulating layer 715 may be a ceramic plate or the like. In one embodiment, the base 730 is disposed above the insulating layer 715 . Base 730 may comprise a single material, or base 730 may be formed from different materials. In one embodiment, base 730 may utilize any suitable clamping system to secure wafer 701 . For example, base 730 may be a vacuum chuck or a monopole chuck. In embodiments where no plasma is generated in chamber 705, base 730 may utilize a bipolar clamping architecture.

基座730可包括複數個冷卻通道731。冷卻通道731可連接至穿過柱件714的流體輸入及流體輸出(未示出)。在一實施例中,冷卻通道731允許在處理工具700的操作期間控制晶圓701的溫度。例如,冷卻通道731可允許將晶圓701的溫度控制在約-40℃與約200℃之間。在一實施例中,基座730經由濾波電路系統745連接至地,這使得能夠相對於地對基座進行DC和/或RF偏置。The base 730 may include a plurality of cooling channels 731 . Cooling channels 731 may be connected to fluid input and fluid output (not shown) through column 714 . In one embodiment, cooling channels 731 allow the temperature of wafer 701 to be controlled during operation of processing tool 700 . For example, cooling channels 731 may allow the temperature of wafer 701 to be controlled between about -40°C and about 200°C. In one embodiment, the base 730 is connected to ground via filter circuitry 745, which enables DC and/or RF biasing of the base with respect to ground.

在一實施例中,邊緣環720圍繞絕緣層715及基座730的周邊。邊緣環720可為介電材料,諸如陶瓷。在一個實施例中,邊緣環720由底板710支撐。邊緣環720可支撐陰影環735。陰影環735的內徑小於晶圓701的直徑。因此,陰影環735阻止正型光阻劑沉積至晶圓701的外邊緣的部分上。在陰影環735與晶圓701之間設有間隙。此間隙防止陰影環735接觸晶圓701,並且為邊緣淨化流提供出口,此將在下文更詳細描述。在一實施例中,雙通道噴頭可用於正型光阻劑製造製程。In one embodiment, edge ring 720 surrounds insulation layer 715 and the perimeter of base 730 . Edge ring 720 may be a dielectric material, such as ceramic. In one embodiment, edge ring 720 is supported by base plate 710 . Edge ring 720 may support shadow ring 735. The inner diameter of shadow ring 735 is smaller than the diameter of wafer 701 . Thus, shadow ring 735 prevents deposition of positive photoresist onto portions of the outer edge of wafer 701 . A gap is provided between shadow ring 735 and wafer 701 . This gap prevents shadow ring 735 from contacting wafer 701 and provides an outlet for the edge purge flow, which will be described in more detail below. In one embodiment, a dual-channel nozzle can be used in a positive photoresist manufacturing process.

雖然陰影環735提供了對晶圓701的頂表面及邊緣的一些保護,但處理氣體可沿著邊緣環720與晶圓701之間的路徑向下流動/擴散。因此,本文揭示的實施例可包括邊緣環720與基座730之間的流體路徑以實現邊緣淨化流。在流體路徑中提供惰性氣體會增加流體路徑中的局部壓力且防止處理氣體到達晶圓701的邊緣。因此,防止了正型光阻劑沿晶圓701的邊緣沉積。Although shadow ring 735 provides some protection to the top surface and edges of wafer 701 , process gases may flow/diffusion downward along the path between edge ring 720 and wafer 701 . Accordingly, embodiments disclosed herein may include a fluid path between edge ring 720 and base 730 to achieve edge purge flow. Providing an inert gas in the fluid path increases the local pressure in the fluid path and prevents the process gas from reaching the edge of wafer 701 . Therefore, deposition of positive photoresist along the edges of wafer 701 is prevented.

現參考第8圖,根據一實施例,示出了處理工具內之柱860之部分的放大橫截面圖。在第8圖中,僅示出了柱860的左邊緣。然而,應當理解,柱860的右邊緣可實質上與左邊緣成鏡像。Referring now to Figure 8, an enlarged cross-sectional view of a portion of a post 860 within a processing tool is shown, according to one embodiment. In Figure 8, only the left edge of post 860 is shown. However, it should be understood that the right edge of post 860 may substantially mirror the left edge.

在一實施例中,柱860可包括底板810。絕緣層815可安置在底板810上。在一實施例中,基座830可包括第一部分830 A及第二部分830 B。冷卻通道831可安置在第二部分830 B中。第一部分830 A可包括用於夾持晶圓801的特徵。 In one embodiment, column 860 may include base plate 810 . An insulating layer 815 may be disposed on the base plate 810 . In one embodiment, the base 830 may include a first part 830A and a second part 830B . Cooling channels 831 may be positioned in the second portion 830B . First portion 830 A may include features for holding wafer 801 .

在一實施例中,邊緣環820圍繞底板810、絕緣層815、基座830及晶圓801。在一實施例中,邊緣環820與柱850的其他部件間隔開以提供從底板810至柱860頂側的流體路徑812。例如,流體路徑812可離開晶圓801與陰影環835之間的柱。在一特定實施例中,流體路徑812的內表面包括絕緣層815的邊緣、基座830的邊緣(亦即,第一部分830 A及第二部分830 B)以及晶圓801的邊緣。在一實施例中,流體路徑812的外表面包括邊緣環820的內邊緣。在一實施例中,當基座830的一部分前進到晶圓801的邊緣時,流體路徑812亦可以在基座830之部分的頂表面上繼續。如此,當惰性氣體(例如,氦氣、氬氣等)流過流體路徑812時,防止處理氣體沿著晶圓801的側面向下流動/擴散。 In one embodiment, edge ring 820 surrounds base plate 810 , insulation layer 815 , base 830 and wafer 801 . In one embodiment, edge ring 820 is spaced apart from other components of column 850 to provide a fluid path 812 from base plate 810 to the top side of column 860 . For example, fluid path 812 may exit the pillar between wafer 801 and shadow ring 835 . In a particular embodiment, the inner surface of fluid path 812 includes the edge of insulating layer 815 , the edge of base 830 (ie, first portion 830 A and second portion 830 B ), and the edge of wafer 801 . In one embodiment, the outer surface of fluid path 812 includes the inner edge of edge ring 820 . In one embodiment, the fluid path 812 may also continue on the top surface of the portion of the susceptor 830 as it advances to the edge of the wafer 801 . As such, when an inert gas (eg, helium, argon, etc.) flows through fluid path 812 , the process gas is prevented from flowing/diffusing downward along the sides of wafer 801 .

在一實施例中,流體路徑812的寬度W被最小化以防止電漿沿著流體路徑812觸發。例如,流體路徑812的寬度W可為約1 mm或更小。在一實施例中,密封件817阻止流體路徑812離開柱860的底部。密封件817可定位在邊緣環820與底板810之間。密封件817可為可撓性材料,例如墊圈材料等。在一特定實施例中,密封件817包括矽樹脂。In one embodiment, the width W of the fluid path 812 is minimized to prevent plasma from triggering along the fluid path 812 . For example, the width W of fluid path 812 may be about 1 mm or less. In one embodiment, seal 817 prevents fluid path 812 from leaving the bottom of column 860. Seal 817 may be positioned between edge ring 820 and base plate 810 . The seal 817 may be a flexible material such as a gasket material or the like. In a specific embodiment, seal 817 includes silicone.

在一實施例中,通道811安置在底板810中。通道811將惰性氣體從柱860的中心引導至邊緣環820的內部邊緣。應當理解,第8圖中僅示出了通道811的部分。下文參考第10B圖提供了通道811的更全面說明。In one embodiment, channels 811 are disposed in base plate 810 . Channel 811 directs the inert gas from the center of column 860 to the inner edge of edge ring 820. It should be understood that only a portion of channel 811 is shown in Figure 8 . A more complete description of channel 811 is provided below with reference to Figure 10B.

在一實施例中,邊緣環820及陰影環835可具有適合於將陰影環835相對於晶圓801對準的特徵。例如,邊緣環820之頂表面中的凹槽821可與陰影環835之底表面上的突起836介接。當邊緣環820與陰影環835接觸時,凹槽821及突起836可具有錐形表面以允許兩個部件的粗對準足以提供更精確的對準。在另一實施例中,亦可在基座830與邊緣環820之間提供對準特徵(未圖示)。基座830與邊緣環820之間的對準特徵可包括類似於邊緣環820與陰影環835之間的對準特徵的錐形凹槽及突起架構。In one embodiment, edge ring 820 and shadow ring 835 may have features suitable for aligning shadow ring 835 relative to wafer 801 . For example, grooves 821 in the top surface of edge ring 820 may interface with protrusions 836 on the bottom surface of shadow ring 835 . When edge ring 820 is in contact with shadow ring 835, groove 821 and protrusion 836 may have tapered surfaces to allow coarse alignment of the two components sufficient to provide more precise alignment. In another embodiment, alignment features (not shown) may also be provided between the base 830 and the edge ring 820 . Alignment features between base 830 and edge ring 820 may include a tapered groove and protrusion architecture similar to the alignment features between edge ring 820 and shadow ring 835 .

現參考第9A圖及第9B圖,示出根據實施例的一對橫截面圖,其描繪了具有在不同位置(在Z方向上)之基座之處理工具的部分。在第9A圖中,基座位於腔室內的較低位置。第9A圖中基座的位置為經由狹縫閥將晶圓插入腔室或自腔室移除的位置。在第9B圖中,基座位於腔室內的升高位置。第9B圖中基座的位置為處理晶圓的位置。Referring now to FIGS. 9A and 9B , shown are a pair of cross-sectional views depicting portions of a processing tool with a base in different positions (in the Z direction), in accordance with an embodiment. In Figure 9A, the base is located lower within the chamber. The position of the pedestal in Figure 9A is where the wafer is inserted into or removed from the chamber via the slit valve. In Figure 9B, the base is in an elevated position within the chamber. The position of the base in Figure 9B is the position where the wafer is processed.

現參考第9A圖,示出根據實施例之處於第一位置之可位移柱960的橫截面圖。如第9A圖所示,柱包括底板910、絕緣層915、底座930(亦即,第一部分930 A及第二部分930 B),以及邊緣環920。此類部件可實質上類似於上述類似指出的部件。例如,冷卻通道931可設置在基座930的第二部分930 B中,通道911可設置在底板910中,且密封件917可設置在邊緣環920與底板910之間。 Referring now to Figure 9A, a cross-sectional view of the displaceable post 960 in a first position is shown in accordance with an embodiment. As shown in Figure 9A, the column includes a base plate 910, an insulating layer 915, a base 930 (ie, a first portion 930 A and a second portion 930 B ), and an edge ring 920. Such components may be substantially similar to the similarly indicated components above. For example, cooling channels 931 may be provided in the second portion 930B of the base 930 , channels 911 may be provided in the base plate 910 , and a seal 917 may be provided between the edge ring 920 and the base plate 910 .

如第9A圖所示,晶圓901放置在基座930的頂表面上。晶圓901可經由狹縫閥(未示出)插入腔室中。此外,陰影環935顯示在邊緣環920上方的升高位置。由於陰影環935的內徑小於晶圓901的直徑,因此需要在陰影環935與邊緣環920接觸之前將晶圓901放置在基座上。As shown in Figure 9A, wafer 901 is placed on the top surface of susceptor 930. Wafer 901 may be inserted into the chamber via a slit valve (not shown). Additionally, shaded ring 935 is shown in an elevated position above edge ring 920 . Because the inner diameter of shadow ring 935 is smaller than the diameter of wafer 901 , wafer 901 needs to be placed on the susceptor before shadow ring 935 comes into contact with edge ring 920 .

在一實施例中,陰影環935由室襯670支撐。室襯970可圍繞柱960的外周邊。在一實施例中,保持器971定位在室襯970的頂表面上。保持器971經配置為當柱960處於第一位置時將陰影環935保持在邊緣環920上方的升高位置。在一實施例中,陰影環935包括用於與邊緣環920中的凹槽921對齊的突起936。In one embodiment, shadow ring 935 is supported by chamber liner 670. Chamber liner 970 may surround the outer perimeter of column 960. In one embodiment, retainer 971 is positioned on the top surface of chamber liner 970. Retainer 971 is configured to maintain shadow ring 935 in a raised position above edge ring 920 when post 960 is in the first position. In one embodiment, shadow ring 935 includes protrusions 936 for alignment with grooves 921 in edge ring 920 .

現參考第9B圖,根據一實施例,示出在接合陰影環935之後柱960的橫截面圖。如圖所示,柱960在垂直方向(亦即,Z方向)上位移,直至陰影環935接合邊緣環920。柱960的附加垂直位移將陰影環935抬離室襯970上的保持器971。在一實施例中,由於陰影環935及邊緣環920中的對準特徵(亦即,凹槽921及突起936),陰影環935被正確對準。在另一實施例中,亦可在基座930與邊緣環920之間提供對準特徵(未圖示)。基座930與邊緣環920之間的對準特徵可包括類似於邊緣環920與陰影環935之間的對準特徵的錐形凹槽及突起架構。Referring now to Figure 9B, a cross-sectional view of post 960 is shown after engaging shadow ring 935, according to an embodiment. As shown, post 960 is displaced in the vertical direction (ie, the Z direction) until shadow ring 935 engages edge ring 920 . The additional vertical displacement of post 960 lifts shadow ring 935 away from retainer 971 on chamber liner 970. In one embodiment, shadow ring 935 is correctly aligned due to alignment features in shadow ring 935 and edge ring 920 (ie, grooves 921 and protrusions 936). In another embodiment, alignment features (not shown) may also be provided between the base 930 and the edge ring 920 . Alignment features between base 930 and edge ring 920 may include a tapered groove and protrusion architecture similar to the alignment features between edge ring 920 and shadow ring 935 .

雖然在第二位置,但晶圓901可得以處理。特定地,處理可包括在晶圓901的頂表面上沉積正型光阻劑材料。例如,此製程可為有或沒有電漿輔助的乾式沉積及氧化處理製程。在一特定實施例中,正型光阻劑為適用於EUV圖案化的金屬-氧正型光阻劑。然而,應當理解,正型光阻劑可為任何類型的正型光阻劑,且圖案化可包括任何微影術方案。在將正型光阻劑沉積至晶圓901上期間,惰性氣體可沿著邊緣環910的內表面與絕緣層915、基座930與晶圓901的外表面之間的流體通道流動。因此,實質上消除了沿晶圓901的邊緣或背面的正型光阻劑沉積。在一實施例中,晶圓溫度901可藉由基座之第二部分930 B中的冷卻通道931保持在約-40℃與約200℃之間。 Although in the second position, wafer 901 can be processed. Specifically, processing may include depositing a positive photoresist material on the top surface of wafer 901 . For example, the process may be a dry deposition and oxidation process with or without plasma assistance. In a specific embodiment, the positive photoresist is a metal-oxygen positive photoresist suitable for EUV patterning. However, it should be understood that the positive photoresist can be any type of positive photoresist and the patterning can include any lithography scheme. During deposition of positive photoresist onto wafer 901 , an inert gas may flow along fluid channels between the inner surface of edge ring 910 and insulating layer 915 , pedestal 930 , and the outer surface of wafer 901 . Therefore, positive photoresist deposition along the edges or backside of wafer 901 is essentially eliminated. In one embodiment, wafer temperature 901 can be maintained between about -40°C and about 200°C by cooling channels 931 in the second portion 930B of the base.

現參看第10A圖,示出了根據另外實施例的處理工具1000的示意圖。如第10A圖中所示,柱包括底板1010。底板1010可由延伸出腔室的柱1014支撐。亦即,在一些實施例中,底板1010及柱1014可為分立部件而不是如第7圖所示的單一單片部件。柱1014可具有用於引導電連接及流體(例如,用於淨化流的冷卻流體及惰性氣體)的中心通道。Referring now to Figure 10A, a schematic diagram of a processing tool 1000 is shown according to a further embodiment. As shown in Figure 10A, the column includes a base plate 1010. The base plate 1010 may be supported by posts 1014 extending out of the chamber. That is, in some embodiments, the base plate 1010 and the posts 1014 may be discrete components rather than a single monolithic component as shown in FIG. 7 . Column 1014 may have a central channel for conducting electrical connections and fluids (eg, cooling fluid and inert gas for purge flow).

在一實施例中,絕緣層1015設置在底板1010上方,且基座1030(亦即,第一部分1030 A及第二部分1030 B)設置在絕緣層1015上方。在一實施例中,冷卻劑通道1031設置在基座1030的第二部分1030 B中。晶圓1001設置在基座1030上方。 In one embodiment, the insulating layer 1015 is disposed above the base plate 1010 , and the base 1030 (ie, the first part 1030 A and the second part 1030 B ) is disposed above the insulating layer 1015 . In one embodiment, coolant channels 1031 are provided in the second portion 1030B of the base 1030. Wafer 1001 is disposed above susceptor 1030.

在一實施例中,邊緣環1020設置在底板1010、絕緣層1015、基座1030及晶圓1001周圍。邊緣環1020可由諸如螺栓、銷、螺釘等的緊固機構1013耦合至底板1013。在一實施例中,密封件1017阻止淨化氣體自底板1010與邊緣環1020之間的間隙之間的底部離開柱。In one embodiment, edge ring 1020 is disposed around base plate 1010 , insulation layer 1015 , base 1030 and wafer 1001 . Edge ring 1020 may be coupled to base plate 1013 by fastening mechanisms 1013 such as bolts, pins, screws, or the like. In one embodiment, seal 1017 prevents purge gases from exiting the column from the bottom between the gap between base plate 1010 and edge ring 1020 .

在所示實施例中,基座1030處於第一位置。因此,陰影環1035由保持器1071及室襯1070支撐。當基座1030垂直位移時,邊緣環1020將與陰影環1035接合且將陰影環1035抬離保持器1071。In the illustrated embodiment, base 1030 is in the first position. Therefore, the shadow ring 1035 is supported by the retainer 1071 and the chamber liner 1070. When base 1030 is displaced vertically, edge ring 1020 will engage shadow ring 1035 and lift shadow ring 1035 away from retainer 1071 .

現參考第10B圖,示出根據附加實施例之腔室1000的橫截面圖。在第10B圖的圖示中,絕緣層1015及基座1030被省略以便更清楚地看到底板1010的構造。如圖所示,底板1010可包括複數個通道1011,這些通道711提供自底板1010的中心至底板1010的邊緣的流體路徑。在所示實施例中,複數個第一通道將底板1010的中心連接至第一環形通道,且複數個第二通道將第一環形通道連接至底板1010的外邊緣。在一實施例中,第一通道及第二通道彼此錯位。雖然通道1011的特定配置在第10B圖中示出,但應理解任何通道配置可用於將惰性氣體自基板1010的中心引導至基板1010的邊緣。Referring now to Figure 10B, a cross-sectional view of chamber 1000 is shown in accordance with additional embodiments. In the illustration of FIG. 10B , the insulating layer 1015 and the base 1030 are omitted so that the structure of the base plate 1010 can be seen more clearly. As shown, the base plate 1010 may include a plurality of channels 1011 that provide a fluid path from the center of the base plate 1010 to the edges of the base plate 1010 . In the illustrated embodiment, a plurality of first channels connects the center of the base plate 1010 to a first annular channel, and a plurality of second channels connects the first annular channel to the outer edge of the base plate 1010 . In one embodiment, the first channel and the second channel are offset from each other. Although a specific configuration of channels 1011 is shown in Figure 10B, it should be understood that any channel configuration may be used to direct the inert gas from the center of the substrate 1010 to the edges of the substrate 1010.

第11圖示出電腦系統1100的示例性形式的機器的圖解表示,在該電腦系統中可執行一組指令,用於使機器進行本文描述的任何一或多個方法。在替代實施例中,機器可連接(例如,網路連接)至區域網路(Local Area Network; LAN)、內部網路、商際網路或網際網路中的其他機器。機器可在客戶端伺服器網路環境中以伺服器或客戶端機器的職能運行,或在同級間(分佈式)網路環境中作為同級機器運行。該機器可為個人電腦(personal computer; PC)、平板電腦、機上盒(set-top box; STB)、個人數位助理(Personal Digital Assistant; PDA)、蜂巢式電話、網絡設備、伺服器、網路路由器、交換器或橋接器,或能夠執行指定待由該機器採取的動作的指令集(順序或以其他方式)的任何機器。此外,雖然僅圖示了單一機器,但術語「機器」亦應理解為包括個別地或聯合執行一組(或多組)指令以執行本文所述的方法中之任何一或多者的任何機器(例如,電腦)集合。Figure 11 shows a diagrammatic representation of a machine in the form of an exemplary computer system 1100 in which a set of instructions may be executed for causing the machine to perform any one or more methodologies described herein. In alternative embodiments, the machine may be connected (eg, network connected) to other machines in a local area network (LAN), an intranet, a business network, or the Internet. The machine can operate as a server or client machine in a client-server network environment, or as a peer machine in a peer (distributed) network environment. The machine can be a personal computer (PC), tablet computer, set-top box (STB), personal digital assistant (PDA), cellular phone, network equipment, server, network A router, switch, or bridge, or any machine capable of executing a set of instructions (sequential or otherwise) that specifies actions to be taken by that machine. Furthermore, although only a single machine is illustrated, the term "machine" shall also be taken to include any machine that individually or jointly executes a set (or sets) of instructions to perform any one or more of the methodologies described herein. (e.g., computer) collection.

示例性電腦系統1100包括處理器1102、主記憶體1104(例如,唯讀記憶體(read-only memory; ROM)、快閃記憶體、動態隨機存取記憶體(dynamic random access memory; DRAM)(諸如同步DRAM(synchronous DRAM; SDRAM)或Rambus DRAM (RDRAM)等)、靜態記憶體1106(例如,快閃記憶體、靜態隨機存取記憶體(static random access memory; SRAM)、MRAM等),及輔助記憶體1118(例如,資料儲存裝置),上述各者經由匯流排1130相互通訊。Exemplary computer system 1100 includes a processor 1102, a main memory 1104 (e.g., read-only memory (ROM), flash memory, dynamic random access memory (DRAM)) ( Such as synchronous DRAM (SDRAM) or Rambus DRAM (RDRAM), etc.), static memory 1106 (for example, flash memory, static random access memory (static random access memory; SRAM), MRAM, etc.), and Auxiliary memory 1118 (eg, data storage device), each of which communicates with each other via bus 1130 .

處理器1102表示一或多個通用處理裝置,諸如微處理器、中央處理單元等等。更特定言之,處理器1102可為複雜指令集計算(complex instruction set computing; CISC)微處理器、精簡指令集計算(reduced instruction set computing; RISC)微處理器、極長指令字(very long instruction word; VLIW)微處理器、實施其他指令集的處理器,或實施指令集組合的處理器。處理器1102亦可為一或多個專用處理裝置,諸如特殊應用積體電路(application specific integrated circuit; ASIC)、現場可程式閘陣列(field programmable gate array; FPGA)、數位訊號處理器(digital signal processor; DSP)、網路處理器等等。處理器1102經配置以執行用於執行本文所述的操作的處理邏輯1126。Processor 1102 represents one or more general-purpose processing devices, such as a microprocessor, central processing unit, or the like. More specifically, the processor 1102 may be a complex instruction set computing (CISC) microprocessor, a reduced instruction set computing (RISC) microprocessor, or a very long instruction word (very long instruction) microprocessor. word; VLIW) microprocessor, a processor that implements another instruction set, or a processor that implements a combination of instruction sets. The processor 1102 may also be one or more special-purpose processing devices, such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), or a digital signal processor (digital signal processor). processor; DSP), network processor, etc. Processor 1102 is configured to execute processing logic 1126 for performing the operations described herein.

電腦系統1100可進一步包括網路介面裝置1108。電腦系統1100亦可包括視訊顯示單元1110(例如,液晶顯示器(liquid crystal display; LCD)、發光二極體顯示器(light emitting diode display; LED),或陰極射線管(cathode ray tube; CRT))、文數字輸入裝置1112(例如,鍵盤)、游標控制裝置1114(例如,滑鼠),及訊號產生裝置1116(例如,揚聲器)。Computer system 1100 may further include a network interface device 1108. The computer system 1100 may also include a video display unit 1110 (for example, a liquid crystal display (LCD), a light emitting diode display (LED), or a cathode ray tube (CRT)), Alphanumeric input device 1112 (eg, keyboard), cursor control device 1114 (eg, mouse), and signal generation device 1116 (eg, speaker).

輔助記憶體1118可包括機器可存取儲存媒體(或更特定言之電腦可讀儲存媒體)1132,其上儲存了體現本文所述的方法或功能中之任何一或多者的一或多組指令(例如,軟體1122)。軟體1122亦可在其由電腦系統1100執行期間完全地或至少部分地常駐在主記憶體1104內及/或在處理器1102內,主記憶體1104及處理器1102亦構成機器可讀儲存媒體。軟體1122可進一步經由網路介面裝置1108在網路1120上傳輸或接收。Secondary memory 1118 may include machine-accessible storage media (or more specifically computer-readable storage media) 1132 having stored thereon one or more sets embodying any one or more of the methods or functions described herein. Instructions (e.g., software 1122). Software 1122 may also reside fully or at least partially within main memory 1104 and/or within processor 1102 during its execution by computer system 1100, which also constitutes a machine-readable storage medium. Software 1122 may further be transmitted or received over network 1120 via network interface device 1108 .

雖然機器可存取儲存媒體1132在示例性實施例中被示為單一媒體,但術語「機器可讀儲存媒體」應視為包括儲存一或多組指令的單個媒體或多個媒體(例如,集中式或分佈式資料庫,及/或相關聯的快取記憶體及伺服器)。術語「機器可讀儲存媒體」亦應視為包括能夠儲存或編碼一組指令以供機器執行且使機器執行本揭示案的任何一或多種方法的任何媒體。術語「機器可讀取儲存媒體」應相應地視為包括但不限於,固態記憶體及光學及磁性媒體。Although machine-accessible storage medium 1132 is shown in the exemplary embodiment as a single medium, the term "machine-readable storage medium" shall be taken to include a single medium or multiple media that stores one or more sets of instructions (e.g., centralized formal or distributed database, and/or associated caches and servers). The term "machine-readable storage medium" shall also be deemed to include any medium that can store or encode a set of instructions for execution by a machine and any one or more means of causing the machine to perform the present disclosure. The term "machine-readable storage media" shall accordingly be deemed to include, without limitation, solid-state memory and optical and magnetic media.

根據本揭示案的實施例,機器可存取儲存媒體具有儲存在其上的指令,這些指令使資料處理系統進行在真空腔室中的基板上形成正型光阻劑層的方法。此方法包括將金屬前驅物蒸氣提供至真空腔室中。此方法亦包括將氧化劑蒸氣提供至真空腔室中。金屬前驅物蒸氣及氧化劑蒸氣之間的反應導致在基板的表面上形成正型光阻劑層。According to embodiments of the present disclosure, a machine-accessible storage medium has instructions stored thereon that cause a data processing system to perform a method of forming a positive photoresist layer on a substrate in a vacuum chamber. The method includes providing metal precursor vapor into a vacuum chamber. The method also includes providing oxidant vapor into the vacuum chamber. The reaction between the metal precursor vapor and the oxidant vapor results in the formation of a positive photoresist layer on the surface of the substrate.

因此,已揭示了使用乾式製程形成正型或負型光阻劑的方法。Therefore, methods of forming positive or negative photoresists using dry processes have been disclosed.

100:起始結構 102:基板/下層 102A:圖案化基板/圖案化下層 103:負型光阻劑層 103A:照射光阻劑 104:正型光阻劑層 104A:照射光阻劑層 105A:未照射區域 105B:照射區域 106:照射 108:移除或蝕刻製程 110:蝕刻製程 112:蝕刻特徵 320:金屬前驅物 321:操作 322:金屬氧光阻劑 323:操作 324:未暴露區域 325:暴露區域 420:金屬前驅物 421:氧化源 422:金屬氧膜 423:操作 424:未暴露區域 425:暴露區域 580:製程 581:操作 582:操作 583:操作 584:操作 585:操作 586:操作 600:真空腔室 605:腔室 610:基板 615:開口 620:溫控卡盤 625:偏置功率RF產生器 626:第三偏置功率RF產生器 627:RF匹配 630:源功率RF產生器 635:氣體分配板 644:氣體源 649:質量流量控制器 670:控制器 672:CPU 673:儲存器 674:I/O介面 700:處理工具 701:晶圓 705:腔室 710:底板 711:通道 714:柱件 715:絕緣層 720:邊緣環 730:基座 731:冷卻通道 735:陰影環 740:噴頭組件 745:濾波電路系統 750:匹配電路系統 799:安瓿 801:晶圓 810:底板 811:通道 812:流體路徑 815:絕緣層 817:密封件 820:邊緣環 821:凹槽 830 A:第一部分 830 B:第二部分 831:冷卻通道 835:陰影環 836:突起 860:柱 901:晶圓 910:邊緣環 911:通道 915:絕緣層 917:密封件 920:邊緣環 921:凹槽 930 A:第一部分 930 B:第二部分 931:冷卻通道 935:陰影環 936:突起 960:柱 970:室襯 971:保持器 1000:處理工具 1001:晶圓 1010:底板 1011:通道 1013:緊固機構 1014:柱 1017:密封件 1020:邊緣環 1030 A:第一部分 1030 B:第二部分 1031:冷卻劑通道 1035:陰影環 1070:室襯 1071:保持器 1100:電腦系統 1102:處理器 1104:主記憶體 1106:靜態記憶體 1108:網路介面裝置 1110:視訊顯示單元 1112:文數字輸入裝置 1114:游標控制裝置 1116:訊號產生裝置 1118:輔助記憶體 1120:網路 1122:軟體 1126:處理邏輯 1130:匯流排 1132:機器可存取儲存媒體 100: Starting structure 102: Substrate/lower layer 102A: Patterned substrate/Patterned lower layer 103: Negative photoresist layer 103A: Irradiated photoresist 104: Positive photoresist layer 104A: Irradiated photoresist layer 105A: Unirradiated area 105B: Illuminated area 106: Illuminated 108: Removal or etching process 110: Etching process 112: Etched features 320: Metal precursor 321: Operation 322: Metal oxide photoresist 323: Operation 324: Unexposed area 325: Exposed area 420: metal precursor 421: oxidation source 422: metal oxide film 423: operation 424: unexposed area 425: exposed area 580: process 581: operation 582: operation 583: operation 584: operation 585: operation 586: operation 600 : Vacuum chamber 605: Chamber 610: Substrate 615: Opening 620: Temperature controlled chuck 625: Bias power RF generator 626: Third bias power RF generator 627: RF matching 630: Source power RF generator 635 : Gas distribution plate 644: Gas source 649: Mass flow controller 670: Controller 672: CPU 673: Storage 674: I/O interface 700: Processing tool 701: Wafer 705: Chamber 710: Base plate 711: Channel 714 : Pillar 715: Insulation layer 720: Edge ring 730: Base 731: Cooling channel 735: Shadow ring 740: Nozzle assembly 745: Filter circuit system 750: Matching circuit system 799: Ampoule 801: Wafer 810: Base plate 811: Channel 812: Fluid path 815: Insulation layer 817: Seal 820: Edge ring 821: Groove 830 A : First part 830 B : Second part 831: Cooling channel 835: Shadow ring 836: Protrusion 860: Pillar 901: Wafer 910 :edge ring 911:channel 915:insulation layer 917:seal 920:edge ring 921:groove 930 A :first part 930 B :second part 931: cooling channel 935: shadow ring 936: protrusion 960: column 970: chamber Liner 971: Holder 1000: Processing Tool 1001: Wafer 1010: Base Plate 1011: Channel 1013: Fastening Mechanism 1014: Post 1017: Seal 1020: Edge Ring 1030 A : First Part 1030 B : Second Part 1031: Coolant Channel 1035: Shadow ring 1070: Chamber lining 1071: Holder 1100: Computer system 1102: Processor 1104: Main memory 1106: Static memory 1108: Network interface device 1110: Video display unit 1112: Alphanumeric input device 1114: Cursor control device 1116: Signal generation device 1118: Auxiliary memory 1120: Network 1122: Software 1126: Processing logic 1130: Bus 1132: Machine-accessible storage media

第1A圖示出根據本揭示案的實施例,表示使用由本文所述製程形成之正型光阻劑材料的圖案化製程中之各種操作的橫截面圖。Figure 1A illustrates a cross-sectional view illustrating various operations in a patterning process using a positive photoresist material formed by the processes described herein, in accordance with an embodiment of the present disclosure.

第1B圖示出根據本揭示案的實施例,表示使用由本文所述製程形成之負型光阻劑材料的圖案化製程中之各種操作的橫截面圖。Figure 1B illustrates a cross-sectional view illustrating various operations in a patterning process using negative photoresist materials formed by the processes described herein, in accordance with an embodiment of the present disclosure.

第2A圖包括根據本揭示案的實施例,適用於製造正型光阻劑膜之金屬前驅物的通式及具體實例。Figure 2A includes a general formula and specific examples of metal precursors suitable for manufacturing positive photoresist films according to embodiments of the present disclosure.

第2B圖示出根據本揭示案的實施例,可用作負型光阻劑之顯影劑的胺。Figure 2B illustrates amines that can be used as developers for negative photoresists in accordance with embodiments of the present disclosure.

第2C圖包括根據本揭示案的實施例,適用於製造正型或負型光阻劑膜之金屬前驅物的通式及具體實例。Figure 2C includes a general formula and specific examples of metal precursors suitable for manufacturing positive or negative photoresist films according to embodiments of the present disclosure.

第3圖為根據本揭示案的實施例,發生在負型光阻劑膜中的化學反應的示意圖。Figure 3 is a schematic diagram of chemical reactions occurring in a negative photoresist film according to an embodiment of the present disclosure.

第4圖為根據本揭示案的實施例,發生在正型光阻劑膜中的化學反應的示意圖。Figure 4 is a schematic diagram of chemical reactions occurring in a positive photoresist film according to an embodiment of the present disclosure.

第5圖為根據本揭示案的實施例,用於圖案化金屬氧光阻劑膜的製程的製程流程圖。Figure 5 is a process flow diagram of a process for patterning a metal oxide photoresist film according to an embodiment of the present disclosure.

第6圖為根據本揭示案的實施例,可用於實施本文所述之乾式沉積及氧化處理製程之處理工具的橫截面圖。Figure 6 is a cross-sectional view of a processing tool that may be used to perform the dry deposition and oxidation processing processes described herein, in accordance with embodiments of the present disclosure.

第7圖為根據本揭示案的實施例,用於利用乾式沉積及氧化處理製程在基板上沉積正型光阻劑層之處理工具的橫截面圖。Figure 7 is a cross-sectional view of a processing tool for depositing a positive photoresist layer on a substrate using dry deposition and oxidation processing processes in accordance with an embodiment of the present disclosure.

第8圖為根據本揭示案的實施例,用於利用乾式沉積及氧化處理製程在基板上沉積正型光阻劑層之處理工具中的可位移柱之邊緣的放大圖示。Figure 8 is an enlarged view of an edge of a displaceable pillar in a processing tool for depositing a positive photoresist layer on a substrate using dry deposition and oxidation processing processes in accordance with an embodiment of the present disclosure.

第9A圖為根據本揭示案的實施例,處理工具中之可位移柱之邊緣的放大圖示,其中陰影環不與邊緣環接合。Figure 9A is an enlarged illustration of an edge of a displaceable post in a processing tool, in which the hatched ring does not engage the edge ring, in accordance with an embodiment of the present disclosure.

第9B圖為根據本揭示案的實施例,處理工具中之可位移柱之邊緣的放大圖示,其中陰影環與邊緣環接合。Figure 9B is an enlarged illustration of an edge of a displaceable post in a processing tool, with the hatched ring engaging the edge ring, in accordance with an embodiment of the present disclosure.

第10A圖為根據本揭示案的實施例,用於利用乾式沉積及氧化處理製程在基板上沉積正型光阻劑層之處理工具的橫截面圖。Figure 10A is a cross-sectional view of a processing tool for depositing a positive photoresist layer on a substrate using dry deposition and oxidation processing processes in accordance with an embodiment of the present disclosure.

第10B圖為根據本揭示案的實施例之處理工具的橫截面圖,其中移除了基座以暴露底板中的通道。Figure 10B is a cross-sectional view of a processing tool with the base removed to expose channels in the base plate, in accordance with an embodiment of the present disclosure.

第11圖示出根據本揭示案的實施例之示例性電腦系統的方塊圖。Figure 11 illustrates a block diagram of an exemplary computer system in accordance with embodiments of the present disclosure.

國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無 Domestic storage information (please note in order of storage institution, date and number) without Overseas storage information (please note in order of storage country, institution, date, and number) without

580:製程 580:Process

581:操作 581:Operation

582:操作 582:Operation

583:操作 583:Operation

584:操作 584:Operation

585:操作 585:Operation

586:操作 586:Operation

Claims (20)

一種圖案化一金屬氧光阻劑的方法,包含以下步驟: 在一基板上沉積該金屬氧光阻劑; 用一第一處理處理該金屬氧光阻劑; 用一EUV暴露暴露該金屬氧光阻劑以形成暴露區域和未暴露區域; 用一第二處理處理該暴露的金屬氧光阻劑;以及 將該金屬氧光阻劑顯影。 A method for patterning a metal oxide photoresist, including the following steps: depositing the metal oxide photoresist on a substrate; Treat the metal oxide photoresist with a first treatment; Exposing the metal oxide photoresist with an EUV exposure to form exposed areas and unexposed areas; Treat the exposed metal oxide photoresist with a second treatment; and The metal oxide photoresist is developed. 如請求項1所述之方法,其中該金屬氧光阻劑為一正型光阻劑。The method of claim 1, wherein the metal oxide photoresist is a positive photoresist. 如請求項2所述之方法,其中將該金屬氧光阻劑顯影之步驟包括以下步驟:移除該等暴露區域。The method of claim 2, wherein the step of developing the metal oxide photoresist includes the following steps: removing the exposed areas. 如請求項2所述之方法,其中一顯影劑溶液包含一水性鹼介質。The method of claim 2, wherein a developer solution contains an aqueous alkaline medium. 如請求項4所述之方法,其中該顯影劑溶液包含四甲基氫氧化銨(TMAH)。The method of claim 4, wherein the developer solution contains tetramethylammonium hydroxide (TMAH). 如請求項1所述之方法,其中該金屬氧光阻劑為一負型光阻劑。The method of claim 1, wherein the metal oxide photoresist is a negative photoresist. 如請求項6所述之方法,其中將該金屬氧光阻劑顯影之步驟包括以下步驟:移除該等未暴露區域。The method of claim 6, wherein the step of developing the metal oxide photoresist includes the following steps: removing the unexposed areas. 如請求項6所述之方法,其中一顯影劑溶液包含一有機溶劑。The method of claim 6, wherein a developer solution contains an organic solvent. 如請求項8所述之方法,其中該有機溶劑包含20庚酮、MIBC、MINK、苯甲醚、D-檸檬烯、苯甲酸甲酯、乙酸正丁酯、GBL及超臨界CO 2The method of claim 8, wherein the organic solvent includes 20 heptanone, MIBC, MINK, anisole, D-limonene, methyl benzoate, n-butyl acetate, GBL and supercritical CO 2 . 如請求項1所述之方法,其中該第一處理包含在50℃與200℃之間的一退火。The method of claim 1, wherein the first treatment includes an annealing between 50°C and 200°C. 如請求項1所述之方法,其中該第一處理包含具有172 nm或更大的一波長的一紫外線處理。The method of claim 1, wherein the first treatment includes an ultraviolet treatment with a wavelength of 172 nm or greater. 如請求項1所述之方法,其中該第二處理包含在50℃與300℃之間的一退火,及/或具有172 nm或更大的一波長的紫外線處理。The method of claim 1, wherein the second treatment includes an annealing between 50°C and 300°C, and/or an ultraviolet treatment with a wavelength of 172 nm or greater. 如請求項1所述之方法,進一步包含以下步驟: 利用一後處理處理該經顯影的金屬氧光阻劑,該後處理包含一退火及/或一紫外線處理。 The method described in request 1 further includes the following steps: The developed metal oxide photoresist is treated with a post-processing, which includes an annealing and/or an ultraviolet treatment. 一種沉積及圖案化一光阻劑的方法,包含以下步驟: 用一乾式沉積製程在一基板上沉積一光阻劑,其中該光阻劑包含一金屬氧材料; 用一EUV暴露暴露該光阻劑以形成暴露區域及未暴露區域;以及 藉由移除該等暴露區域或該等未暴露區域將該光阻劑顯影。 A method of depositing and patterning a photoresist includes the following steps: Deposit a photoresist on a substrate using a dry deposition process, wherein the photoresist includes a metal oxide material; Expose the photoresist with an EUV exposure to form exposed areas and unexposed areas; and The photoresist is developed by removing the exposed areas or the unexposed areas. 如請求項14所述之方法,其中該等暴露區域係用一水性鹼介質移除。The method of claim 14, wherein the exposed areas are removed using an aqueous alkaline medium. 如請求項14所述之方法,其中該等未暴露區域係用一有機溶劑移除。The method of claim 14, wherein the unexposed areas are removed using an organic solvent. 如請求項14所述之方法,其中用該EUV暴露將該光阻劑暴露之步驟導致金屬碳鍵的斷裂,並且其中該等金屬碳鍵的金屬由氧置換。The method of claim 14, wherein the step of exposing the photoresist with the EUV exposure results in the breaking of metal-carbon bonds, and wherein the metal of the metal-carbon bonds is replaced by oxygen. 一種圖案化一基板的方法,包含以下步驟: 用一乾式沉積製程將一光阻劑安置在該基板上,其中該光阻劑為一金屬氧材料; 用一EUV暴露暴露該光阻劑以形成暴露區域和未暴露區域; 藉由將該等暴露區域或該等未暴露區域移除來顯影該光阻劑以形成穿過該光阻劑的開口;以及 透過該光阻劑中的該等開口蝕刻該基板。 A method of patterning a substrate includes the following steps: using a dry deposition process to place a photoresist on the substrate, wherein the photoresist is a metal oxide material; Exposing the photoresist with an EUV exposure to form exposed areas and unexposed areas; Developing the photoresist by removing the exposed areas or the unexposed areas to form openings through the photoresist; and The substrate is etched through the openings in the photoresist. 如請求項18所述之方法,其中該等暴露區域係用一水性鹼介質移除。The method of claim 18, wherein the exposed areas are removed using an aqueous alkaline medium. 如請求項18所述之方法,其中該等未暴露區域係用一有機溶劑移除。The method of claim 18, wherein the unexposed areas are removed using an organic solvent.
TW112124728A 2022-07-11 2023-07-03 Dual tone photoresists TW202407463A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US17/862,283 2022-07-11

Publications (1)

Publication Number Publication Date
TW202407463A true TW202407463A (en) 2024-02-16

Family

ID=

Similar Documents

Publication Publication Date Title
US20220244645A1 (en) Photoresist development with halide chemistries
KR101108613B1 (en) Fine pattern forming method and film forming apparatus
US20220004105A1 (en) Dry develop process of photoresist
US20220262625A1 (en) Chemical vapor condensation deposition of photoresist films
US20230045336A1 (en) Integrated dry processes for patterning radiation photoresist patterning
US20220155689A1 (en) Photoresist deposition using independent multichannel showerhead
US20220308453A1 (en) Oxidation treatment for positive tone photoresist films
TW202214906A (en) Deposition of semiconductor integration films
US20220199406A1 (en) Vapor deposition of carbon-doped metal oxides for use as photoresists
TW202407463A (en) Dual tone photoresists
US20220342302A1 (en) Dual tone photoresists
US20220002869A1 (en) Vapor phase photoresists deposition
TW202219307A (en) Deposition of semiconductor integration films
WO2024015168A1 (en) Dual tone photoresists
TWI833106B (en) Apparatus design for photoresist deposition
US20240145272A1 (en) Integrated dry processes for patterning radiation photoresist patterning
WO2024006938A1 (en) Cyclic development of metal oxide based photoresist for etch stop deterrence
KR20070050551A (en) Gas injection system of ashing chamber for producing semiconductor