TW202402488A - Method and apparatus for substrate transport apparatus position compensation , substrate transport empiric arm droop mapping apparatus, substrate transport apparatus, and substrate processing tool - Google Patents

Method and apparatus for substrate transport apparatus position compensation , substrate transport empiric arm droop mapping apparatus, substrate transport apparatus, and substrate processing tool Download PDF

Info

Publication number
TW202402488A
TW202402488A TW112132099A TW112132099A TW202402488A TW 202402488 A TW202402488 A TW 202402488A TW 112132099 A TW112132099 A TW 112132099A TW 112132099 A TW112132099 A TW 112132099A TW 202402488 A TW202402488 A TW 202402488A
Authority
TW
Taiwan
Prior art keywords
arm
substrate
conveyor
uncommanded
transfer
Prior art date
Application number
TW112132099A
Other languages
Chinese (zh)
Inventor
羅勃 卡維尼
傑羅 摩拉
彬 尹
納森 史派克
文森 曾
Original Assignee
美商布魯克斯自動機械美國公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商布魯克斯自動機械美國公司 filed Critical 美商布魯克斯自動機械美國公司
Publication of TW202402488A publication Critical patent/TW202402488A/en

Links

Images

Abstract

A substrate transport empiric arm droop mapping apparatus for a substrate transport system of a processing tool, the mapping apparatus including a frame, an interface disposed on the frame forming datum features representative of a substrate transport space in the processing tool defined by the substrate transport system, a substrate transport arm, that is articulated and has a substrate holder, mounted to the frame in a predetermined relation to at least one of the datum features, and a registration system disposed with respect to the substrate transport arm and at least one datum feature so that the registration system registers, in an arm droop distance register, empiric arm droop distance, due to arm droop changes, between a first arm position and a second arm position different than the first arm position and in which the substrate holder is moved in the transport space along at least one axis of motion.

Description

用於基板輸送設備位置補償之方法及設備、基板輸送經驗臂下垂測繪設備、基板輸送設備、和基板處理工具Methods and equipment for position compensation of substrate conveying equipment, substrate conveying experience arm droop surveying equipment, substrate conveying equipment, and substrate processing tools

[0001] 範例性具體態樣大致關於機器人系統,更特別而言關於機器人輸送設備。[0001] Exemplary embodiments relate generally to robotic systems, and more particularly to robotic conveyor devices.

[0002] 有關基板定位之更精確的可重複性舉例而言是半導體基板處理所想要的。舉例而言,半導體基板輸送設備設計已演化到具有不斷增加的產出率要求、較高的製程模組溫度、處理設備的製程模組之間有較小的輸送開口等應用。尤其一方面,隨著輸送設備的末端實施器通過輸送開口而進入和離開製程模組,較小的輸送開口侷限了末端實施器之可允許的垂直位移。結果,基板輸送設備的機械設計挑戰在於材料和臂連桿幾何的選擇,以使單獨臂連桿以及末端實施器的靜態和動態剛直性達到最大。材料和臂連桿幾何的選擇可以導致高成本,並且可能達不到目標垂直位移。 [0003] 有利的會是提供克服上面問題的基板輸送設備,其隨著基板輸送設備延伸和收縮到處理設備內的預定地點,而使末端實施器和上面之基板的垂直位移減到最小。 [0002] More precise repeatability with respect to substrate positioning is, for example, desirable for semiconductor substrate processing. For example, semiconductor substrate transfer equipment designs have evolved to applications with increasing throughput requirements, higher process module temperatures, and smaller transfer openings between process modules of processing equipment. In particular, as the end effector of the conveying device enters and exits the process module through the conveying opening, the smaller conveying opening limits the allowable vertical displacement of the end effector. As a result, the mechanical design challenge for substrate transfer equipment lies in the selection of materials and arm link geometries to maximize the static and dynamic stiffness of the individual arm links as well as the end effector. The choice of materials and arm link geometry can result in high costs and the target vertical displacement may not be achieved. [0003] It would be advantageous to provide a substrate transport apparatus that overcomes the above problems by minimizing vertical displacement of the end effector and the substrate above it as the substrate transport apparatus extends and retracts to a predetermined location within the processing apparatus.

[0004] 依據揭示之具體態樣的一或更多個方面,提供的是用於處理工具之基板輸送系統的基板輸送臂經驗下垂測繪設備。測繪設備包括: 框架; 界面,其配置在框架上形成基準特徵,其代表基板輸送系統所界定之處理工具中的基板輸送空間; 基板輸送臂,其是鉸接的並且具有基板夾持器,並且以對於至少一基準特徵的預定關係而安裝到框架;以及 登記系統,其相對於基板輸送臂和至少一基準特徵而配置,如此則由於在第一臂位置和異於第一臂位置的第二臂位置之間並且基板夾持器沿著至少一運動軸而在輸送空間中移動所造成的臂下垂改變,登記系統在臂下垂距離登記器中登記經驗臂下垂距離。 [0005] 依據揭示之具體態樣的一或更多個方面,臂下垂距離登記器描述在第一臂位置和第二臂位置及在同時異於第一和第二臂位置之第三臂位置的經驗臂下垂距離,其中基板夾持器沿著至少一運動軸來移動。 [0006] 依據揭示之具體態樣的一或更多個方面,臂下垂距離登記器實現成以致界定曲線,其描述關於基板夾持器沿著至少一運動軸而移動之臂位置的臂下垂距離變化。 [0007] 依據揭示之具體態樣的一或更多個方面,曲線描述關於基板夾持器沿著多於一個不同的運動軸而移動之臂位置的臂下垂距離變化,該運動軸界定基板輸送空間中之轉移平面或轉移體積。 [0008] 依據揭示之具體態樣的一或更多個方面,曲線描述關於沿著多於一個不同運動軸之每一者的基板夾持器運動之臂位置的離散臂下垂距離變化。 [0009] 依據揭示之具體態樣的一或更多個方面,臂下垂距離登記器實現成資料查詢表或演算法。 [0010] 依據揭示之具體態樣的一或更多個方面,至少一運動軸是至少在包圍基板輸送臂之基板輸送空間的每個象限中之基板輸送臂的延伸軸、或至少基板輸送臂的旋轉軸、或至少基板輸送臂的舉升軸。 [0011] 依據揭示之具體態樣的一或更多個方面,基板輸送臂安裝有驅動區,其具有驅動臂運動的同軸驅動心軸。 [0012] 依據揭示之具體態樣的一或更多個方面,基板輸送臂可從多個不同且可互換的輸送臂來選擇,每個輸送臂具有由設備的登記系統所登記之不同對應的臂下垂距離登記器,每個登記器描述特定於對應輸送臂的經驗臂下垂距離。 [0013] 依據揭示之具體態樣的一或更多個方面,方法包括: 提供具有界面的框架,該界面配置在該框架上,界面形成基準特徵,其代表處理工具的基板輸送系統所界定之該處理工具中的基板輸送空間; 以對於至少一基準特徵的預定關係而將基板輸送臂安裝到框架,基板輸送臂是鉸接臂並且具有基板夾持器;以及 由於在第一臂位置和異於第一臂位置的第二臂位置之間並且基板夾持器沿著至少一運動軸而在輸送空間中移動所造成的臂下垂改變,則以相對於基板輸送臂和至少一基準特徵所配置的登記系統而在臂下垂登記器中登記經驗臂下垂距離。 [0014] 依據揭示之具體態樣的一或更多個方面,臂下垂距離登記器描述在第一臂位置和第二臂位置及在同時異於第一和第二臂位置之第三臂位置的經驗臂下垂距離,其中基板夾持器沿著至少一運動軸來移動。 [0015] 依據揭示之具體態樣的一或更多個方面,臂下垂距離登記器實現成以致界定曲線,其描述關於基板夾持器沿著至少一運動軸而移動之臂位置的臂下垂距離變化。 [0016] 依據揭示之具體態樣的一或更多個方面,曲線描述關於基板夾持器沿著多於一個不同的運動軸而移動之臂位置的臂下垂距離變化,該運動軸界定基板輸送空間中之轉移平面或轉移體積。 [0017] 依據揭示之具體態樣的一或更多個方面,曲線描述關於沿著多於一個不同運動軸之每一者的基板夾持器運動之臂位置的離散臂下垂距離變化。 [0018] 依據揭示之具體態樣的一或更多個方面,臂下垂距離登記器實現成資料查詢表或演算法。 [0019] 依據揭示之具體態樣的一或更多個方面,至少一運動軸是至少在包圍基板輸送臂之基板輸送空間的每個象限中之基板輸送臂的延伸軸、或至少基板輸送臂的旋轉軸、或至少基板輸送臂的舉升軸。 [0020] 依據揭示之具體態樣的一或更多個方面,基板輸送臂安裝有驅動區,其具有驅動臂運動的同軸驅動心軸。 [0021] 依據揭示之具體態樣的一或更多個方面,進一步包括:從多個不同且可互換的輸送臂來選擇基板輸送臂,每個輸送臂具有由登記系統所登記之不同對應的臂下垂距離登記器,每個登記器描述特定於對應輸送臂的經驗臂下垂距離。 [0022] 依據揭示之具體態樣的一或更多個方面,基板輸送設備包括: 框架; 驅動區,其連接於框架; 輸送臂,其在操作上可連接於驅動區,該臂是鉸接的並且具有末端實施器,而具有基板夾持器,其可在關於框架來沿著至少一運動軸而由輸送臂之鉸接所界定的輸送空間中、在第一位置和異於該第一位置的第二位置之間而關於框架來移動;以及 控制器,其在操作上可連接於驅動區,如此以實施輸送臂的鉸接,控制器包括臂下垂補償器,其建構成致使臂下垂補償器解析輸送臂由於輸送臂下垂而在第一位置和第二位置之間的經驗下垂距離。 [0023] 依據揭示之具體態樣的一或更多個方面,控制器以驅動區來實施輸送臂在大小和方向上的補償運動,其補償並且解析輸送臂之大致整個的經驗下垂距離。 [0024] 依據揭示之具體態樣的一或更多個方面,補償器具有臂下垂距離登記器,並且臂下垂補償器從臂下垂距離登記器來決定輸送臂在第一位置和第二位置之間的經驗下垂距離。 [0025] 依據揭示之具體態樣的一或更多個方面,控制器以驅動區來實施輸送臂在大小和方向上的補償運動,其補償並且解析輸送臂由臂下垂距離登記器所決定之大致整個的經驗下垂距離。 [0026] 依據揭示之具體態樣的一或更多個方面,補償運動導致消掉輸送臂相對於預定參考基準之大致整個的經驗下垂距離,如此則在輸送空間中之預定地點的基板夾持器是在淨位置,而在顯現臂下垂的方向上,該位置獨立於輸送臂下垂。 [0027] 依據揭示之具體態樣的一或更多個方面,預定地點是基板處理工具中的基板目的地。 [0028] 依據揭示之具體態樣的一或更多個方面,控制器實施補償運動,如此則基板夾持器完成運動而抵達大致在淨位置的預定地點。 [0029] 依據揭示之具體態樣的一或更多個方面,控制器實施補償運動,而臂運動則使基板夾持器沿著具有時間最佳軌跡的最佳路徑而在第一位置和第二位置之間移動。 [0030] 依據揭示之具體態樣的一或更多個方面,驅動區和輸送臂建構成致使輸送臂的運動具有多於一個的自由度,並且臂下垂距離登記器描述遍及輸送臂的多於一個運動自由度所形成之輸送空間的經驗臂下垂距離。 [0031] 依據揭示之具體態樣的一或更多個方面,輸送臂可從多個不同且可互換的輸送臂來互換,如此以在與驅動區的連接處切換,每個可互換的臂具有不同的臂下垂特徵和關聯之對應的下垂距離登記器,該登記器描述關聯臂的經驗臂下垂距離。 [0032] 依據揭示之具體態樣的一或更多個方面,基板處理工具設有如在此所述的基板輸送設備,並且具有基板夾持站,其配置成與在輸送空間中的預定地點之基板夾持器上的基板形成界面,該基板夾持站定位成以致界面是獨立於輸送臂下垂而實施。 [0033] 依據揭示之具體態樣的一或更多個方面,基板處理工具設有如在此所述的基板輸送設備,並且具有預定結構,其與輸送臂或基板夾持器互動,並且配置成以致該互動是獨立於輸送臂下垂而實施。 [0034] 依據揭示之具體態樣的一或更多個方面,基板處理工具包括: 框架; 驅動區,其連接於框架; 輸送臂,其在操作上可連接於驅動區,該臂是鉸接的並且具有末端實施器,而具有基板夾持器,其可在關於框架來沿著至少一運動軸而由輸送臂之鉸接所界定的輸送空間中、在第一位置和異於該第一位置的第二位置之間而關於框架來移動;以及 控制器,其在操作上可連接於驅動區,如此以實施輸送臂的鉸接,該控制器建構成以驅動區來實施臂在相反於顯現臂下垂之方向的方向上的運動而補償臂下垂,如此以關於預定參考基準而大致消掉由於第一位置和第二位置之間的臂下垂所造成之整個經驗臂下垂距離。 [0035] 依據揭示之具體態樣的一或更多個方面,控制器具有臂下垂距離登記器,並且控制器從臂下垂距離登記器來決定輸送臂在第一位置和第二位置之間的經驗臂下垂距離。 [0036] 依據揭示之具體態樣的一或更多個方面,驅動區和輸送臂建構成致使輸送臂的運動具有多於一個的自由度,並且臂下垂距離登記器描述遍及輸送臂的多於一個運動自由度所形成之輸送空間的經驗臂下垂距離。 [0037] 依據揭示之具體態樣的一或更多個方面,輸送臂可從多個不同且可互換的輸送臂來互換,如此以在與驅動區的連接處切換,每個可互換的臂具有不同的臂下垂特徵和關聯之對應的臂下垂距離登記器,該登記器描述關聯臂的經驗臂下垂距離。 [0038] 依據揭示之具體態樣的一或更多個方面,補償運動導致消掉輸送臂相對於預定參考基準之大致整個的經驗臂下垂距離,如此則在輸送空間中之預定地點的基板夾持器是在淨位置,而在顯現臂下垂的方向上,該位置則獨立於臂下垂。 [0039] 依據揭示之具體態樣的一或更多個方面,預定地點是基板處理工具中的基板目的地。 [0040] 依據揭示之具體態樣的一或更多個方面,控制器在相反於顯現臂下垂之方向的方向上實施輸送臂的運動,如此則基板夾持器完成運動而抵達大致在淨位置的預定地點。 [0041] 依據揭示之具體態樣的一或更多個方面,控制器在相反於顯現臂下垂之方向的方向上實施輸送臂的運動,而臂運動則使基板夾持器沿著具有時間最佳軌跡的最佳路徑而在第一位置和第二位置之間移動。 [0042] 依據揭示之具體態樣的一或更多個方面,方法包括: 提供基板輸送設備,其具有連接於框架的驅動區和在操作上可連接於驅動區的輸送臂,臂是鉸接的並且具有末端實施器,而具有基板夾持器,其可在關於框架來沿著至少一運動軸而由輸送臂之鉸接所界定的輸送空間中、在第一位置和異於第一位置的第二位置之間而關於框架來移動;以及 解析輸送臂由於輸送臂下垂而在第一位置和第二位置之間的經驗下垂距離,其中輸送臂在第一位置和第二位置之間的經驗下垂距離是由臂下垂補償器的臂下垂距離登記器所決定,該補償器駐留在連接於驅動區以便實施輸送臂之鉸接的控制器內。 [0043] 依據揭示之具體態樣的一或更多個方面,控制器以驅動區來實施輸送臂在大小和方向上的補償運動,其補償並且解析輸送臂之大致整個的經驗下垂距離。 [0044] 依據揭示之具體態樣的一或更多個方面,臂下垂補償器具有臂下垂距離登記器,該方法進一步包括:從臂下垂距離登記器而以臂下垂補償器來決定輸送臂在第一位置和第二位置之間的經驗下垂距離。 [0045] 依據揭示之具體態樣的一或更多個方面,控制器以驅動區來實施輸送臂在大小和方向上的補償運動,其補償並且解析輸送臂由臂下垂距離登記器所決定之大致整個的經驗下垂距離。 [0046] 依據揭示之具體態樣的一或更多個方面,補償運動導致消掉輸送臂相對於預定參考基準之大致整個的經驗下垂距離,如此則在輸送空間中之預定地點的基板夾持器是在淨位置,而在顯現輸送臂下垂的方向上,該位置獨立於輸送臂下垂。 [0047] 依據揭示之具體態樣的一或更多個方面,預定地點是基板處理工具中的基板目的地。 [0048] 依據揭示之具體態樣的一或更多個方面,控制器實施補償運動,如此則基板夾持器完成運動而抵達大致在淨位置的預定地點。 [0049] 依據揭示之具體態樣的一或更多個方面,控制器實施補償運動,而臂運動則使基板夾持器沿著具有時間最佳軌跡的最佳路徑而在第一位置和第二位置之間移動。 [0050] 依據揭示之具體態樣的一或更多個方面,驅動區和輸送臂建構成致使輸送臂的運動具有多於一個的自由度,該方法進一步包括:以臂下垂距離登記器來描述遍及輸送臂的多於一個運動自由度所形成之輸送空間的經驗臂下垂距離。 [0051] 依據揭示之具體態樣的一或更多個方面,輸送臂可從多個不同且可互換的輸送臂來互換,如此以在與驅動區的連接處切換,每個可互換的臂具有不同的臂下垂特徵和關聯之對應的臂下垂距離登記器,該登記器描述關聯臂的經驗臂下垂距離。 [0052] 依據揭示之具體態樣的一或更多個方面,提供的是用於處理工具之基板輸送系統的基板輸送臂下垂測繪設備。測繪設備包括: 框架; 界面,其配置在框架上而形成基準特徵,其代表基板輸送系統所界定之處理工具中的基板輸送空間; 基板輸送臂,其是鉸接的並且具有基板夾持器,並且以對於至少一基準特徵的預定關係而安裝到框架;以及 登記系統,其相對於基板輸送臂和至少一基準特徵而配置,如此則由於在第一臂位置和異於第一臂位置的第二臂位置之間並且基板夾持器沿著至少一運動軸而在輸送空間中移動所造成之未命令的臂幾何改變,該登記系統在臂下垂登記器中登記未命令的臂位移距離。 [0053] 依據揭示之具體態樣的一或更多個方面,經驗臂下垂距離描述在第一臂位置和第二臂位置及在同時異於第一和第二臂位置的第三臂位置之未命令的臂位移距離,其中基板夾持器沿著至少一運動軸來移動。 [0054] 依據揭示之具體態樣的一或更多個方面,臂下垂登記器實現成以致界定曲線,其描述關於臂位置之未命令的臂位移距離變化,其中基板夾持器沿著至少一運動軸來移動。 [0055] 依據揭示之具體態樣的一或更多個方面,曲線描述關於臂位置之未命令的臂位移距離變化,其中基板夾持器沿著多於一個不同的運動軸而移動,該運動軸界定基板輸送空間中的轉移平面或轉移體積。 [0056] 依據揭示之具體態樣的一或更多個方面,曲線描述關於沿著多於一個不同運動軸的每一者之基板夾持器運動的臂位置之離散且未命令的臂位移距離變化。 [0057] 依據揭示之具體態樣的一或更多個方面,臂下垂登記器實現成資料查詢表或演算法。 [0058] 依據揭示之具體態樣的一或更多個方面,至少一運動軸是至少在包圍基板輸送臂之基板輸送空間的每個象限中之基板輸送臂的延伸軸、或至少基板輸送臂的旋轉軸、或至少基板輸送臂的舉升軸。 [0059] 依據揭示之具體態樣的一或更多個方面,基板輸送臂安裝有驅動區,其具有驅動臂運動的同軸驅動心軸。 [0060] 依據揭示之具體態樣的一或更多個方面,基板輸送臂可從多個不同且可互換的輸送臂來選擇,每個輸送臂具有由設備的登記系統所登記之不同對應的臂下垂登記器,每個登記器描述特定於對應輸送臂之未命令的臂位移距離。 [0061] 依據揭示之具體態樣的一或更多個方面,方法包括: 提供具有界面的框架,該界面配置在框架上,界面形成基準特徵,其代表處理工具之基板輸送系統所界定之處理工具中的基板輸送空間; 以對於至少一基準特徵的預定關係而將基板輸送臂安裝到框架,該基板輸送臂是鉸接臂並且具有基板夾持器;以及 由於在第一臂位置和異於第一臂位置的第二臂位置之間並且基板夾持器沿著至少一運動軸而在輸送空間中移動所造成之未命令的臂幾何改變,則以相對於基板輸送臂和至少一基準特徵所配置的登記系統而在臂下垂登記器中登記未命令的臂位移距離。 [0062] 依據揭示之具體態樣的一或更多個方面,臂下垂登記器描述在第一臂位置和第二臂位置及在同時異於第一和第二臂位置的第三臂位置之未命令的臂位移距離,其中基板夾持器沿著至少一運動軸來移動。 [0063] 依據揭示之具體態樣的一或更多個方面,臂下垂登記器實現成以致界定曲線,其描述關於臂位置之未命令的臂位移距離變化,其中基板夾持器沿著至少一運動軸來移動。 [0064] 依據揭示之具體態樣的一或更多個方面,曲線描述關於臂位置之未命令的臂位移距離變化,其中基板夾持器沿著多於一個不同的運動軸而移動,該運動軸界定基板輸送空間中的轉移平面或轉移體積。 [0065] 依據揭示之具體態樣的一或更多個方面,曲線描述關於沿著多於一個不同運動軸的每一者之基板夾持器運動的臂位置之離散且未命令的臂位移距離變化。 [0066] 依據揭示之具體態樣的一或更多個方面,臂下垂登記器實現成資料查詢表或演算法。 [0067] 依據揭示之具體態樣的一或更多個方面,至少一運動軸是至少在包圍基板輸送臂之基板輸送空間的每個象限中之基板輸送臂的延伸軸、或至少基板輸送臂的旋轉軸、或至少基板輸送臂的舉升軸。 [0068] 依據揭示之具體態樣的一或更多個方面,基板輸送臂安裝有驅動區,其具有驅動臂運動的同軸驅動心軸。 [0069] 依據揭示之具體態樣的一或更多個方面,該方法進一步包括:從多個不同且可互換的輸送臂來選擇基板輸送臂,每個輸送臂具有由登記系統所登記之不同對應的臂下垂登記器,每個登記器描述特定於對應輸送臂之未命令的臂位移距離。 [0070] 依據揭示之具體態樣的一或更多個方面,基板輸送設備包括: 框架; 驅動區,其連接於框架; 輸送臂,其在操作上可連接於驅動區,臂是鉸接的並且具有末端實施器,而具有基板夾持器,其可在關於框架來沿著至少一運動軸而由輸送臂之鉸接所界定的輸送空間中、在第一位置和異於第一位置的第二位置之間而關於框架來移動;以及 控制器,其在操作上可連接於驅動區,如此以實施輸送臂的鉸接,該控制器包括臂下垂補償器,其建構成致使臂下垂補償器解析輸送臂由於在第一位置和第二位置之間未命令的臂幾何改變所造成之未命令的臂位移距離。 [0071] 依據揭示之具體態樣的一或更多個方面,控制器以驅動區來實施輸送臂在大小和方向上的補償運動,其補償並且解析輸送臂的大致整個之未命令的臂位移距離。 [0072] 依據揭示之具體態樣的一或更多個方面,補償器具有臂下垂登記器,並且臂下垂補償器從臂下垂登記器來決定輸送臂在第一位置和第二位置之間未命令的臂位移距離。 [0073] 依據揭示之具體態樣的一或更多個方面,控制器以驅動區來實施輸送臂在大小和方向上的補償運動,其補償並且解析輸送臂由臂下垂登記器所所決定的大致整個之未命令的臂位移距離。 [0074] 依據揭示之具體態樣的一或更多個方面,補償運動導致消掉輸送臂相對於預定參考基準的大致整個之未命令的臂位移距離,如此則在輸送空間中之預定地點的基板夾持器是在淨位置,而在顯現未命令之臂位移的方向上,該位置則獨立於未命令的臂幾何改變。 [0075] 依據揭示之具體態樣的一或更多個方面,預定地點是基板處理工具中的基板目的地。 [0076] 依據揭示之具體態樣的一或更多個方面,控制器實施補償運動,如此則基板夾持器完成運動而抵達大致在淨位置的預定地點。 [0077] 依據揭示之具體態樣的一或更多個方面,控制器實施補償運動,而臂運動則使基板夾持器沿著具有時間最佳軌跡的最佳路徑而在第一位置和第二位置之間移動。 [0078] 依據揭示之具體態樣的一或更多個方面,驅動區和輸送臂建構成致使輸送臂的運動具有多於一個的自由度,並且臂下垂登記器描述遍及輸送臂之多於一個運動自由度所形成的輸送空間之未命令的臂位移距離。 [0079] 依據揭示之具體態樣的一或更多個方面,輸送臂可從多個不同且可互換的輸送臂來互換,如此以在與驅動區的連接處切換,每個可互換的臂具有不同的臂下垂特徵和關聯之對應的下垂登記器,該登記器描述關聯臂之未命令的臂位移距離。 [0080] 依據揭示之具體態樣的一或更多個方面,基板處理工具設有如在此所述的基板輸送設備,並且具有基板夾持站,其配置成與在輸送空間中的預定地點之基板夾持器上的基板形成界面,該基板夾持站定位成以致界面是獨立於未命令的臂幾何改變而實施。 [0081] 依據揭示之具體態樣的一或更多個方面,基板處理工具設有如在此所述的基板輸送設備,並且具有預定結構,其與輸送臂或基板夾持器互動,並且配置成以致該互動是獨立於未命令的臂幾何改變而實施。 [0082] 依據揭示之具體態樣的一或更多個方面,基板處理工具包括: 框架; 驅動區,其連接於框架; 輸送臂,其在操作上可連接於驅動區,該臂是鉸接的並且具有末端實施器,而具有基板夾持器,其可在關於框架來沿著至少一運動軸而由輸送臂之鉸接所界定的輸送空間中、在第一位置和異於第一位置的第二位置之間而關於框架來移動;以及 控制器,其在操作上可連接於驅動區,如此以實施輸送臂的鉸接,該控制器建構成以驅動區而在相反於顯現臂下垂之方向的方向上來實施臂的運動,其補償臂下垂,如此以關於預定參考基準而大致消掉由於在第一位置和第二位置之間未命令的臂幾何改變所造成之整個未命令的臂位移距離。 [0083] 依據揭示之具體態樣的一或更多個方面,控制器具有臂下垂登記器,並且控制器從臂下垂登記器來決定輸送臂在第一位置和第二位置之間未命令的臂位移距離。 [0084] 依據揭示之具體態樣的一或更多個方面,驅動區和輸送臂建構成致使輸送臂的運動具有多於一個的自由度,並且臂下垂登記器描述遍及輸送臂之多於一個運動自由度所形成的輸送空間之未命令的臂位移距離。 [0085] 依據揭示之具體態樣的一或更多個方面,輸送臂可從多個不同且可互換的輸送臂來互換,如此以在與驅動區的連接處切換,每個可互換的臂具有不同的臂下垂特徵和關聯之對應的臂下垂登記器,該登記器描述關聯臂之未命令的臂位移距離。 [0086] 依據揭示之具體態樣的一或更多個方面,補償運動導致消掉輸送臂相對於預定參考基準的大致整個之未命令的臂位移距離,如此則在輸送空間中之預定地點的基板夾持器是在淨位置,而在顯現臂下垂的方向上,該位置則獨立於臂下垂。 [0087] 依據揭示之具體態樣的一或更多個方面,預定地點是基板處理工具中的基板目的地。 [0088] 依據揭示之具體態樣的一或更多個方面,控制器在相反於顯現臂下垂之方向的方向上實施輸送臂的運動,如此則基板夾持器完成運動而抵達大致在淨位置的預定地點。 [0089] 依據揭示之具體態樣的一或更多個方面,控制器在相反於顯現臂下垂之方向的方向上實施輸送臂的運動,而臂運動則使基板夾持器沿著具有時間最佳軌跡的最佳路徑而在第一位置和第二位置之間移動。 [0090] 依據揭示之具體態樣的一或更多個方面,方法包括: 提供基板輸送設備,其具有連接於框架的驅動區和在操作上可連接於驅動區的輸送臂,臂是鉸接的並且具有末端實施器,而具有基板夾持器,其可在關於框架來沿著至少一運動軸而由輸送臂之鉸接所界定的輸送空間中、在第一位置和異於第一位置的第二位置之間而關於框架來移動;以及 解析輸送臂由於未命令的臂幾何改變而在第一位置和第二位置之間未命令的臂位移距離,其中輸送臂在第一位置和第二位置之間未命令的臂位移距離是由臂下垂補償器的臂下垂登記器所決定,該補償器駐留在連接於驅動區以便實施輸送臂之鉸接的控制器內。 [0091] 依據揭示之具體態樣的一或更多個方面,控制器以驅動區來實施輸送臂在大小和方向上的補償運動,其補償並且解析輸送臂的大致整個之未命令的臂位移距離。 [0092] 依據揭示之具體態樣的一或更多個方面,臂下垂補償器具有臂下垂登記器,該方法進一步包括:從臂下垂距離登記器而以臂下垂補償器來決定輸送臂在第一位置和第二位置之間未命令的臂位移距離。 [0093] 依據揭示之具體態樣的一或更多個方面,控制器以驅動區來實施輸送臂在大小和方向上的補償運動,其補償並且解析輸送臂由臂下垂登記器所決定的大致整個之未命令的臂位移距離。 [0094] 依據揭示之具體態樣的一或更多個方面,補償運動導致消掉輸送臂相對於預定參考基準的大致整個之未命令的臂位移距離,如此則在輸送空間中之預定地點的基板夾持器是在淨位置,而在顯現未命令之臂幾何改變的方向上,該位置則獨立於未命令的臂幾何改變。 [0095] 依據揭示之具體態樣的一或更多個方面,預定地點是基板處理工具中的基板目的地。 [0096] 依據揭示之具體態樣的一或更多個方面,控制器實施補償運動,如此則基板夾持器完成運動而抵達大致在淨位置的預定地點。 [0097] 依據揭示之具體態樣的一或更多個方面,控制器實施補償運動,而臂運動則使基板夾持器沿著具有時間最佳軌跡的最佳路徑而在第一位置和第二位置之間移動。 [0098] 依據揭示之具體態樣的一或更多個方面,驅動區和輸送臂建構成致使輸送臂的運動具有多於一個的自由度,該方法進一步包括:以臂下垂登記來描述遍及輸送臂之多於一個運動自由度所形成的輸送空間之未命令的臂位移距離。 [0099] 依據揭示之具體態樣的一或更多個方面,輸送臂可從多個不同且可互換的輸送臂來互換,如此以在與驅動區的連接處切換,每個可互換的臂具有不同的臂下垂特徵和關聯之對應的臂下垂登記器,該登記器描述關聯臂之未命令的臂位移距離。 [0004] In accordance with one or more aspects of the disclosure, provided is a substrate transfer arm empirical droop mapping apparatus for a substrate transfer system of a processing tool. The mapping device includes: a frame; an interface configured on the frame to form a datum feature that represents a substrate transfer space in the processing tool defined by the substrate transfer system; a substrate transfer arm that is articulated and has a substrate holder, and mounted to the frame in predetermined relationship to at least one datum feature; and a registration system configured relative to the substrate transfer arm and the at least one datum feature such that due to a first arm position and a second arm position different from the first arm position The registration system registers the experienced arm droop distance in the arm droop distance register based on arm droop changes caused by the movement of the substrate holder in the transport space along at least one motion axis between positions. According to one or more aspects of the disclosure, the arm sag distance register is described at a first arm position and a second arm position and at a third arm position that is simultaneously different from the first and second arm positions. The empirical arm droop distance in which the substrate holder moves along at least one axis of motion. [0006] According to one or more aspects of the disclosed embodiments, the arm droop distance register is implemented to define a curve that describes the arm droop distance with respect to the position of the arm as the substrate holder moves along at least one axis of motion. change. [0007] In accordance with one or more aspects of the disclosure, a curve depicts arm droop variation with respect to arm position as a substrate holder moves along more than one different axis of motion that defines substrate transport Transfer plane or transfer volume in space. [0008] In accordance with one or more aspects of the disclosed aspects, a curve describes discrete arm droop distance changes with respect to arm position for substrate holder motion along each of more than one different axis of motion. [0009] According to one or more aspects of the disclosed embodiments, the arm drop distance register is implemented as a data lookup table or algorithm. According to one or more aspects of the disclosed embodiments, at least one axis of motion is an extension axis of the substrate transfer arm in at least each quadrant of the substrate transfer space surrounding the substrate transfer arm, or at least the substrate transfer arm The rotation axis, or at least the lifting axis of the substrate transfer arm. [0011] According to one or more aspects of the disclosed embodiments, the substrate transfer arm is mounted with a drive section having a coaxial drive spindle that drives movement of the arm. [0012] According to one or more aspects of the disclosed embodiments, the substrate transfer arm may be selected from a plurality of different and interchangeable transfer arms, each transfer arm having a different corresponding transfer arm registered by the registration system of the equipment. Arm sag distance registers, each register describing the empirical arm sag distance specific to the corresponding delivery arm. [0013] According to one or more aspects of the disclosure, a method includes: providing a frame having an interface disposed on the frame, the interface forming a datum feature representative of a substrate transport system defined by a processing tool a substrate transfer space in the processing tool; mounting a substrate transfer arm to the frame in a predetermined relationship to at least one datum feature, the substrate transfer arm being an articulated arm and having a substrate holder; and due to differences in the first arm position and Changes in arm sag caused by movement of the substrate holder in the transport space between the first arm position and the second arm position along at least one axis of motion are configured relative to the substrate transport arm and at least one datum feature. Register the system and register the experienced arm sag distance in the arm sag register. According to one or more aspects of the disclosure, the arm sag distance register is described at a first arm position and a second arm position and at a third arm position that is simultaneously different from the first and second arm positions. The empirical arm droop distance in which the substrate holder moves along at least one axis of motion. [0015] According to one or more aspects of the disclosed embodiments, the arm droop distance register is implemented to define a curve describing the arm droop distance with respect to the position of the arm as the substrate holder moves along at least one axis of motion. change. [0016] In accordance with one or more aspects of the disclosure, a curve depicts arm droop variation with respect to arm position as a substrate holder moves along more than one different axis of motion that defines substrate transport Transfer plane or transfer volume in space. [0017] In accordance with one or more aspects of the disclosed aspects, the curve describes discrete arm droop distance changes with respect to arm position for substrate holder motion along each of more than one different axis of motion. [0018] According to one or more aspects of the disclosed embodiments, the arm drop distance register is implemented as a data lookup table or algorithm. According to one or more aspects of the disclosed embodiments, at least one axis of motion is an extension axis of the substrate transfer arm in at least each quadrant of the substrate transfer space surrounding the substrate transfer arm, or at least the substrate transfer arm The rotation axis, or at least the lifting axis of the substrate transfer arm. [0020] According to one or more aspects of the disclosed embodiments, the substrate transfer arm is mounted with a drive section having a coaxial drive spindle that drives movement of the arm. [0021] According to one or more aspects of the disclosed embodiments, further comprising: selecting a substrate transfer arm from a plurality of different and interchangeable transfer arms, each transfer arm having a different corresponding registration by the registration system Arm sag distance registers, each register describing the empirical arm sag distance specific to the corresponding delivery arm. According to one or more aspects of the disclosed embodiments, a substrate transport apparatus includes: a frame; a drive area connected to the frame; a transfer arm operatively connectable to the drive area, the arm being articulated and having an end effector having a substrate holder operable in a transport space defined by articulation of the transport arm along at least one axis of movement with respect to the frame, in a first position and at a position different from the first position between the second position and moving relative to the frame; and a controller operatively connectable to the drive area so as to effect articulation of the conveyor arm, the controller including an arm sag compensator configured to cause the arm sag compensator to resolve The empirical droop distance of the conveyor arm between the first position and the second position due to droop of the conveyor arm. [0023] According to one or more aspects of the disclosed embodiments, the controller implements compensating movement of the conveyor arm in magnitude and direction with a drive region that compensates for and resolves substantially the entire empirical sag distance of the conveyor arm. According to one or more aspects of the disclosed embodiments, the compensator has an arm droop distance register, and the arm droop compensator determines between the first position and the second position of the delivery arm from the arm droop distance register. The experienced sag distance between. [0025] According to one or more aspects of the disclosed embodiments, the controller implements compensating movement of the conveyor arm in size and direction with a drive area, which compensates and resolves the conveyor arm as determined by the arm sag distance register. Approximately the entire experience sag distance. According to one or more aspects of the disclosure, the compensating motion results in the elimination of substantially the entire empirical sag distance of the transport arm relative to a predetermined reference datum, such that the substrate is clamped at a predetermined location in the transport space The device is in the net position and in the direction in which the display arm droops, which position is independent of the conveyor arm droop. [0027] In accordance with one or more aspects of the disclosed aspects, the predetermined location is a substrate destination in a substrate processing tool. [0028] In accordance with one or more aspects of the disclosed aspects, the controller performs a compensating movement such that the substrate holder completes the movement to a predetermined location approximately at a net position. [0029] In accordance with one or more aspects of the disclosed aspects, the controller implements compensating motion, and the arm motion causes the substrate holder to move along an optimal path with a temporally optimal trajectory between the first position and the second position. Move between two positions. [0030] According to one or more aspects of the disclosed aspects, the drive region and the transfer arm are configured such that movement of the transfer arm has more than one degree of freedom, and the arm sag distance register describes more than one degree of freedom throughout the transfer arm. The droop distance of the empirical arm in the transport space formed by one degree of freedom of movement. [0031] According to one or more aspects of the disclosed embodiments, the delivery arm is interchangeable from a plurality of different and interchangeable delivery arms, such that each interchangeable arm is switched at the connection with the drive region There are different arm droop characteristics and associated corresponding droop distance registers, which describe the empirical arm droop distance of the associated arm. [0032] In accordance with one or more aspects of the disclosed aspects, a substrate processing tool is provided with a substrate transport apparatus as described herein and has a substrate clamping station configured to interface with a predetermined location in the transport space. The substrates on the substrate holder form an interface, and the substrate clamping station is positioned so that the interface is implemented independently of the transport arm droop. [0033] According to one or more aspects of the disclosed aspects, a substrate processing tool is provided with a substrate transport device as described herein and has a predetermined structure that interacts with the transport arm or substrate holder and is configured to So that the interaction is performed independently of the conveyor arm drooping. According to one or more aspects of the disclosure, a substrate processing tool includes: a frame; a drive area connected to the frame; a transfer arm operatively connectable to the drive area, the arm being articulated and having an end effector having a substrate holder operable in a transport space defined by articulation of the transport arm along at least one axis of movement with respect to the frame, in a first position and at a position different from the first position between the second position and moving relative to the frame; and a controller operatively connectable to the drive zone so as to implement articulation of the conveyor arm, the controller configured to implement the arm drooping opposite to the display arm with the drive zone The arm droop is compensated for by movement in the direction of the arm droop such that the entire empirical arm droop distance due to the arm droop between the first position and the second position is substantially eliminated with respect to the predetermined reference datum. According to one or more aspects of the disclosed embodiments, the controller has an arm droop distance register, and the controller determines the position of the delivery arm between the first position and the second position from the arm droop distance register. Experience arm drop distance. [0036] According to one or more aspects of the disclosed aspects, the drive region and the transfer arm are configured such that movement of the transfer arm has more than one degree of freedom, and the arm sag distance register describes more than one degree of freedom throughout the transfer arm. The droop distance of the empirical arm in the transport space formed by one degree of freedom of movement. [0037] According to one or more aspects of the disclosed embodiments, the delivery arm is interchangeable from a plurality of different and interchangeable delivery arms, such that each interchangeable arm is switched at the connection with the drive region There are different arm droop characteristics and associated corresponding arm droop distance registers, which describe the empirical arm droop distance of the associated arm. According to one or more aspects of the disclosure, the compensating motion results in the elimination of substantially the entire empirical arm sag distance of the transport arm relative to a predetermined reference datum, such that the substrate clamp at a predetermined location in the transport space The holder is in the net position, and in the direction of the apparent arm droop, this position is independent of the arm droop. [0039] According to one or more aspects of the disclosed aspects, the predetermined location is a substrate destination in a substrate processing tool. According to one or more aspects of the disclosed embodiments, the controller implements movement of the transport arm in a direction opposite to the direction in which the display arm hangs down, such that the substrate holder completes the movement and reaches a substantially clear position. scheduled location. [0041] According to one or more aspects of the disclosed embodiments, the controller implements movement of the transfer arm in a direction opposite to a direction in which the display arm sag, and the arm movement causes the substrate holder to move along a time-optimized path. and moves between the first position and the second position along the optimal path of the optimal trajectory. According to one or more aspects of the disclosed embodiments, a method includes: providing a substrate transport apparatus having a drive area connected to a frame and a transport arm operatively connectable to the drive area, the arm being articulated and having an end effector having a substrate holder operable in a transport space defined by articulation of the transport arm along at least one axis of motion with respect to the frame, in a first position and a third position different from the first position. moving between the two positions with respect to the frame; and analyzing the empirical droop distance of the conveyor arm between the first position and the second position due to the droop of the conveyor arm, where the empirical droop distance of the conveyor arm between the first position and the second position is The distance is determined by the arm sag distance register of the arm sag compensator, which resides in a controller connected to the drive area to implement the articulation of the conveyor arm. [0043] According to one or more aspects of the disclosed embodiments, the controller implements compensating movement of the conveyor arm in magnitude and direction with a drive region that compensates for and resolves substantially the entire empirical sag distance of the conveyor arm. According to one or more aspects of the disclosed specific aspects, the arm droop compensator has an arm droop distance register, and the method further includes: using the arm droop compensator to determine the position of the delivery arm from the arm droop distance register. The empirical sag distance between the first and second positions. [0045] According to one or more aspects of the disclosed embodiments, the controller implements compensating movement of the conveyor arm in size and direction with a drive area, which compensates and resolves the conveyor arm as determined by the arm sag distance register. Approximately the entire experience sag distance. According to one or more aspects of the disclosure, the compensating motion results in the elimination of substantially the entire empirical sag distance of the transport arm relative to a predetermined reference datum, such that substrate clamping at a predetermined location in the transport space The device is in the net position, and in the direction showing the conveyor arm droop, this position is independent of the conveyor arm droop. [0047] In accordance with one or more aspects of the disclosed aspects, the predetermined location is a substrate destination in a substrate processing tool. [0048] In accordance with one or more aspects of the disclosed aspects, the controller performs compensating movement such that the substrate holder completes the movement to a predetermined location approximately at a net position. [0049] In accordance with one or more aspects of the disclosed aspects, the controller implements compensating motion, and the arm motion causes the substrate holder to move along an optimal path with a time-optimized trajectory at the first position and the second position. Move between two positions. [0050] According to one or more aspects of the disclosed embodiments, the drive area and the transfer arm are configured such that movement of the transfer arm has more than one degree of freedom, the method further comprising: described with an arm sag distance register The empirical arm sag throughout the conveyor space formed by more than one degree of freedom of movement of the conveyor arm. [0051] According to one or more aspects of the disclosed embodiments, the delivery arm is interchangeable from a plurality of different and interchangeable delivery arms, such that each interchangeable arm is switched at the connection with the drive region There are different arm droop characteristics and associated corresponding arm droop distance registers, which describe the empirical arm droop distance of the associated arm. [0052] In accordance with one or more aspects of the disclosed aspects, provided is a substrate transfer arm droop mapping apparatus for a substrate transfer system of a processing tool. The mapping device includes: a frame; an interface configured on the frame to form a datum feature that represents a substrate transport space in the processing tool defined by the substrate transport system; a substrate transport arm that is articulated and has a substrate holder, and mounted to the frame in a predetermined relationship to at least one datum feature; and a registration system configured relative to the substrate transfer arm and the at least one datum feature such that due to a first arm position and a second arm position different from the first arm position, The registration system registers uncommanded arm displacement distances in the arm sag register between arm positions and uncommanded arm geometry changes caused by movement of the substrate holder in the transport space along at least one axis of motion. According to one or more aspects of the disclosure, an empirical arm sag distance is described between a first arm position and a second arm position and at a third arm position that is simultaneously different from the first and second arm positions. An uncommanded arm displacement distance in which the substrate holder moves along at least one axis of motion. [0054] In accordance with one or more aspects of the disclosed aspects, the arm sag register is implemented to define a curve describing uncommanded arm displacement distance variation with respect to arm position, wherein the substrate holder moves along at least one axis to move. [0055] In accordance with one or more aspects of the disclosure, a curve depicts uncommanded arm displacement distance variation with respect to arm position where the substrate holder moves along more than one different axis of motion, the motion The axis defines a transfer plane or transfer volume in the substrate transport space. In accordance with one or more aspects of the disclosure, a curve depicts discrete and uncommanded arm displacement distances with respect to arm position for substrate holder motion along each of more than one different axis of motion. change. [0057] In accordance with one or more aspects of the disclosed embodiments, the arm drop register is implemented as a data lookup table or algorithm. According to one or more aspects of the disclosure, at least one axis of motion is an extension axis of the substrate transfer arm, or at least the substrate transfer arm, in at least each quadrant of the substrate transfer space surrounding the substrate transfer arm. The rotation axis, or at least the lifting axis of the substrate transfer arm. [0059] According to one or more aspects of the disclosed embodiments, the substrate transfer arm is mounted with a drive section having a coaxial drive spindle that drives movement of the arm. [0060] According to one or more aspects of the disclosed aspects, the substrate transfer arm may be selected from a plurality of different and interchangeable transfer arms, each transfer arm having a different corresponding transfer arm registered by the registration system of the equipment. Arm sag registers, each register describing an uncommanded arm displacement distance specific to the corresponding conveyor arm. [0061] According to one or more aspects of the disclosure, a method includes: providing a frame having an interface disposed on the frame, the interface forming a datum feature representing a process defined by a substrate transport system of a process tool a substrate transfer space in the tool; mounting a substrate transfer arm to the frame in a predetermined relationship to at least one datum feature, the substrate transfer arm being an articulated arm and having a substrate holder; and Uncommanded arm geometry changes between one arm position and a second arm position and caused by movement of the substrate holder in the transport space along at least one axis of motion are calculated relative to the substrate transport arm and at least one datum feature. The configured registration system registers the uncommanded arm displacement distance in the arm sag register. [0062] In accordance with one or more aspects of the disclosure, an arm drop register is described between a first arm position and a second arm position and a third arm position that is simultaneously different from the first and second arm positions. An uncommanded arm displacement distance in which the substrate holder moves along at least one axis of motion. [0063] In accordance with one or more aspects of the disclosed aspects, the arm sag register is implemented to define a curve describing uncommanded arm displacement distance variation with respect to arm position, wherein the substrate holder moves along at least one axis to move. [0064] In accordance with one or more aspects of the disclosure, the curve describes uncommanded arm displacement distance variation with respect to arm position where the substrate holder moves along more than one different axis of motion, the motion The axis defines a transfer plane or transfer volume in the substrate transport space. According to one or more aspects of the disclosure, a curve depicts discrete and uncommanded arm displacement distances with respect to arm position for substrate holder motion along each of more than one different axis of motion change. [0066] In accordance with one or more aspects of the disclosed embodiments, the arm drop register is implemented as a data lookup table or algorithm. According to one or more aspects of the disclosure, at least one axis of motion is an extension axis of the substrate transfer arm, or at least the substrate transfer arm, in each quadrant of the substrate transfer space surrounding the substrate transfer arm. The rotation axis, or at least the lifting axis of the substrate transfer arm. [0068] In accordance with one or more aspects of the disclosed aspects, the substrate transfer arm is mounted with a drive section having a coaxial drive spindle that drives movement of the arm. [0069] According to one or more aspects of the disclosed embodiments, the method further includes selecting a substrate transfer arm from a plurality of different and interchangeable transfer arms, each transfer arm having a different transfer arm registered by the registration system. Corresponding arm sag registers, each register describing an uncommanded arm displacement distance specific to the corresponding conveyor arm. According to one or more aspects of the disclosed embodiments, a substrate transport apparatus includes: a frame; a drive area connected to the frame; a transfer arm operatively connectable to the drive area, the arm being articulated and having an end effector having a substrate holder operable in a transport space defined by articulation of the transport arm along at least one axis of motion with respect to the frame in a first position and a second position different from the first position between positions while moving with respect to the frame; and a controller operatively connectable to the drive area so as to effect articulation of the conveyor arm, the controller including an arm sag compensator configured to cause the arm sag compensator to resolve the conveyor The uncommanded arm displacement distance of the arm due to uncommanded changes in arm geometry between the first position and the second position. [0071] According to one or more aspects of the disclosed embodiments, the controller implements compensating movement of the conveyor arm in magnitude and direction with a drive region that compensates for and resolves substantially the entire uncommanded arm displacement of the conveyor arm distance. According to one or more aspects of the disclosure, the compensator has an arm droop register, and the arm droop compensator determines from the arm droop register whether the transfer arm is between the first position and the second position. Commanded arm displacement distance. [0073] According to one or more aspects of the disclosed embodiments, the controller implements compensating movement of the conveyor arm in magnitude and direction with a drive region that compensates for and resolves changes in the conveyor arm as determined by the arm sag register. Approximately the entire uncommanded arm displacement distance. [0074] According to one or more aspects of the disclosure, the compensating motion results in canceling substantially all of the uncommanded arm displacement distance of the conveyor arm relative to a predetermined reference datum, such that at a predetermined location in the conveyor space The substrate holder is in a net position independent of uncommanded arm geometry changes in the direction exhibiting uncommanded arm displacement. [0075] In accordance with one or more aspects of the disclosed aspects, the predetermined location is a substrate destination in a substrate processing tool. [0076] In accordance with one or more aspects of the disclosed aspects, the controller performs compensating movement such that the substrate holder completes the movement to a predetermined location approximately at a net position. [0077] In accordance with one or more aspects of the disclosed aspects, the controller implements compensating motion and the arm motion causes the substrate holder to move in the first and second positions along an optimal path with a time-optimal trajectory. Move between two positions. [0078] According to one or more aspects of the disclosed aspects, the drive region and the transfer arm are configured such that movement of the transfer arm has more than one degree of freedom, and the arm sag register describes more than one degree of freedom throughout the transfer arm. The uncommanded arm displacement distance in the conveying space formed by the degree of freedom of movement. [0079] According to one or more aspects of the disclosed embodiments, the delivery arm is interchangeable from a plurality of different and interchangeable delivery arms, such that each interchangeable arm is switched at the connection with the drive region There are different arm sag characteristics and associated corresponding sag registers that describe the uncommanded arm displacement distance of the associated arm. [0080] In accordance with one or more aspects of the disclosed aspects, a substrate processing tool is provided with a substrate transport apparatus as described herein and has a substrate clamping station configured to interface with a predetermined location in the transport space. The substrate on the substrate holder forms an interface, and the substrate holding station is positioned so that the interface is implemented independently of uncommanded arm geometry changes. [0081] According to one or more aspects of the disclosed aspects, a substrate processing tool is provided with a substrate transport device as described herein and has a predetermined structure that interacts with the transport arm or substrate holder and is configured to So that the interaction is performed independently of uncommanded arm geometry changes. According to one or more aspects of the disclosure, a substrate processing tool includes: a frame; a drive area connected to the frame; a transfer arm operatively connectable to the drive area, the arm being articulated and having an end effector having a substrate holder operable in a transport space defined by articulation of the transport arm along at least one axis of motion with respect to the frame, in a first position and a third position different from the first position. moving between the two positions with respect to the frame; and a controller operatively connectable to the drive area so as to implement the articulation of the conveyor arm, the controller being constructed with the drive area in a direction opposite to the direction in which the display arm hangs down Movement of the arm is performed in a direction that compensates for arm sag such that approximately the entire uncommanded arm displacement distance due to uncommanded arm geometry changes between the first position and the second position is eliminated with respect to a predetermined reference datum. According to one or more aspects of the disclosure, the controller has an arm droop register, and the controller determines from the arm droop register an uncommanded position of the delivery arm between the first position and the second position. Arm displacement distance. [0084] According to one or more aspects of the disclosed aspects, the drive region and the transfer arm are configured such that movement of the transfer arm has more than one degree of freedom, and the arm sag register describes more than one degree of freedom throughout the transfer arm. The uncommanded arm displacement distance in the conveying space formed by the degree of freedom of movement. [0085] According to one or more aspects of the disclosed embodiments, the delivery arm is interchangeable from a plurality of different and interchangeable delivery arms, such that each interchangeable arm is switched at the connection with the drive region There are different arm sag characteristics and associated corresponding arm sag registers that describe uncommanded arm displacement distances of the associated arms. [0086] According to one or more aspects of the disclosure, the compensating motion results in canceling substantially all of the uncommanded arm displacement distance of the conveyor arm relative to a predetermined reference datum, such that at a predetermined location in the conveyor space The substrate holder is in a net position independent of the arm droop in the direction of the display arm droop. [0087] According to one or more aspects of the disclosed aspects, the predetermined location is a substrate destination in a substrate processing tool. According to one or more aspects of the disclosed embodiments, the controller implements movement of the transport arm in a direction opposite to the direction in which the display arm hangs down, such that the substrate holder completes the movement and reaches a substantially clear position. scheduled location. [0089] According to one or more aspects of the disclosed embodiments, the controller implements movement of the transfer arm in a direction opposite to a direction in which the display arm sag, and the arm movement causes the substrate holder to move along a time-optimized path. and moves between the first position and the second position along the optimal path of the optimal trajectory. [0090] According to one or more aspects of the disclosed embodiments, a method includes: providing a substrate transport apparatus having a drive area connected to a frame and a transfer arm operatively connectable to the drive area, the arm being articulated and having an end effector having a substrate holder operable in a transport space defined by articulation of the transport arm along at least one axis of motion with respect to the frame, in a first position and a third position different from the first position. moving about the frame between two positions; and resolving the uncommanded arm displacement distance of the conveyor arm between the first position and the second position due to uncommanded arm geometry changes, where the conveyor arm is in the first position and the second position The uncommanded arm displacement distance is determined by the arm sag register of the arm sag compensator, which resides in a controller connected to the drive area to implement the articulation of the conveyor arm. [0091] According to one or more aspects of the disclosed embodiments, the controller implements compensating movement of the conveyor arm in magnitude and direction with a drive region that compensates for and resolves substantially the entire uncommanded arm displacement of the conveyor arm distance. [0092] According to one or more aspects of the disclosed specific aspects, the arm droop compensator has an arm droop register, and the method further includes: using the arm droop compensator to determine the position of the delivery arm at the first position from the arm droop distance register. The uncommanded arm displacement distance between the first position and the second position. [0093] According to one or more aspects of the disclosed embodiments, the controller implements compensating movement of the conveyor arm in magnitude and direction with a drive region that compensates for and resolves the approximate movement of the conveyor arm as determined by the arm sag register. The entire uncommanded arm displacement distance. [0094] According to one or more aspects of the disclosure, the compensating motion results in canceling substantially all of the uncommanded arm displacement distance of the conveyor arm relative to a predetermined reference datum, such that at a predetermined location in the conveyor space The substrate holder is in a net position independent of the uncommanded arm geometry change in the direction exhibiting the uncommanded arm geometry change. [0095] According to one or more aspects of the disclosed aspects, the predetermined location is a substrate destination in a substrate processing tool. [0096] In accordance with one or more aspects of the disclosed aspects, the controller performs compensating movement such that the substrate holder completes the movement to a predetermined location approximately at a net position. [0097] In accordance with one or more aspects of the disclosed aspects, the controller implements compensating motion, and the arm motion causes the substrate holder to move along an optimal path with a time-optimized trajectory between the first position and the second position. Move between two positions. [0098] According to one or more aspects of the disclosed embodiments, the drive region and the conveyor arm are configured such that movement of the conveyor arm has more than one degree of freedom, the method further comprising: describing the entire conveyor in terms of arm droop registration The uncommanded arm displacement distance in the conveying space formed by the arm having more than one degree of freedom of movement. [0099] According to one or more aspects of the disclosed embodiments, the delivery arm is interchangeable from a plurality of different and interchangeable delivery arms, such that each interchangeable arm is switched at the connection with the drive region There are different arm sag characteristics and associated corresponding arm sag registers that describe uncommanded arm displacement distances of the associated arms.

[0101] 圖1A~1M是依據揭示之具體態樣的諸方面之基板處理設備的示意圖。雖然將參考圖式來描述揭示之具體態樣的諸方面,但是應了解揭示之具體態樣的諸方面可以用許多形式來實現。附帶而言,或可使用任何適合之尺寸、形狀或類型的元件或材料。 [0102] 揭示之具體態樣的諸方面提供實施輸送臂位置補償的方法和設備,如此則輸送臂的末端實施器和上面承載的基板大致沿著晶圓輸送平面來延伸,但不隨著輸送臂延伸而實質偏離晶圓輸送平面。舉例而言,也參見圖4D,基板S沿著預定參考基準平面(在此稱為轉移平面TP)而在基板處理設備內運行。於一方面,轉移平面TP舉例而言是水平面,其對應於由輸送臂所夾持而輸送臂收縮時之基板S的平面;而於其他方面,輸送平面TP可以對應於穿過狹縫閥的路徑或基板處理設備內之任何適合的基板夾持地點。於一方面,輸送平面TP延伸或跨越例如輸送臂所在的整個基板輸送室。 [0103] 於一方面,轉移平面TP對齊於基板輸送室的狹縫閥SV,並且至少部分界定基板S穿過狹縫閥SV到基板處理工具之不同部分所沿著運行的平面。舉例而言,隨著輸送臂315(以及其他在此所述的輸送臂)延伸以輸送基板S而穿過狹縫閥SV,輸送臂彎折或下垂,使得基板S的位置以下垂距離DRP而偏離於輸送平面。注意「下垂」(droop)一詞在此為了方便而用來描述部分輸送臂從參考基準平面(例如晶圓轉移平面TP)的未命令之總/未激發的Z方向位移或「垂下」(sag)。 [0104] 輸送臂315的下垂距離DRP取決於多個因素。舉例而言,下垂距離DRP可以是以下一或更多者的組合:輸送臂315連桿由於實施在彈性臂構件上的負載(譬如基板和/或臂連桿之重量)、驅動區200和/或輸送臂酬載所造成的彎曲而偏折;來自驅動負載而實施在輸送臂上的彎曲和扭轉;以及來自輸送臂315關節的旋轉軸T1、T2、T3等關於水平面(例如轉移平面TP)之非正交變異性和/或驅動區200的驅動心軸關於彼此和轉移平面TP之非正交性的運動效應。 [0105] 如所可理解,造成的下垂距離DRP是隨著以下而可變的:輸送臂315沿著延伸軸R的延伸位置、輸送臂繞著軸θ而在方向T的旋轉、輸送臂沿著Z軸的舉升或Z位置、以及例如臂溫度的其他環境因素(注意輸送臂[包括驅動區]之臂連桿和其他構件的熱膨脹和收縮)。如上所述,增加例如處理設備100A、100B、100C、100D、100E、100F、100G、100H之基板處理設備的產出率則對可允許的下垂造成尺寸侷限。舉例而言,為了增加產出率,狹縫閥SV孔洞的高度SVH可以做得較小以減少開啟和關閉狹縫閥的時間量。這減少的高度SVH減少了輸送設備315的末端實施器和狹縫閥SV之間的淨空量(譬如夾持在末端實施器上的基板和狹縫閥之間的淨空、末端實施器的腕關節和狹縫閥之間的淨空等),特別是在由於製程模組排列和輸送臂/末端實施器架構的情形(譬如短末端實施器腕W要移動部分腕W所延伸穿過狹縫閥的距離──注意腕W是末端實施器耦合於輸送臂之其餘者的關節)。於另一方面,想要減少在末端實施器和基板夾持站之間的Z行程以減少基板遞交時間。這減少的Z行程要求將末端實施器和上面的基板放置得較靠近基板夾持站。 [0106] 如上所述,下垂距離DRP是由線性和高度非線性因素的組合所界定,如此則以古典分析手段來預測下垂距離DRP就不恰當。揭示之具體態樣的諸方面提供基板輸送臂下垂測繪設備2000(見圖4A~4C),其建構成在輸送臂315在R方向延伸、組合了臂沿著當中操作輸送臂315的處理設備100A、100B、100C、100D、100E、100F、100G、100H之不同延伸和收縮軸、在T方向之旋轉指向的期間來測繪下垂距離DRP。揭示之具體態樣的諸方面也提供輸送設備和輸送設備所在的基板處理設備,其建構成在輸送設備的操作期間來補償臂下垂。揭示之具體態樣的諸方面也提供操作基板處理設備和當中配置之輸送設備以補償臂下垂的方法。揭示之具體態樣的諸方面提供輸送設備之末端實施器和上面基板有增加的放置精確度,如此則增加基板處理設備的產出率。 [0107] 顯示的是依據揭示之具體態樣的諸方面之處理設備100A、100B、100C、100D、100E、100F、100G、100H,舉例而言例如半導體工具站。雖然圖式顯示半導體工具站,但在此所述的揭示之具體態樣的諸方面可以應用於任何工具站或採用機器人操控器的應用。於一方面,處理設備100A、100B、100C、100D、100E、100F顯示成具有叢集式工具排列(譬如具有連接於中央室的基板夾持站);而於其他方面,處理設備可以是線性排列工具100G、100H,如2013年3月19日頒發之標題為「線性分布的半導體工件處理工具」的美國專利第8,398,355號所述(其揭示整個併於此以為參考);然而,揭示之具體態樣的諸方面可以應用於任何適合的工具站。設備100A、100B、100C、100D、100E、100F、100G、100H一般而言包括:大氣前端101;至少一真空載入鎖定室102、102A、102B、102C;以及真空後端103。至少一真空載入鎖定室102、102A、102B、102C可以採取任何適合的排列而耦合於前端101和/或後端103之任何適合的(多個)埠或(多個)開口。舉例而言,於一方面,一或更多個載入鎖定室102、102A、102B、102C可以排列於共同的水平面而呈並肩排列,如圖1B~1D和1G~1K所可見。於其他方面,一或更多個載入鎖定室可以排列成格子形式,使得至少二個載入鎖定室102A、102B、102C、102D排成列(譬如具有隔開的水平面)和欄(譬如具有隔開的垂直面),如圖1E所示。於另外其他方面,一或更多個載入鎖定室可以是單一線上的載入鎖定室102,如圖1A所示。於又一方面,至少一載入鎖定室102、102E可以排列成堆疊的線上排列,如圖1F所示。應了解雖然載入鎖定室示範在輸送室125A、125B、125C、125D的末端100E1或面100F1上,不過於其他方面,一或更多個載入鎖定室可以排列在輸送室125A、125B、125C、125D的任何多側100S1、100S2或末端100E1、100E2或面100F1-100F8上。至少一載入鎖定室的每一者也可以包括一或更多個晶圓/基板停留平面WRP(圖1F),其中基板夾持在個別載入鎖定室內的適合支撐件上。於其他方面,工具站可以具有任何適合的架構。前端101和至少一載入鎖定室102、102A、102B、102C和後端103之每一者的構件可以連接於控制器110,其可以是任何適合之控制架構的一部分,舉例而言例如叢集式架構控制。控制系統可以是閉路控制器,其具有主控制器(其於一方面可以是控制器110)、叢集控制器和自主遙控器,例如2011年3月8日頒發之標題為「可縮放的運動控制系統」的美國專利第7,904,182號所揭示的,其揭示整個併於此以為參考。於其他方面,可以利用任何適合的控制器和/或控制系統。 [0108] 於一方面,前端101一般而言包括載入埠模組105和迷你環境106,舉例而言例如設備前端模組(equipment front end module,EFEM)。載入埠模組105可以是開箱器/載入器對工具標準(box opener/loader to tool standard, BOLTS)界面而符合300毫米載入埠的SEMI標準E15.1、E47.1、E62、E19.5或E1.9,或是前開式或底開式箱/莢和卡匣。於其他方面,載入埠模組可以建構成200毫米晶圓/基板界面、450毫米晶圓/基板界面、或任何其他適合的基板界面,舉例而言例如更大或更小的半導體晶圓/基板、用於平面顯示器的平坦面板、太陽能面板、光罩或任何其他適合的物體。雖然三個載入埠模組105顯示於圖1A~1D、1J、1K,但是於其他方面,任何適合數目的載入埠模組可以併入前端101。載入埠模組105可以建構成從高架輸送系統、自動導引車輛、人員導引車輛、軌道導引車輛或從任何其他適合的輸送方法來接收基板載體或卡匣C。載入埠模組105可以透過載入埠107而與迷你環境106形成界面。載入埠107可以允許基板在基板卡匣和迷你環境106之間通過。迷你環境106一般而言包括任何適合的轉移機器人108,其可以併入在此所述的揭示之具體態樣的一或更多個方面。於一方面,機器人108可以是軌道安裝的機器人,舉例而言例如描述於1999年12月14日頒發的美國專利第6,002,840號、2013年4月16日頒發的美國專利第8,419,341號、2010年1月19年頒發的美國專利第7,648,327號,其揭示整個併於此以為參考。於其他方面,機器人108可以大致類似於在此關於後端103所述者。迷你環境106可以提供受控制的潔淨區以讓基板在多個載入埠模組之間轉移。 [0109] 至少一真空載入鎖定室102、102A、102B、102C可以位在迷你環境106和後端103之間並且連接於迷你環境106和後端103。於其他方面,載入埠105可以大致直接耦合於至少一載入鎖定室102、102A、102B、102C或輸送室125A、125B、125C、125D、125E、125F,其中基板載體C被抽到輸送室125A、125B、125C、125D的真空,並且基板在基板載體C和載入鎖定室或轉移室之間直接轉移。就這方面而言,基板載體C的功能可以在於作為載入鎖定室,使得輸送室的處理真空延伸到基板載體C內。如所可理解,在基板載體C透過適合的載入埠而大致直接耦合於載入鎖定室的情形,任何適合的轉移設備可以設置在載入鎖定室內或者另外具有對載體C的存取以轉移基板來往於基板載體C。注意如在此所用的真空一詞可以表示當中處理基板的高真空,例如10 -5托耳或以下。至少一載入鎖定室102、102A、102B、102C一般而言包括大氣和真空狹縫閥。載入鎖定室102、102A、102B(以及處理站130)的狹縫閥可以提供環境隔離,其用於在從大氣前端載入基板之後排空載入鎖定室,並且當以惰性氣體(例如氮氣)來對鎖定室通氣時維持輸送室中的真空。如將在此所述,處理設備100A、100B、100C、100D、100E、100F(以及線性處理設備100G、100H)的狹縫閥可以位在相同的平面、不同的垂直堆疊平面、或位在相同平面之狹縫閥和位在不同垂直堆疊平面之狹縫閥的組合(如上面相對於載入埠所述),以通融基板轉移而來往於至少處理站130和耦合於輸送室125A、125B、125C、125D、125E、125F的載入鎖定室102、102A、102B、102C。至少一載入鎖定室102、102A、102B、102C(和/或前端101)也可以包括對齊器,以將基板的基準對齊於做處理所想要的位置或任何其他適合的基板度量設備。於其他方面,真空載入鎖定室可以位在處理設備之任何適合的地點並且具有任何適合的架構。 [0110] 真空後端103一般而言包括:輸送室125A、125B、125C、125D、125E、125F;一或更多個處理站或模組130;以及任何適合數目的輸送單元模組104,其所包括的一或更多個輸送機器人可以包括在此所述的揭示之具體態樣的一或更多個方面。輸送室125A、125B、125C、125D、125E、125F可以具有任何適合的形狀和尺寸,其舉例而言符合SEMI標準E72準則。下面將描述(多個)輸送單元模組104和一或更多個輸送機器人,其可以至少部分位在輸送室125A、125B、125C、125D、125E、125F內,以在載入鎖定室102、102A、102B、120C(或位在載入埠的卡匣C)和多樣的處理站130之間來輸送基板。於一方面,輸送單元模組104可以從輸送室125A、125B、125C、125D、125E、125F移除而成模組單元,使得輸送單元模組104符合SEMI標準E72準則。 [0111] 處理站130可以透過多樣的沉積、蝕刻或其他類型的過程而在基板上操作,以在基板上形成電路或其他想要的結構。典型的過程包括但不限於使用真空的薄膜過程,例如電漿蝕刻或其他蝕刻過程、化學氣相沉積(chemical vapor deposition, CVD)、電漿氣相沉積(plasma vapor deposition, PVD)、例如離子佈植的植入、度量、快速熱處理(rapid thermal processing, RTP)、乾條式原子層沉積(atomic layer deposition, ALD)、氧化/擴散、形成氮化物、真空微影術、磊晶(epitaxy, EPI)、打線接合和蒸鍍,或是其他使用真空壓力的薄膜過程。處理站130是以任何適合的方式(例如經由狹縫閥SV)而可連通的連接於輸送室125A、125B、125C、125D、125E、125F,以允許基板從輸送室125A、125B、125C、125D、125E、125F通到處理站130,反之亦然。輸送室125A、125B、125C、125D、125E、125F的狹縫閥SV可以排列成允許連接雙重(譬如多於一個的基板處理室位在共同的殼罩內)或並肩的處理站130T1-130T8、單一處理站130S和/或堆疊的製程模組/載入鎖定室(圖1E和1F)。 [0112] 注意當輸送單元模組104的一或更多個臂沿著輸送單元模組104的延伸收縮軸R而對齊於預定處理站130時,可以發生基板轉移而來往於處理站130和耦合於轉移室125A、125B、125C、125D、125E、125F的載入鎖定室102、102A、102B、102C(或卡匣C)。依據揭示之具體態樣的諸方面,一或更多個基板可以單獨或大致同時的轉移到個別的預定處理站130,例如當從並肩或前後的處理站拾取/放置基板時,如圖1B、1C、1D、1G~1K所示。於一方面,輸送單元模組104可以安裝在懸吊臂143上(見譬如圖1D和1G~1I),其中懸吊臂143具有單一懸吊連桿或多個懸吊連桿121、122或線性攜載器144,例如2013年10月18日申請之標題為「處理設備」的美國專利臨時申請案第61/892,849號、2013年11月15日申請之標題為「處理設備」的美國專利臨時申請案第61/904,908號、2013年2月11日申請之標題為「基板處理設備」的國際專利申請案第PCT/US13/25513號所述的,其揭示整個併於此以為參考。 [0113] 現參見圖1L,顯示的是線性晶圓處理系統100G的示意平面圖,其中工具界面區2012安裝到輸送室模組3018,如此則界面區2012一般而言面向(譬如往內)但偏移於輸送室3018的縱軸X。輸送室模組3018可以藉由將其他輸送室模組3018A、3018I、3018J附接到界面2050、2060、2070而在任何適合的方向上延伸,如美國專利第8,398,355號所述,其先前併於此以為參考。每個輸送室模組3018、3018A、3018I、3018J包括任何適合的晶圓輸送器2080,其可以包括在此所述的揭示之具體態樣的一或更多個方面,以將晶圓輸送遍及處理系統100G以及舉例而言進出處理模組PM。如所可理解,每個室模組可以能夠維持隔離或控制的氣氛(譬如N 2、潔淨空氣、真空)。 [0114] 參見圖1M,顯示的是範例性處理工具100H的示意圖,其例如可以是沿著線性輸送室416的縱軸X。於圖1M所示的揭示之具體態樣的方面,工具界面區12可以代表性連接於輸送室416。就這方面而言,界面區12可以界定工具輸送室416的一末端。如圖1M所見,輸送室416可以具有另一個工件進出站412,其舉例而言在相反於界面站12的末端。於其他方面,可以設置其他進出站以從輸送室來插入/移除工件。於一方面,界面區12和進出站412可以允許從工具載入和卸除工件。於其他方面,工件可以從一末端載入工具內並且從另一末端而自工具移除。於一方面,輸送室416可以具有一或更多個轉移室模組18B、18i。每個室模組可以能夠維持隔離或控制的氣氛(譬如N 2、潔淨空氣、真空)。如之前所注意,圖1M所示之輸送室模組18B、18i和載入鎖定室模組56A、56及形成輸送室416之工件站的架構/排列僅為範例性;並且於其他方面,輸送室可以具有更多或更少的模組,其以任何想要的模組排列來配置。於所示方面,站412可以是載入鎖定室。於其他方面,載入鎖定室模組可以位在末端進出站(其類似於站412)之間,或者鄰接的輸送室模組(其類似於模組18i)可以建構成操作為載入鎖定室。 [0115] 也如之前所注意,輸送室模組18B、18i具有位在當中之一或更多個對應的輸送設備26B、26i,其可以包括在此所述的揭示之具體態樣的一或更多個方面。個別輸送室模組18B、18i的輸送設備26B、26i可以合作以於輸送室中提供線性分布的工件輸送系統420。就這方面而言,輸送設備26B可以具有一般的選擇性順服鉸接式機器手臂(selective compliant articulated robot arm,SCARA)臂架構(雖然於其他方面,輸送臂可以具有任何其他想要的排列,如下所述)。 [0116] 於圖1M所示的揭示之具體態樣的方面,輸送設備26B的臂和/或末端實施器可以排列成提供可以稱為快速切換的排列,其允許輸送器從拾取/放置地點來快速切換晶圓。輸送臂26B可以具有任何適合的驅動區(譬如同軸排列的驅動軸桿、並肩式驅動軸桿、水平相鄰的馬達、垂直堆疊的馬達等),以提供每個臂有任何適合數目的自由度(譬如繞著肩和肘關節的獨立旋轉而有Z軸運動)。如圖1M所見,在這方面,模組56A、56、30i可以插在轉移室模組18B、18i之間,並且界定適合的處理模組、(多個)載入鎖定室、(多個)緩衝站、(多個)度量站或任何其他想要的(多個)站。舉例而言,插入型模組(例如載入鎖定室56A、56和工件站30i)各具有靜止的工件支撐件/擱架56S、56S1、56S2、30S1、30S2,其與輸送臂合作以沿著輸送室的線性軸X而經過輸送室的長度來實施工件輸送。舉例來說,(多個)工件可以由界面區12而載入輸送室416內。(多個)工件可以用界面區的輸送臂15而定位在載入鎖定室模組56A的(多個)支撐件上。載入鎖定室模組56A中的(多個)工件可以藉由模組18B中的輸送臂26B而在載入鎖定室模組56A和載入鎖定室模組56之間移動,並且以類似和接連方式而用臂26i(在模組18i中)在載入鎖定室56和工件站30i之間移動,以及用模組18i中的臂26i而在站30i和站412之間移動。這過程可以整個或部分逆轉以在相反方向上移動(多個)工件。因此,於一方面,工件可以沿著軸X而在任何方向上移動以及沿著輸送室而移動到任何位置,並且可以載入和卸除自連通於輸送室之任何想要的模組(其做處理或別的事)。於其他方面,具有靜止工件支撐件或擱架的插入型輸送室模組可不設置在輸送室模組18B、18i之間。於此種方面,鄰接輸送室模組的輸送臂可以將工件直接從末端實施器或一輸送臂遞給另一輸送臂的末端實施器以使工件移動穿過輸送室。處理站模組可以透過多樣的沉積、蝕刻或其他類型的處理而在晶圓上作業,以在晶圓上形成電路或其他想要的結構。處理站模組連接於輸送室模組以允許晶圓從輸送室通往處理站,並且反之亦可。具有類似於圖1D所示之處理設備的一般特徵之處理工具的適合範例則描述於美國專利第8,398,355號,其先前整個併入以為參考。 [0117] 現參見圖2A、2B、2C、2D,於一方面,輸送單元模組104包括至少一驅動區200、200A、200B、200C和至少一輸送臂部分,其具有至少一輸送臂,例如下述的輸送臂314、315、316、317、318。輸送臂314、315、316、317、318可以在任何適合的連接CNX、以任何適合的方式而耦合於驅動區200、200A~200C的驅動軸桿,如此則驅動軸桿的旋轉實施如在此所述之輸送臂314、315、316、317、318的移動。如下所將描述,於一方面,輸送臂314、315、316、317、318可從多個不同且可互換的輸送臂314、315、316、317、318來互換,如此以在與驅動區的連接CNX來切換,其中每個可互換的臂314、315、316、317、318具有不同的下垂特徵和關聯之對應的下垂距離登記器700(見圖7),該登記器描述關聯之輸送臂314、315、316、317、318的臂下垂距離。 [0118] 至少一驅動區200、200A、200B、200C安裝到處理設備100A~100H之任何適合的框架。於一方面,如上所注意,輸送單元模組104可以採取任何適合的方式而安裝到線性滑動器144或懸吊臂143,其中線性滑動器和/或懸吊臂143具有大致類似於在此所述之驅動區200、200A、200B、200C的驅動區。至少一驅動區200、200A、200B、200C可以包括共同驅動區,其包括框架200F,框架容罩了Z軸驅動器270和旋轉驅動區282中的一或更多者。框架200F的內部200FI可以採取任何適合的方式來密封,如下所將描述。於一方面,Z軸驅動器可以是任何適合的驅動器,其建構成沿著Z軸來移動至少一轉移臂300、301。Z軸驅動器在圖2A示範成螺桿型驅動器,但是於其他方面,驅動器可以是任何適合的線性驅動器,例如線性致動器、壓電馬達等。旋轉驅動區282可以建構成任何適合的驅動區,舉例而言例如和諧驅動區。舉例而言,旋轉驅動區282可以包括任何適合數目的同軸排列之和諧驅動的馬達280,例如圖2B可以看到驅動區282包括三個同軸排列之和諧驅動的馬達280、280A、280B。於其他方面,驅動區282的驅動器位置可以是並肩和/或同軸排列。於一方面,圖2A所示的旋轉驅動區282包括用於驅動軸桿280S之一和諧驅動的馬達280;然而,於其他方面,驅動區可以包括任何適合數目的和諧驅動馬達280、280A、280B(圖2B),其舉例而言對應於同軸驅動器系統中之任何適合數目的驅動軸桿280S、280AS、280BS(圖2B)。和諧驅動的馬達280可以具有高容量輸出軸承,使得含鐵流體性密封276、277的構件居中並且至少部分由和諧驅動馬達280所支撐,而在輸送單元模組104之所想要的旋轉T和延伸R移動期間則有足夠的穩定性和淨空。注意含鐵流體性密封276、277可以包括幾個零件,其形成大致同心的同軸密封,如下所將描述。於這範例,旋轉驅動區282包括容罩一或更多個驅動馬達280的殼罩281,其可以大致類似於上述和/或美國專利第6,845,250、5,899,658、5,813,823、5,720,590號所述,其揭示整個併於此以為參考。含鐵流體性密封276、277可以具有公差以將每個驅動軸桿280S、280AS、280BS密封於驅動軸桿總成中。於一方面,可不設置含鐵流體性密封。舉例而言,驅動區282可以包括驅動器,其所具有的定子大致密封隔離於操作輸送臂的環境,而轉子和驅動軸桿分享操作臂的環境。沒有含鐵流體性密封而可以用於揭示之具體態樣的諸方面之驅動區的適合範例包括來自Brooks自動化公司的MagnaTran ®7和MagnaTran ®8機器人驅動區,其可以具有密封罐排列,如下所將描述。注意(多個)驅動軸桿280S、280AS、280BS也可以具有中空架構(譬如具有沿著驅動軸桿中央而縱向延伸的孔),以允許電線290或任何其他適合的項目通過驅動器總成以例如到另一驅動區,如2016年7月7日申請而2016年11月10日公開為美國專利公開案第2016/0325440號的美國專利申請案第15/110,130號所述(其揭示整個併於此以為參考),或到任何適合的位置編碼器、控制器和/或安裝到驅動器200、200A、200B、200C的至少一轉移臂314、315、316、317、318。如所可理解,驅動區200、200A、200B、200C的每個驅動馬達可以包括任何適合的編碼器,其建構成偵測個別馬達的位置以決定每個輸送臂314、315、316、317、318之末端實施器314E、315E、316E、317E1、317E1、318E1、318E2的位置。 [0119] 於一方面,殼罩281可以安裝到攜載器270C,其耦合於Z軸驅動器270,使得Z軸驅動器270沿著Z軸來移動攜載器(和位在上面的殼罩281)。如所可理解,為了密封當中操作至少一轉移臂300、301的控制氣氛而與驅動器200、200A、200B、200C的內部隔離(其可以在大氣壓力ATM環境下操作),可以包括上述一或更多個含鐵流體性密封276、277和伸縮囊密封275。伸縮囊密封275可以具有耦合於攜載器270C的一末端,並且另一末端耦合於框架200FI之任何適合的部分,如此則框架200F的內部200FI與當中操作至少一轉移臂300、301的控制氣氛隔離。 [0120] 於其他方面,如上所注意,驅動器可以設置在攜載器270C上,該驅動器所具有的定子密封隔離於操作輸送臂的氣氛而無含鐵流體性密封,例如來自Brooks自動化公司的MagnaTran ®7和MagnaTran ®8機器人驅動區。舉例而言,也參見圖2C和2D,旋轉驅動區282建構成致使馬達定子密封隔離於操作機器手臂的環境,而馬達轉子分享操作機器手臂的環境。圖2C示範同軸驅動器,其具有第一驅動馬達280’和第二驅動馬達280A’。第一驅動馬達280’具有定子280S’和轉子280R’,其中轉子280R’耦合於驅動軸桿280S。罐密封280CS可以定位在定子280S’和轉子280R’之間並且以任何適合的方式而連接於殼罩281,如此以將定子280S’密封隔離於操作機器手臂的環境。類似而言,馬達280A’包括定子280AS’和轉子280AR’,其中轉子280AR’耦合於驅動軸桿280AS。罐密封280ACS可以配置在定子280AS’和轉子280AR’之間。罐密封280ACS可以用以任何適合的方式而連接於殼罩281,如此以將定子280AS’密封隔離於操作機器手臂的環境。如所可理解,可以設置任何適合的編碼器/感應器268A、268B以決定驅動軸桿和驅動(多個)軸桿操作之(多個)臂的位置。參見圖2D,示範的是三軸旋轉驅動區282。三軸旋轉驅動區可以大致類似於上面相對於圖2C所述的同軸驅動區;然而,於這方面,有三個馬達280’、280A’、280B’,每個具有耦合於個別驅動軸桿280A、280AS、280BS的轉子280R’、280AR’、280BR’。每個馬達也包括個別的定子280S’、280AS’、280BS’,其由個別的罐密封280SC、280ACS、280BCS而密封隔離於操作(多個)機器手臂的氣氛。如所可理解,可以如上面相對於圖2C所述的設置任何適合的編碼器/感應器以決定驅動軸桿和驅動(多個)軸桿操作之(多個)臂)的位置。如所可理解,於一方面,圖2C和2D所示範之馬達的驅動軸桿可不允許電線290饋穿;而於其他方面,可以設置任何適合的密封,如此則電線可以穿過例如圖2C和2D所示範之馬達的中空驅動軸桿。 [0121] 現參見圖3A~3E,懸吊臂143和/或輸送單元模組104可以包括任何適合的(多個)臂連桿機構。臂連桿機構的適合範例舉例而言可以發現於2009年8月25日頒發的美國專利第7,578,649號、1998年8月18日頒發的美國專利第5,794,487號、2011年5月24日頒發的美國專利第7,946,800號、2002年11月26日頒發的美國專利第6,485,250號、2011年2月22日頒發的美國專利第7,891,935號、2013年4月16日頒發的美國專利第8,419,341號、2011年11月10日申請之標題為「雙臂機器人」的美國專利申請案第13/293,717號、2013年9月5日申請之標題為「具有Z運動和鉸接臂的線性真空機器人」的美國專利申請案第13/861,693號,其揭示整個併於此以為參考。於揭示之具體態樣的諸方面,每個輸送單元模組104的至少一轉移臂、懸吊臂143和/或線性滑動器144可以衍生自習用的SCARA臂315(選擇性順服鉸接式機器手臂)(圖3C)類型設計,其包括上臂315U、帶驅動前臂315F和帶侷限末端實施器315E,或者衍生自伸縮臂或任何其他適合的臂設計,例如直角坐標(Cartesian)線性滑動臂314(圖3B)。輸送臂的適合範例舉例而言可以發現於2008年5月8日申請之標題為「具有多個利用機械切換機構之可移動臂的基板輸送設備」的美國專利申請案第12/117,415號、100G年1月19日頒發的美國專利第7,648,327號,其揭示整個併於此以為參考。轉移臂的操作可以彼此獨立(譬如每個臂的延伸/收縮乃獨立於其他臂)、可以透過損失運動切換來操作、或者可以在操作上可以任何適合的方式來連結而使得臂分享至少一共同的驅動軸。於另外其他方面,輸送臂可以具有任何其他想要的排列,例如蛙腿臂316(圖3A)架構、跳蛙臂317(圖3E)架構、雙對稱臂318(圖3D)架構等。輸送臂的適合範例可以發現於2001年5月15日頒發的美國專利第6,231,297號、1993年1月19日頒發的美國專利第5,180,276號、2002年10月15日頒發的美國專利第6,464,448號、2001年5月1日頒發的美國專利第6,224,319號、1995年9月5日頒發的美國專利第5,447,409號、2009年8月25日頒發的美國專利第7,578,649號、1998年8月18日頒發的美國專利第5,794,487號、2011年5月24日頒發的美國專利第7,946,800號、2002年11月26日頒發的美國專利第6,485,250號、2011年2月22日頒發的美國專利第7,891,935號、2011年11月10日申請之標題為「雙臂機器人」的美國專利申請案第13/293,717號、2011年10月11日申請之標題為「同軸驅動器真空機器人」的美國專利申請案第13/270,844號,其揭示整個併於此以為參考。注意懸吊臂143可以具有大致類似於輸送臂314、315、316、317、318的架構,其中輸送模組單位104安裝到懸吊臂來取代末端實施器315E、316E、317E1、317E1、318E1、318E2。如所可理解,(多個)輸送臂314、315、316、317、318是以任何適合的方式而在操作上可耦合於個別的驅動區200、200A、200B、200C,如此則個別的驅動區200、200A、200B、200C在關於框架(例如框架200F或處理工具100A~100H之任何適合的框架)來沿著至少一運動軸而由輸送臂314、315、316、317、318的鉸接所界定的輸送空間TSP(見圖4A和4B)中、在第一臂位置2030A(例如輸送臂的收縮位置,見圖4A)和異於第一臂位置2030A的第二臂位置2030B(例如輸送臂的延伸位置,見圖4B)之間而關於框架200F來實施輸送臂314、315、316、317、318的鉸接運動。如下將更詳細描述,任何適合的控制器(例如控制器110)以任何適合的方式而耦合於驅動區200、200A、200B、200C來驅動驅動區200、200A、200B、200C,如此以實施輸送臂314、315、316、317、318的鉸接。控制器110包括下垂補償器110DC,其建構成致使臂下垂補償器110DC解析輸送臂314、315、316、317、318由於在第一臂位置2030A和第二臂位置2030B之間輸送臂下垂所造成的臂下垂距離DRP(圖4D),如在此將更詳細描述。 [0122] 現參見圖4A~4D,示範的是基板輸送臂下垂測繪設備2000的示意圖。於一方面,測繪設備2000包括框架2000F,其建構成以任何適合的方式來接收輸送設備2004,如此則輸送設備2004的輸送臂315~318是如在此所述之可移動的定位。於一方面,輸送設備2004舉例而言大致類似於輸送設備模組104(包括一或更多個輸送臂314、315、316、317、318和驅動區200、200A~200C);或於其他方面,類似於安裝在上述懸吊臂143或線性滑動器144上的輸送設備模組104。於一方面,框架2000F界定任何適合的參考基準特徵,其對應和代表例如前端模組101之轉移室或任何適合的處理工具100A~100H之轉移室125A~ 125F、3018、3018A、416的適合參考基準特徵。 [0123] 於一方面,框架2000F包括安裝表面2010,其形成輸送設備2004之安裝凸緣200F所連接的基準參考表面。於一方面,安裝凸緣200F與安裝表面2010的接合則建立轉移平面TP的垂直或Z地點。於其他方面,安裝表面2010形成接合輸送設備2004之Z軸軌2007的基準參考表面。於一方面,Z軸軌2007與安裝表面2010的接合則建立轉移平面TP的垂直或Z地點。於一方面,安裝表面2010所形成的基準參考表面形成框架2000F和輸送設備2004之間的界面,其代表處理設備100A、100B、100C、100D、100E、100F、100G、100H的基板輸送系統所界定之處理工具(例如前端模組101或轉移室125A~125F、3018、3018A、416)中的基板輸送空間TSP。於一方面,基板輸送系統包括以下一或更多者:處理工具100A~100H的輸送設備2004、基板夾持地點(譬如製程模組、對齊器、緩衝器等)、基板/卡匣升降機、狹縫閥SV。 [0124] 於一方面,輸送設備2004具有鉸接臂314、315、316、317、318(例如上面所述者),其包括驅動區200、200A~200C和具有基板夾持器SH的末端實施器314E、315E、316E、317E1、317E2、318E1、318E2。為了解釋,將使用末端實施器315E和臂315來描述揭示之具體態樣的諸方面,但應了解揭示之具體態樣的諸方面同樣應用於臂314、315、316、317、318和末端實施器314E、316E、317E1、317E2、318E1、318E2。輸送設備2004可以藉由個別的驅動區200、200A、200B、200C而安裝到框架2000F,如此以提供輸送臂315至少一運動軸(θ、R、Z)而以至少一自由度來移動,以決定如在此所述之輸送臂315的下垂距離DRP。於一方面,輸送設備2004安裝到框架2000F,如此以對於框架2000F的至少一基準特徵2000DF具有預定的關係。舉例而言,於一方面,安裝凸緣200F和/或驅動區200、200A、200B、200C之輸送設備2004的Z軸軌2007可以關於輸送臂315的原始或歸零位置而排列。舉例而言,安裝凸緣200F(或驅動區200的殼罩)和/或Z軸軌可以包括任何適合的基準參考特徵200DF,其指向輸送臂315~318在繞著軸θ之T方向的旋轉位置。舉例而言,基準參考特徵200DF可以界定或另外指出臂繞著軸θ的旋轉指向,其對應於零度之延伸和收縮的旋轉角度(見圖4C的延伸軸R1和對應的角度θ1)。測繪設備2000的框架2000F包括任何適合的基準或參考特徵2000DF,其建構成與輸送設備2004的基準參考特徵200DF形成界面或與之耦合,如此則可以相對於基準特徵200DF、在對應於處理設備100A~100H內的輸送設備2004之指向的預定指向來做輸送臂315之下垂距離DRP的測繪。舉例而言,基準參考特徵200DF、2000DF的界面或耦合則將基板輸送器2004旋轉定位在框架2000內而在繞著軸θ的T方向,如此則零度之延伸和收縮的旋轉角度(譬如延伸軸R1)是在關於框架2000F的已知預定地點。如所可理解,把框架2000F中的輸送設備2004安裝在已知位置則提供了在輸送臂延伸和收縮的大致所有角度θ1~θ8以及針對臂沿著不同延伸軸R1~R8而延伸的所有距離DEXT(譬如抵達位置)來測繪下垂距離DRP。 [0125] 於一方面,基板輸送臂下垂測繪設備2000也包括登記系統2020,其相對於基板輸送臂315和至少一基準特徵200DF、2000DF而配置,如此則由於在第一臂位置2030A和第二臂位置2030B之間並且末端實施器315E(包括基板夾持器SH)沿著至少一運動軸(譬如R、θ、Z)而在輸送空間TSP中移動所造成的臂下垂改變,登記系統登記臂下垂距離DRP。於一方面,登記系統2020包括任何適合的控制器2020C,其包括記憶體2020CM和處理器2020CP而包括任何適合的非暫態電腦程式碼,以實施如在此所述之基板輸送臂下垂測繪設備2000的操作。基板輸送臂下垂測繪設備2000進一步包括至少一感應裝置2021,其建構成隨著末端實施器315E沿著輸送空間TSP內的基板輸送臂315~318之延伸和收縮R1~R8的一或更多個路徑來運行而感應或另外偵測至少末端實施器315E的位置。於其他方面,至少一感應裝置2021建構成感應或偵測基板輸送臂315之任何適合部分的位置(對應於軸T3的腕關節、夾持在末端實施器315E上的基板S等)。於一方面,至少一感應裝置2021包括至少一光學感應器,例如(多個)運動追蹤相機或穿束感應器,或是任何其他適合的感應器(舉例而言例如鄰近感應器、電容感應器、雷射感應器、共焦感應器,或是使用雷達、光達[LIDAR]或回音地點的感應器),其建構成感應/偵測輸送空間TSP內之至少末端實施器315E的位置。於一方面,至少一感應裝置2021排列成或另外與輸送臂315之任何適合的特徵(例如末端實施器315E、夾持在末端實施器上的基板S和/或輸送臂315之任何其他適合的特徵)形成界面,如此以定出輸送臂315的特徵位置,例如特徵相較於或關於代表基板轉移平面TP之預定參考基準而在Z方向的位置。 [0126] 於一方面,控制器2020C可以大致類似於控制器110在於:控制器2020建構成控制輸送設備2004以沿著一或更多個延伸和收縮路徑R1~R8來延伸,而以任何適合數目的自由度來運動,如在此所述。控制器2020C以任何適合的方式(例如透過任何適合的有線或無線連接)而耦合於至少一感應裝置2021。至少一感應裝置2021建構成發送以及控制器2020C建構成接收來自至少一感應裝置2021的任何適合訊號,其體現基板輸送臂315~318(包括夾持在上面的基板S)之末端實施器315E或任何其他適合特徵在輸送空間TSP內、相對於輸送平面TP的位置地點(譬如θ、R、Z)。舉例而言,也參見圖4A~4D和5,示範的是基板輸送臂(例如基板輸送臂315)之下垂距離DRP的示意圖。就這方面而言,下垂距離DRP顯示成在沿著基板輸送臂315之末端實施器315E上所攜載的基板S的三點來測量。舉例而言,隨著基板S沿著延伸收縮軸R1~R8輸送,關於轉移平面TP的下垂距離DRP(譬如末端實施器315E和夾持在上面之基板的Z位置改變)可以在基板S的前緣SLT、基板S的中央SC和/或基板S的後緣SLT來測量。如在此所述,可以基於當輸送臂315在收縮架構時(例如當基板S是在第一位置2030A時)之基板S或末端實施器315E的位置,譬如在臂收縮架構下之基板S的中央SC,而界定轉移平面TP。於其他方面,轉移平面TP可以基於譬如製程模組、緩衝器、對齊器、載入鎖定室等的任何適合之基板夾持站的位置而界定。也如在此所述,輸送臂315的非線性因素造成關於例如任何適合之參考基準(例如輸送平面TP)的Z位置改變(譬如下垂距離DRP),其高度相依於輸送臂315的特定架構,並且下垂DRP隨著每個臂314、315、316、317、318而獨特的變化。 [0127] 仍參見圖4A~4D和5,將描述基板輸送臂下垂測繪設備2000的範例性操作。於一方面,框架2000F設置(圖6的區塊600)在任何適合的地點,例如在半導體製造設施工廠樓板上或在基板輸送器104和/或轉移臂315的製造設施。如上所述,框架上面包括界面,譬如上述的參考基準特徵2000DF,其中參考基準特徵2000F代表基板輸送空間TSP。基板輸送臂315以對於至少一基準特徵2000DF的預定關係而用例如上述的任何適合方式來安裝到框架2000F(圖6的區塊610)。於一方面,驅動區200、200A~ 200C安裝到框架2000F並且輸送臂315安裝到驅動區200、200A~200C,如此則驅動區200、200A~200C關於至少一基準特徵2000DF來實施輸送臂315的延伸。如圖4C所可見,驅動區200、200A~200C和所安裝的輸送臂315乃安裝到框架2000F,如此則輸送設備2004的參考基準特徵200F關於框架2000F的參考基準特徵2000DF而位在預定的指向(譬如以任何適合的方式而對齊)。在此,參考基準特徵200DF、2000DF的對齊則將輸送臂315的歸零或原始位置指向角度θ1,其對應於延伸收縮軸R1。於一方面,當輸送設備2004位在任何適合之處理設備100A~H的轉移室內(例如前端模組101或轉移室125A~125F、3018、3018A、416),角度θ1和延伸收縮軸R1對應於輸送設備2004的原始或歸零位置。於一方面,角度θ1和延伸收縮軸R1以及每個其他角度θ2~ θ8和延伸收縮軸R2~R8可以對應於當中將安裝輸送設備104或輸送臂315之轉移室125A~125F、3018、3018A、416的個別延伸收縮軸。 [0128] 於一方面,安裝到驅動區200、200A~200C的輸送臂315可以從安裝到共同驅動區200、200A~200C的多個輸送臂315~318來選擇。舉例而言,驅動區200可以安裝到框架2000F,如上所述。臂314、315、316、317、318可以彼此互換,如此則任一臂314、315、316、317、318可以選擇和安裝到驅動區200。在此,輸送臂315是從多個可互換的輸送臂314、315、316、317、318來選擇以安裝到框架2000F內的驅動區200。 [0129] 如上所述,控制器2020C建構成實施輸送臂315的移動。控制器2020C實施輸送臂315沿著輸送空間TSP中的至少一運動軸(圖6的區塊620)而在第一臂位置2030A和第二臂位置2030B之間的移動。如在此所述,第一臂位置2030A可以是輸送臂315的收縮位置,並且第二臂位置2030B可以是狹縫閥SV的位置或任何適合基板處理設備100A~100H之任何適合基板夾持站(譬如載入鎖定室、緩衝器、製程模組等)的基板夾持位置。僅為了示範,輸送臂沿著延伸收縮軸R1而以角度θ1來延伸。輸送臂315的臂下垂距離DRP由登記系統2020以任何適合的方式來登記(圖6的區塊630)。舉例而言,於一方面,下垂距離DRP可以從轉移平面TP來測量,該平面可以從基板S之收縮的原始/歸零位置來建立(譬如當輸送臂沿著延伸收縮軸R1收縮到第一位置2030A而在角度θ1)。轉移平面TP可以界定參考基準,由此則針對所有角度θ1~θ8和所有延伸收縮軸R1~ R8來測量下垂距離DRP。在此,有八個延伸收縮軸R1~R8和八個對應的角度θ1~θ8,但於其他方面,可以有多於或少於八個延伸收縮軸和多於或少於八個對應的角度。 [0130] 如圖5所可見,輸送平面TP可以對應於夾持在輸送臂315的末端實施器315E上之基板S的中央SC。登記系統2020建構成偵測例如在基板S上之任何適合點(例如基板S的前緣SLE、中央SC和/或後緣SLT)的基板Z位置。在此,為了方便而使用基板的Z位置;並且於其他方面,輸送臂315的下垂距離DRP可以沿著任何適合的參考框架之任何適合的軸。於圖5,隨著輸送臂315舉例而言沿著軸R1而從第一位置2030A延伸到第二位置2030B,關於臂延伸DEXT來測繪基板S之前緣SLE、中央SC、後緣SLT的未補償Z位置。如圖5所可見,在位置2030A,基板S的後緣SLT低於基板的前緣SLE;而在第二位置2030B,基板的後緣SLT高於基板的前緣SLE。也如圖5所可見,由於實施輸送臂315之延伸的線性和非線性因素,基板S之中央SC的未補償Z位置是比轉移平面TP低約2.5個距離單位。 [0131] 於一方面,登記系統2020建構成沿著延伸收縮軸R1而以任何適合的增量距離來對基板的Z位置取樣。舉例而言,隨著末端實施器315E沿著延伸收縮軸R1運行,可以在每一ΔR距離單位增量來取樣或測量基板的Z位置。於一方面,下垂距離DRP在圖5僅為了示範而示範成大致線性(隨著沿著延伸收縮軸R1的距離而變化),但應了解下垂距離可不線性變化,例如在明顯有非線性總變化的情形。於下垂距離不是線性的例子,基板的Z位置可以隨著基板S沿著延伸收縮軸R1來移動而更頻繁的測量(譬如取樣之間有較小的ΔR距離單位增量),以增加沿著延伸收縮軸R1所測繪之基板Z位置或下垂距離DRP的界定。 [0132] 隨著基板S沿著延伸收縮軸R1來移動而在第一位置2030A和第二位置2030B之間以不同ΔR距離單位間隔所測量的下垂距離DRP,其舉例而言乃記錄於控制器2020C的記憶體2020CM或任何其他適合的記憶體。於一方面,下垂距離DRP測量是以任何適合的格式/方式來儲存,其適合包括輸送臂315的輸送設備2004做程式化控制,例如呈查詢表的形式或任何適合的演算法。於一方面,下垂距離DRP測量可以儲存於具有查詢表之形式的臂下垂距離登記器700,其範例示範於圖7。於一方面,臂下垂距離登記器700包括輸送臂315的延伸位置R ext1-m,其舉例而言在末端實施器315E上所攜載之基板S的中央SC(於其他方面,輸送臂315的延伸位置可以由輸送臂315或基板S之任何適合的特徵所決定),而對輸送臂315的延伸角度θ 1-n來作圖。於一方面,R ext1對應於輸送器在個別角度θ 1-n的收縮位置,而Rm對應於輸送臂315在第二位置2030B(或異於臂收縮位置之後續位置)的位置。在此,每個延伸位置R ext1~ R m(可以有任何適合數目的延伸位置)對應於下垂測量DRP的取樣地點。於一方面,延伸位置R ext1~R m之間的ΔR距離單位增量(圖4C)可以是大致恆定的;而於其他方面,ΔR距離單位增量可以是可變的,舉例而言以在下垂測繪的預定區域(譬如沿著延伸收縮軸R1~R8的預定區域)提供較大的界定。於一方面,角度θ 1-n對應於延伸收縮軸R1~R8而對應於不同的基板夾持地點;然而,於其他方面,可以有任何適合數目的角度來測量下垂DRP。 [0133] 於一方面,控制器2020C建構成以任何適合的方式來驅動驅動區200而沿著延伸收縮軸R1、以角度θ1來延伸輸送臂315。隨著輸送臂315延伸感應裝置2021測量例如基板S在延伸位置R ext1~R m的Z位置ΔZ 1-1到ΔZ 1-m。臂下垂DRP距離ΔZ1-1到ΔZ1-m以任何適合的方式而登記(圖6的區塊630)於控制器,例如於臂下垂距離登記器700。控制器2020C進一步建構成旋轉輸送臂315,如此則末端實施器315E定位成沿著另一延伸收縮軸R2而在角度θ2延伸,其中重複圖6的區塊620和630以獲得下垂DRP距離ΔZ 2-1到ΔZ 2-m而登記於臂下垂距離登記器700。以上述方式而在每個角度θ 1-n、針對每一延伸位置R ext1-m來做下垂距離測量,如此則測量了對應的下垂DRP,並且於臂下垂距離登記器700中例如代表成角度θ1的ΔZ 1-1~ΔZ 1-m到角度θn的ΔZ n-1~ ΔZ n-m。在此,臂下垂距離登記器700描述在第一臂位置2030A、第二臂位置2030B和在第三臂位置2030C(和後續臂位置2030D~2030P)的臂下垂距離DRP,其中第三臂位置2030C(和後續臂位置2030D~2030P)異於第一臂位置2030A和第二臂位置2030B二者,其中末端實施器315E沿著至少一運動軸(這範例是延伸運動軸R,但於其他方面是在沿著θ運動軸的T方向和/或沿著Z運動軸)來移動。注意臂下垂距離登記器700示範成做單一下垂距離測量(角度θ1的ΔZ 1-1~ ΔZ 1-m到角度θn的ΔZ n-1~ΔZ n-m),其可以對應於基板S的中央SC,但應了解於其他方面,臂下垂距離登記器700也可以包括基板S之前緣SLE和/或後緣SLT的下垂距離測量。 [0134] 如圖7所可見,臂下垂距離登記器700可以不僅是將下垂距離DRP關聯於延伸距離R ext1~R m的二維陣列,但也可以建構成以致補償當中操作輸送設備104的不同環境條件(譬如例如不同的操作溫度TH),以及/或者補償輸送臂315沿著Z軸而在不同高度的臂延伸。舉例而言,可以針對任何適合數目的不同溫度TH 初始~TH 初始 +y來重複圖6的區塊620和630,如此則臂下垂距離登記器700所描述的下垂補償和藉此實施的臂下垂補償(在此所述)通融例如臂構件(譬如臂連桿、滑輪、皮帶、末端實施器等)的熱膨脹和收縮。也可以針對任何適合數目的不同Z高度Z 初始~Z 初始 +x來重複圖6的區塊620和630,如此則臂下垂距離登記器700和藉此實施的下垂補償(在此所述)通融例如輸送設備104的Z軸和驅動區200的同軸心軸之間的未對齊,以及/或者通融輸送臂315所耦合之同軸驅動區200、200A~200C的驅動軸桿之間的未對齊。於再進一步方面,在輸送設備104包括可互換的臂314、315、316、317、318之情形,可以上述方式而針對每個可互換的臂314、315、316、317、318來產生臂下垂距離登記器700、700’~700n’。 [0135] 如所可理解,可以用類似於上面關於輸送臂2004安裝到懸吊臂143或線性滑動器144之方面的方式來產生臂下垂距離登記器。在此,懸吊臂143或線性滑動器144 (其安裝了輸送臂2004)可以用大致類似於上述的方式而安裝到基板輸送臂下垂測繪設備2000的框架2000F,其中登記系統2020以類似於上面相對於延伸收縮軸R所述(例如圖1C和1D所示)的方式來決定安裝到懸吊臂143或線性滑動器144之輸送臂2004的下垂距離,如此則輸送臂2004和懸吊臂143或線性滑動器144所實施的下垂距離DRP登記於對應的臂下垂登記器700。 [0136] 如圖7所可見,臂下垂距離登記器700實現成(譬如具有形式)以致界定曲線,例如曲線599A~C,其描述關於臂位置R ext1~R m、θ1~θn的臂下垂距離DRP變化,其中末端實施器315E沿著一或更多個運動軸R、θ、Z來移動。於一方面,一或更多個運動軸R、θ、Z界定基板輸送空間TSP中的轉移平面TP或轉移體積TSV。如圖7所可見,每個曲線599A~C描述針對末端實施器315E沿著一或更多個運動軸R、θ、Z的每一者而運動之臂位置(見延伸距離R ext1~ R m)的離散臂下垂距離變化。 [0137] 於一方面,仍參見圖4A~4D、5、7以及圖1A~ 1M、2A~2D,(多個)臂下垂距離登記器700隨著個別的輸送設備2004(和不同可選擇的臂314、315、316、317、318,如果有裝配的話)來運行。於一方面,用於輸送設備2004的(多個)臂下垂距離登記器700轉移(譬如以任何適合的方式載入)到當中要使用輸送設備2004的處理設備100A~100H之控制器110的下垂補償器110DC。於一方面,下垂補償器110DC可以配置在驅動區200、200A~200C的殼罩內,並且以任何適合的方式而耦合於控制器110以實施如在此所述的臂下垂補償。控制器110然後建構成以輸送設備2004的驅動區200、200A~200C來實施輸送臂2004(例如輸送臂315)的補償運動,其中補償運動具有大小和方向,其補償並且解析輸送臂315之大致整個的下垂距離DRP。於一方面,使用圖1A的處理設備100A作為範例,控制器110的下垂補償器110DC建構成以任何適合的方式而從(多個)下垂距離登記器700來決定輸送臂315在第一位置2030A和第二位置2030B之間的下垂距離DRP,這範例的第二位置2030B是狹縫閥SV的地點。於一方面,補償運動的大小和方向是由(多個)下垂距離登記器700所決定。 [0138] 如圖5所示範,控制器110依據由(多個)下垂距離登記器700所決定之補償運動的大小和方向而例如驅動輸送設備2004(其示範成圖1A的輸送設備104)之驅動區200、200A~200C的Z軸驅動器,如此則基板S大致沿著轉移平面TP來運行而遍及末端實施器315E沿著延伸收縮軸(例如軸R1)的移動。就這方面而言,補償運動是在方向586並且所具有的大小大致對應於圖4D和5所示範之未補償下垂(譬如下垂距離DRP)的量。如此,則輸送臂315的補償運動導致消掉輸送臂315相對於轉移平面TP(譬如轉移平面TP在此形成用於轉移基板S的預定參考基準)之大致整個的下垂距離DRP,如此則在輸送空間TSP中之第二位置2030A的末端實施器315E是在淨位置NP(譬如沿著轉移平面TP,而大致不偏離在轉移平面TP上或下),而在顯現臂下垂的方向上(在此為Z方向),該位置則獨立於臂下垂。再次而言,注意雖然第二位置2030B示範成狹縫閥SV地點,不過於其他方面,第二位置2030B可以是處理設備100A~100H中之任何適合的預定基板目的地(譬如對齊器、緩衝器、製程模組等的夾持地點)。 [0139] 參見圖9,控制器110建構成實施輸送臂315的補償運動,如此則末端實施器315E完成運動而抵達在淨位置NP的第二位置2030B。如圖9所可見,末端實施器位在收縮位置DRXT,其可以對應於第一位置2030A。控制器110舉例而言控制輸送臂315的運動900(其在這範例是方向586的Z軸運動),如此則末端實施器315E完成運動而沿著轉移平面TP抵達在淨位置NP的第二位置2030B。如所可理解,抵達淨位置NP舉例而言則將基板S的中央SC(或輸送臂315之任何其他適合的特徵,例如末端實施器的底部或將末端實施器耦合於輸送臂之連桿的腕關節)大致獨立於臂下垂而放置在想要的位置,這藉由減少轉移次數(譬如拾取和放置次數)和處理時間(譬如較少時間來關閉狹縫閥等)而增加處理產出率,如上所述。如在此所述之輸送臂315大致獨立於臂下垂的定位也提供了將基板夾持站的末端實施器界面地點(譬如末端實施器315和基板夾持站的基板夾持地點之間的遞交/轉移地點)定位在輸送空間TSP內的預定地點,如此則基板夾持站的末端實施器界面地點(譬如對應於第二位置2030B)的定位乃大致獨立於臂下垂而實施。實施上,揭示之具體態樣的諸方面提供基板處理工具100A~100H,其具有預定的結構,該結構與輸送臂315、末端實施器315E互動,並且配置成以致該互動是獨立於臂下垂而實施。 [0140] 雖然運動900示範成大致線性運動,不過於其他方面,運動可以具有任何適合的運動輪廓。舉例而言,運動900’大大朝向運動900’的開始來增加末端實施器315E的Z位置,而運動900’’大大朝向運動900’的結束來增加末端實施器315E的Z位置。於一方面,控制器110建構成以臂運動來實施補償運動,其沿著具有時間最佳軌跡的最佳路徑而在第一位置2030A和第二位置2030B之間來移動末端實施器315,舉例而言如2016年12月13日頒發的美國專利第9,517,558號、2001年4月10日頒發的美國專利第6,216,058號、2003年11月4日頒發的美國專利第6,643,563號所述,其揭示整個併於此以為參考。 [0141] 如在此所述,驅動區200、200A~200C和輸送臂315(以及臂314、316~318)建構有多個自由度(譬如Z軸運動、各具有個別自由度的多個驅動軸桿等),如此則輸送臂(例如輸送臂315)的運動具有多於一個的自由度。於一方面,臂下垂登記器700如上所述的在遍及輸送臂315之多於一個臂運動自由度所形成的輸送空間TSP來描述臂下垂距離DRP。舉例而言,於一方面,如上所述,臂下垂登記器700包括針對輸送臂315之每個旋轉角度θ和針對輸送臂315之每個Z軸高度的臂下垂距離DRP,如此以界定輸送空間TSP。 [0142] 也參見圖8,將描述輸送設備2004(其對應於輸送設備模組104和/或安裝到懸吊臂143或線性滑動器144的輸送設備模組104)的範例性操作。於一方面,提供基板輸送設備2004(圖8的區塊800)。基板輸送設備2004包括驅動區200、200A~200C,其連接於基板處理設備100A~ 100H的框架和輸送臂314、315、316、317、318。如上所述,輸送臂314、315、316、317、318是鉸接的並且具有末端實施器314E、315E、316E、317E1、317E2、318E1、318E2,其具有上面攜載了基板S的基板夾持器SH。末端實施器314E、315E、316E、317E1、317E2、318E1、318E2如上所述是關於框架來沿著至少一運動軸R、而在輸送臂314、315、316、317、318的鉸接所界定的輸送空間TSP中而可在第一位置2030A和異於第一位置2030A的第二位置2030B之間移動。於一方面,在輸送設備2004包括多個可選擇的輸送臂314、315、316、317、318之情形,一輸送臂314、315、316、317、318乃選擇自多個可選擇的輸送臂314、315、316、317、318(圖8的區塊805)以耦合於驅動區200、200A~200C。 [0143] 解析輸送臂314、315、316、317、318在第一位置2030A和第二位置2030B之間的下垂距離DRP(圖8的區塊810),其中輸送臂314、315、316、317、318在第一位置2030A和第二位置2030B之間的下垂距離DRP舉例而言是從對應於輸送設備2004架構(譬如驅動區和選擇與驅動區耦合的臂,其可以包括懸吊臂143或線性滑動器144)的臂下垂登記器700而由下垂補償器110DC所決定。如上所述,臂下垂補償器10DC可以駐留於處理設備100A~100H的控制器110中,或者駐留在驅動區200、200A~200C內,並且以任何適合的方式而連接於控制器110,如此以實施輸送臂的鉸接。 [0144] 於一方面,控制器以驅動區200、200A~200C來實施輸送臂2004在第一位置2030A和第二位置2030B之間的補償運動900、900’、900’’(譬如見圖5和9)(圖8的區塊820),其所具有的大小和方向586則補償並且解析輸送臂2004之大致整個的下垂距離DRP。如上所述,輸送臂314、315、316、317、318的補償運動900、900’、900’’導致相對於任何適合的預定參考基準(例如轉移平面TP)而消掉大致整個的下垂距離DRP,如此則在輸送空間TSP中之預定地點(例如第二位置2030B)的基板夾持器SH是在淨位置NP,而在顯現臂下垂的方向上(譬如Z方向),該位置則獨立於臂下垂。於一方面,控制器110完成輸送臂314、315、316、317、318在第一位置2030A和第二位置2030B之間的臂運動,如此則末端實施器314E、315E、316E、317E1、317E2、318E1、318E2的基板夾持器SH完成運動而抵達大致在淨位置NP的第二位置2030B。於一方面,控制器110實施補償運動900、900’、900’’,而臂運動則使末端實施器314E、315E、316E、317E1、317E2、318E1、318E2的基板夾持器SH沿著具有時間最佳軌跡的最佳路徑而在第一位置2030A和第二位置2030B之間來移動,如上所述。 [0145] 依據上面所述,揭示之具體態樣的諸方面提供輸送設備104、2004,其所具有的輸送臂314、315、316、317、318,其在共同驅動區200、200A~200C上是可彼此真正切換/互換的,前提是用於可互換的臂314、315、316、317、318之對應的臂下垂登記器700載入控制輸送設備104、2004之移動的控制器110裡。進一步而言,雖然上面描述了揭示之具體態樣的諸方面,不過應了解可以採用揭示之具體態樣的諸方面,相較於沒有如在此所述的位置補償所造成的運動路徑來看,則將機器手臂上的任何給定點(譬如末端實施器上的基板夾持地點、腕關節、肘關節等)維持在較緊的預定運動路徑公差內。舉例而言,揭示之具體態樣的諸方面可以基於輸送空間TSP中的一般三維路徑,其中該一般三維路徑使用基板輸送臂下垂測繪設備而如上所述的決定,其中該一般三維路徑併入成為控制器(例如控制器110)所提供之輸送臂的部分命令邏輯。揭示之具體態樣的諸方面利用可得自機器人控制系統(例如驅動區200、200A~200C)的自由度。舉例而言,如果輸送臂允許沿著徑向、切線、垂直和末端實施器指向來運動(例如當輸送臂安裝到或者包括懸吊臂或線性滑動),則所有這些自由度可以用於補償輸送空間TSP中的機械誤差軌跡。 [0146] 更一般而言,先前針對基於輸送空間TSP之4維軸(R、θ、Z、TH)的臂下垂(ΔZ)經驗因素來做軌跡補償所述的系統和過程,乃可以類似的應用於輸送臂315在輸送空間TSP中之給定軌跡的任何(和每個)想要點上之任何給定點(例如在第一臂位置2030A或任何其他臂位置的旋轉軸T1、旋轉軸T2、旋轉軸T3或中央SC)的軌跡補償。相較於造成的未補償機械路徑,這做法將可應用於將輸送臂315的任何給定點維持在任何想要的(譬如較緊的)運動路徑公差內。這意謂提出的補償做法可以基於經驗而基於空間中的一般3維路徑(R、θ、Z),其可以經由實驗測量來預先決定,並且併入成部分的演算法輸入。補償演算法將利用可得自機器人控制系統的自由度(3、4、5、6或更多個)。舉例而言,如果操縱器允許沿著徑向、切線、垂直、末端實施器指向方向的運動,則所有這些自由度可以用於修正輸送空間TSP中的機械軌跡誤差。據此,臂下垂一詞(雖然先前特定敘述為了方便而用於指出Z方向上之未命令的位移或「垂下」),如在此所更一般使用的該詞要了解成意謂輸送臂315來自對應運動軸或自由度的彎折所造成之未命令的位移,例如(X,Y)下垂或是極座標轉換(R,θ)下垂。 [0147] 進一步舉例來說,現參考圖7A,設置了臂下垂距離登記器700A。於一方面,經驗下垂距離DRP測量可以儲存於臂下垂距離登記器700A,其具有類似於先前描述的查詢表形式。如圖7A所示的(多個)查詢表可以與圖7的(多個)查詢表組合或混合以形成針對臂之每個自由度的複合三維空間臂下垂演算法。於一方面,臂下垂距離登記器700A包括輸送臂315的延伸位置R ext1-m,其舉例而言在末端實施器315E所攜載之基板S的中央SC、輸送臂315之腕W的旋轉軸T3、輸送臂315之肘的旋轉軸T2,而對輸送臂315的延伸角度θ 1-n來作圖(於其他方面,輸送臂315的延伸位置可以由輸送臂315或基板S之任何其他適合的特徵所決定)。於一方面,R ext1對應於輸送器在個別的角度θ 1-n的收縮位置,而R m對應於輸送臂315在第二位置2030B的位置(或異於臂收縮位置的後續位置)。在此,每個延伸位置R ext1~R m(可以有任何適合數目的延伸位置)對應於經驗下垂測量DRP的取樣地點。於一方面,延伸位置R ext1~R m之間的ΔR距離單位增量(圖4C)可以大致是恆定的;而於其他方面,ΔR距離單位增量可以多變的,舉例而言以在下垂測繪的預定區域(譬如沿著延伸收縮軸R1~R8的預定區域)來提供較大的界定。於一方面,角度θ 1-n對應於延伸收縮軸R1~R8而對應於不同的基板夾持地點;然而,於其他方面,可以有任何適合數目的角度來測量經驗下垂DRP。 [0148] 於一方面,控制器2020C建構成以任何適合的方式來驅動驅動區200而沿著延伸收縮軸R1、在角度θ1來延伸輸送臂315。隨著輸送臂315延伸,感應裝置2021測量R、θ位置,例如基板S之中央SC、腕W的旋轉軸T3、肘的旋轉軸T2或輸送臂315或基板S之任何其他適合特徵在延伸位置R ext1~R m的ΔR,θ1-1到ΔR,θ1-m。經驗臂下垂DRP距離ΔR,θ1-1到ΔR,θ1-m以任何適合的方式(例如在臂下垂距離登記器700A)而登記(圖6的區塊630)於控制器。控制器2020C進一步建構成旋轉輸送臂315,如此則末端實施器315E定位成在角度θ2、沿著另一延伸收縮軸R2而延伸,其中重複圖6的區塊620和630以獲得經驗下垂DRP距離ΔR,θ2-1到ΔR,θ2-m而登記於臂下垂距離登記器700A。以上述方式而在每個角度θ 1-n、針對每一延伸位置R ext1-m來做下垂距離測量,如此則測量了對應的經驗下垂DRP,並且於臂下垂距離登記器700A中例如代表成角度θ1的ΔR,θ1-1到ΔR,θ1-m而到角度θn的ΔR,θn-1到ΔR,θn-m。在此,臂下垂距離登記器700A描述在第一臂位置2030A和第二臂位置2030B及在第三臂位置2030C(和後續臂位置2030D~2030P)的經驗臂下垂距離DRP,其中第三臂位置2030C(和後續臂位置2030D~2030P)異於第一臂位置2030A和第二臂位置2030B二者,其中末端實施器315E沿著至少一運動軸(這範例是延伸運動軸R,但於其他方面是在沿著θ運動軸的T方向和/或沿著Z運動軸)來移動。注意臂下垂距離登記器700A是以下垂距離測量(角度θ1的ΔR,θ1-1到ΔR,θ1-m而到角度θn的ΔR,θn-1到ΔR,θn-m)來示範,其可以對應於基板S的中央SC、輸送臂315之腕W的旋轉軸T3、輸送臂315之肘的旋轉軸T2,但應了解於其他方面,臂下垂距離登記器700A也可以包括針對基板S的前緣SLE和/或後緣SLT或是輸送臂315之任何其他適合特徵的下垂距離測量。 [0149] 如圖7A所可見,臂下垂距離登記器700A可不僅為將經驗下垂距離DRP關聯於延伸距離R ext1~R m的二維陣列,但也可以建構成以致補償當中操作輸送設備104的不同環境條件(譬如不同的操作溫度TH),以及/或者補償在輸送臂315沿著Z軸之不同位置的臂延伸。舉例而言,可以針對任何適合數目的不同溫度TH 初始~TH 初始 +y來重複圖6的區塊620和630,如此則臂下垂距離登記器700A所描述的下垂補償和藉此實施的臂下垂補償(在此所述)通融例如臂構件(譬如臂連桿、滑輪、皮帶、末端實施器等)的熱膨脹和收縮。也可以針對任何適合數目的不同Z高度Z 初始~Z 初始 +x來重複圖6的區塊620和630,如此則臂下垂距離登記器700A和藉此實施的下垂補償(在此所述)通融例如輸送設備104的Z軸和驅動區200的同軸心軸之間的未對齊,以及/或者通融輸送臂315所耦合之同軸驅動區200、200A~200C的驅動軸桿之間的未對齊。於再進一步方面,於輸送設備104包括可互換的臂314、315、316、317、318之情形,可以用上述方式而針對每個可互換的臂314、315、316、317、318來產生臂下垂距離登記器700A、700A’~700An’。 [0150] 如所可理解,可以用類似於上述關於輸送臂2004安裝到懸吊臂143或線性滑動器144之方面的方式來產生臂下垂距離登記器700A~700An’。在此,懸吊臂143或線性滑動器144及所安裝的輸送臂2004可以用大致類似於上述的方式而安裝到基板輸送臂下垂測繪設備2000的框架2000F,其中登記系統2020以類似於上述關於延伸收縮軸R的方式(例如圖1C和1D所示)而決定安裝到懸吊臂143或線性滑動器144之輸送臂2004的下垂距離,如此則由輸送臂2004和懸吊臂143二者或線性滑動器144所實施的經驗下垂距離DRP登記於對應的臂下垂登記器700A。 [0151] 於一方面,參見圖4A~4D、7A以及圖1A~ 1M、2A~2D,(多個)臂下垂距離登記器700A如上所述而隨著個別的輸送設備2004(和不同且可選擇的臂314、315、316、317、318,如果有裝配的話)來運行。於一方面,用於輸送設備2004的(多個)臂下垂距離登記器700A轉移(譬如以任何適合的方式載入)到控制器110的下垂補償器110DC,而用於要使用輸送設備2004的處理設備100A~ 100H。於一方面,下垂補償器110DC可以配置在驅動區200、200A~200C的殼罩內,並且以任何適合的方式而耦合於控制器110,以實施如在此所述的臂下垂補償。控制器110然後建構成以輸送設備2004的驅動區200、200A~ 200C來實施輸送臂2004(例如輸送臂315)的補償運動,其中補償運動具有大小和方向,其補償並且解析輸送臂315之大致整個的經驗下垂距離DRP。於一方面,使用圖1A的處理設備100A作為範例,控制器110的下垂補償器110DC建構成以任何適合的方式而從(多個)下垂距離登記器700A來決定輸送臂315在第一位置2030A和第二位置2030B之間的經驗下垂距離DRP,這範例的第二位置2030B是狹縫閥SV的地點。於一方面,補償運動的大小和方向是由(多個)下垂距離登記器700A所決定。 [0152] 應了解前面的敘述僅在示範揭示之具體態樣的諸方面。熟於此技藝者可以設計出多樣的更改和修飾,而不偏離揭示之具體態樣的諸方面。據此,揭示之具體態樣的諸方面打算要含括落於所附申請專利範圍裡的所有此種更改、修飾和變化。進一步而言,互相不同的附屬項或獨立項引述了不同的特徵則並不表示無法有利的使用這些特徵的組合,此種組合仍在本發明之諸方面的範圍裡。 [0101] FIGS. 1A-1M are schematic diagrams of substrate processing equipment in accordance with aspects disclosed. Although aspects of the disclosed aspects will be described with reference to the drawings, it should be understood that the aspects of the disclosed aspects may be implemented in many forms. Incidentally, any suitable size, shape or type of components or materials may be used. Aspects of the disclosed aspects provide methods and apparatus for implementing transfer arm position compensation such that the end effector of the transfer arm and the substrate carried thereon extend generally along the wafer transfer plane, but not along the transfer The arm extends substantially away from the wafer transport plane. For example, also referring to FIG. 4D , a substrate S travels within a substrate processing apparatus along a predetermined reference datum plane (referred to herein as transfer plane TP). In one aspect, the transfer plane TP is, for example, a horizontal plane that corresponds to the plane of the substrate S when it is clamped by the transfer arm and the transfer arm is retracted; while in other aspects, the transfer plane TP may correspond to the plane passing through the slit valve. Any suitable substrate clamping location within the path or substrate handling equipment. In one aspect, the transfer plane TP extends or spans the entire substrate transfer chamber where, for example, the transfer arm is located. [0103] In one aspect, the transfer plane TP is aligned with the slit valve SV of the substrate transfer chamber and at least partially defines a plane along which the substrate S travels through the slit valve SV to different portions of the substrate processing tool. For example, as the transfer arm 315 (and other transfer arms described herein) extends to transfer the substrate S through the slit valve SV, the transfer arm bends or sag such that the substrate S is positioned by a sag distance DRP. Deviated from the conveying plane. Note that the term "droop" is used here for convenience to describe the uncommanded total/unexcited Z-direction displacement or "sag" of part of the transfer arm from a reference datum plane (such as the wafer transfer plane TP). ). [0104] The droop distance DRP of the delivery arm 315 depends on a number of factors. For example, the sag distance DRP may be a combination of one or more of the following: the transfer arm 315 link due to the load imposed on the resilient arm member (such as the weight of the base plate and/or arm link), the drive area 200 and/or Or the bending and deflection caused by the payload of the conveyor arm; the bending and torsion implemented on the conveyor arm from the driving load; and the rotation axes T1, T2, T3, etc. from the conveyor arm 315 joint with respect to the horizontal plane (such as the transfer plane TP) The non-orthogonal variability and/or the motion effect of the non-orthogonality of the drive spindles of the drive region 200 with respect to each other and the transfer plane TP. [0105] As can be appreciated, the resulting droop distance DRP is variable as a function of the extended position of the delivery arm 315 along the extension axis R, the rotation of the delivery arm in the direction T about the axis θ, the direction along which the delivery arm moves along the axis θ. Z-axis lift or Z position, and other environmental factors such as arm temperature (note thermal expansion and contraction of arm links and other components of the conveyor arm [including the drive area]). As discussed above, increasing the throughput of substrate processing equipment such as processing equipment 100A, 100B, 100C, 100D, 100E, 100F, 100G, 100H imposes size limitations on allowable sag. For example, to increase throughput, the height SVH of the slit valve SV hole may be made smaller to reduce the amount of time required to open and close the slit valve. This reduced height SVH reduces the amount of clearance between the end effector of the delivery device 315 and the slit valve SV (e.g., the clearance between the substrate clamped on the end effector and the slit valve, the wrist joint of the end effector clearance between the slit valve, etc.), especially due to the process module arrangement and transfer arm/end effector architecture (e.g. short end effector wrist W to move part of the wrist W extending through the slit valve Distance - note that wrist W is the joint where the end effector is coupled to the rest of the delivery arm). On the other hand, it is desirable to reduce the Z-travel between the end effector and the substrate holding station to reduce substrate handover time. This reduced Z travel requires the end effector and the overlying substrate to be placed closer to the substrate clamping station. [0106] As mentioned above, the droop distance DRP is defined by a combination of linear and highly nonlinear factors, so it is inappropriate to predict the droop distance DRP using classical analysis methods. Aspects of the disclosed embodiments provide a substrate transfer arm droop mapping apparatus 2000 (see FIGS. 4A to 4C ) constructed with a transfer arm 315 extending in the R direction and combined with a processing apparatus 100A operating the transfer arm 315 along the middle thereof. , 100B, 100C, 100D, 100E, 100F, 100G, 100H with different extension and contraction axes, and the droop distance DRP is measured during the rotation direction of the T direction. Aspects of the disclosed embodiments also provide a conveyor apparatus and a substrate processing apparatus in which the conveyor apparatus is located, configured to compensate for arm sag during operation of the conveyor apparatus. Aspects of the disclosed embodiments also provide methods of operating substrate processing equipment and transport equipment disposed therein to compensate for arm droop. Aspects of the disclosed embodiments provide for increased placement accuracy of end effectors of a conveyor apparatus and overlying substrates, thereby increasing throughput of the substrate processing apparatus. [0107] Shown are processing apparatuses 100A, 100B, 100C, 100D, 100E, 100F, 100G, 100H, such as, for example, a semiconductor tool station, in accordance with aspects disclosed. Although the drawings show a semiconductor tool station, aspects of the specific aspects disclosed herein may be applied to any tool station or application employing a robotic manipulator. In one aspect, processing equipment 100A, 100B, 100C, 100D, 100E, 100F is shown with a clustered tool arrangement (eg, with substrate holding stations connected to a central chamber); while in other aspects, the processing equipment may be a linear array of tools. 100G, 100H, as described in U.S. Patent No. 8,398,355 entitled "Linear Distribution Semiconductor Workpiece Processing Tool" issued on March 19, 2013 (the entire disclosure of which is incorporated herein by reference); however, the specific aspects of the disclosure Aspects of can be applied to any suitable tool station. Apparatus 100A, 100B, 100C, 100D, 100E, 100F, 100G, 100H generally includes: an atmospheric front end 101; at least one vacuum load lock chamber 102, 102A, 102B, 102C; and a vacuum back end 103. At least one vacuum load lock chamber 102, 102A, 102B, 102C may be coupled to any suitable port(s) or opening(s) of front end 101 and/or rear end 103 in any suitable arrangement. For example, in one aspect, one or more load lock chambers 102, 102A, 102B, 102C may be arranged side by side on a common horizontal plane, as can be seen in Figures 1B-1D and 1G-1K. In other aspects, one or more load lock chambers may be arranged in a lattice pattern such that at least two load lock chambers 102A, 102B, 102C, 102D are arranged in rows (e.g., with separated horizontal surfaces) and columns (e.g., with separated vertical planes), as shown in Figure 1E. In yet other aspects, one or more load lock chambers may be a single line of load lock chambers 102, as shown in Figure 1A. In yet another aspect, at least one load lock chamber 102, 102E can be arranged in a stacked line arrangement, as shown in Figure 1F. It should be understood that although the load lock chambers are illustrated at end 100E1 or face 100F1 of transfer chambers 125A, 125B, 125C, 125D, one or more load lock chambers may otherwise be arranged in transfer chambers 125A, 125B, 125C. , 125D on any multi-side 100S1, 100S2 or end 100E1, 100E2 or face 100F1-100F8. Each of the at least one load lock chamber may also include one or more wafer/substrate rest planes WRP (FIG. IF), where the substrate is clamped on suitable supports within the respective load lock chamber. Otherwise, the tool station may have any suitable architecture. Components of the front end 101 and at least one load lock chamber 102, 102A, 102B, 102C and each of the rear end 103 may be connected to a controller 110, which may be part of any suitable control architecture, such as, for example, a cluster. Architecture control. The control system may be a closed-loop controller with a master controller (which may be controller 110 in one aspect), a cluster controller, and an autonomous remote control, such as in the document titled "Scalable Motion Control" issued on March 8, 2011 System" disclosed in U.S. Patent No. 7,904,182, the disclosure of which is incorporated herein by reference in its entirety. Otherwise, any suitable controller and/or control system may be utilized. [0108] In one aspect, the front end 101 generally includes a loadport module 105 and a mini-environment 106, such as an equipment front end module (EFEM). The load port module 105 may be a box opener/loader to tool standard (BOLTS) interface that complies with SEMI standards E15.1, E47.1, E62, etc. for 300 mm load ports. E19.5 or E1.9, or front or bottom opening cases/pods and cassettes. In other aspects, the load port module can be configured as a 200 mm wafer/substrate interface, a 450 mm wafer/substrate interface, or any other suitable substrate interface, such as larger or smaller semiconductor wafers/ Substrate, flat panel for flat panel display, solar panel, photomask or any other suitable object. Although three load port modules 105 are shown in FIGS. 1A-1D, 1J, and 1K, in other aspects, any suitable number of load port modules may be incorporated into the front end 101. The load port module 105 may be configured to receive substrate carriers or cassettes C from an overhead conveyor system, an automated guided vehicle, a personnel guided vehicle, a rail guided vehicle, or from any other suitable conveying method. Load port module 105 may interface with mini-environment 106 through load port 107 . Load port 107 may allow substrates to pass between substrate cassettes and mini-environment 106 . Mini-environment 106 generally includes any suitable transfer robot 108 that may incorporate one or more aspects of the disclosed aspects described herein. In one aspect, the robot 108 may be a track-mounted robot, such as described in U.S. Patent Nos. 6,002,840, issued on December 14, 1999, U.S. Patent Nos. 8,419,341, issued on April 16, 2013, and U.S. Patent Nos. 1, 2010, for example. U.S. Patent No. 7,648,327, issued in September 2019, the entire disclosure of which is incorporated herein by reference. Otherwise, the bot 108 may be substantially similar to that described herein with respect to the backend 103 . Mini-environment 106 may provide a controlled clean zone for transferring substrates between multiple load port modules. [0109] At least one vacuum load lock chamber 102, 102A, 102B, 102C may be located between and connected to the mini-environment 106 and the backend 103. In other aspects, load port 105 may be generally directly coupled to at least one load lock chamber 102, 102A, 102B, 102C or transfer chamber 125A, 125B, 125C, 125D, 125E, 125F, into which substrate carrier C is drawn. 125A, 125B, 125C, 125D, and the substrate is transferred directly between the substrate carrier C and the load lock chamber or transfer chamber. In this regard, the substrate carrier C may function as a load lock chamber such that the processing vacuum of the transport chamber extends into the substrate carrier C. As can be appreciated, where substrate carrier C is substantially directly coupled to the load lock chamber via a suitable load port, any suitable transfer device may be disposed within the load lock chamber or otherwise have access to carrier C for transfer Substrates are transported to and from the substrate carrier C. Note that the term vacuum as used herein may refer to a high vacuum in which substrates are processed, such as 10 −5 Torr or less. At least one load lock chamber 102, 102A, 102B, 102C generally includes atmospheric and vacuum slit valves. Slit valves in the load lock chambers 102, 102A, 102B (and processing station 130) may provide environmental isolation for evacuating the load lock chambers after front loading substrates from the atmosphere, and when filled with an inert gas such as nitrogen ) to maintain the vacuum in the transfer chamber while ventilating the lock chamber. As will be described herein, the slit valves of processing devices 100A, 100B, 100C, 100D, 100E, 100F (and linear processing devices 100G, 100H) may be located in the same plane, in different vertical stacking planes, or in the same A combination of planar slit valves and slit valves located in different vertical stacking planes (as described above with respect to the load ports) to accommodate transfer of substrates to and from at least processing station 130 and coupled to transfer chambers 125A, 125B, 125C , 125D, 125E, 125F load lock chambers 102, 102A, 102B, 102C. At least one of the load lock chambers 102, 102A, 102B, 102C (and/or the front end 101) may also include an aligner to align the substrate's datum to a desired position for processing or any other suitable substrate metrology device. Among other things, the vacuum load lock chamber may be located at any suitable location within the processing facility and of any suitable architecture. Vacuum backend 103 generally includes: transfer chambers 125A, 125B, 125C, 125D, 125E, 125F; one or more processing stations or modules 130; and any suitable number of transfer unit modules 104, One or more included delivery robots may include one or more aspects of specific aspects of the disclosure described herein. The transfer chambers 125A, 125B, 125C, 125D, 125E, 125F may have any suitable shape and size, which, for example, conforms to SEMI standard E72 guidelines. The following will describe the delivery unit module(s) 104 and one or more delivery robots, which may be located at least partially within the delivery chambers 125A, 125B, 125C, 125D, 125E, 125F, to load the lock chamber 102, 102A, 102B, 120C (or cassette C at the load port) and various processing stations 130 to transport substrates. In one aspect, the conveying unit module 104 can be removed from the conveying chambers 125A, 125B, 125C, 125D, 125E, 125F to form a modular unit, so that the conveying unit module 104 complies with SEMI standard E72 guidelines. [0111] The processing station 130 may operate on the substrate through various deposition, etching, or other types of processes to form circuits or other desired structures on the substrate. Typical processes include, but are not limited to, thin film processes using vacuum, such as plasma etching or other etching processes, chemical vapor deposition (CVD), plasma vapor deposition (PVD), such as ion cloth Implantation, metrology, rapid thermal processing (RTP), atomic layer deposition (ALD), oxidation/diffusion, nitride formation, vacuum lithography, epitaxy (EPI) ), wire bonding and evaporation, or other thin film processes using vacuum pressure. Processing station 130 is communicably connected to transfer chambers 125A, 125B, 125C, 125D, 125E, 125F in any suitable manner (eg, via slit valve SV) to allow substrates to pass from transfer chambers 125A, 125B, 125C, 125D , 125E, 125F pass to the processing station 130, and vice versa. The slit valves SV of the transfer chambers 125A, 125B, 125C, 125D, 125E, 125F can be arranged to allow connection of dual (eg, more than one substrate processing chambers located in a common housing) or side-by-side processing stations 130T1-130T8, Single processing station 130S and/or stacked process modules/load lock chambers (Figures 1E and 1F). Note that when one or more arms of the transport unit module 104 are aligned with a predetermined processing station 130 along the extension-retraction axis R of the transport unit module 104, substrate transfer to and from the processing station 130 and coupling may occur. Load lock chambers 102, 102A, 102B, 102C (or cassette C) in transfer chambers 125A, 125B, 125C, 125D, 125E, 125F. Depending on aspects of the disclosed aspects, one or more substrates may be transferred individually or substantially simultaneously to individual predetermined processing stations 130, such as when picking/placement of substrates from side-by-side or preceding processing stations, as shown in FIG. 1B, As shown in 1C, 1D, 1G~1K. On the one hand, the conveying unit module 104 can be installed on a suspension arm 143 (see, for example, FIGS. 1D and 1G~1I), where the suspension arm 143 has a single suspension link or multiple suspension links 121, 122 or Linear carrier 144, such as the U.S. Patent Provisional Application No. 61/892,849 titled "Processing Equipment" filed on October 18, 2013, and the U.S. Patent titled "Processing Equipment" filed on November 15, 2013 The disclosures in Provisional Application No. 61/904,908 and International Patent Application No. PCT/US13/25513 titled "Substrate Processing Equipment" filed on February 11, 2013 are hereby incorporated by reference in their entirety. Referring now to FIG. 1L , shown is a schematic plan view of linear wafer processing system 100G with tool interface area 2012 mounted to transfer chamber module 3018 such that interface area 2012 is generally facing (e.g., inwardly) but sideways. Move to the longitudinal axis X of the transport chamber 3018. Delivery chamber modules 3018 may be extended in any suitable direction by attaching other delivery chamber modules 3018A, 3018I, 3018J to interfaces 2050, 2060, 2070, as described in U.S. Patent No. 8,398,355, previously and described in This is for reference. Each transport chamber module 3018, 3018A, 3018I, 3018J includes any suitable wafer transporter 2080 that may include one or more aspects of the specific aspects disclosed herein to transport wafers throughout Processing system 100G and, for example, access processing module PM. As can be appreciated, each chamber module may be capable of maintaining an isolated or controlled atmosphere (eg, N2 , clean air, vacuum). [0114] Referring to FIG. 1M, shown is a schematic diagram of an exemplary processing tool 100H, which may be along the longitudinal axis X of the linear transfer chamber 416, for example. In terms of the specific aspect of the disclosure shown in FIG. 1M, tool interface region 12 may representatively be connected to transfer chamber 416. In this regard, interface region 12 may define an end of tool delivery chamber 416 . As seen in FIG. 1M , the transfer chamber 416 may have another workpiece entry and exit station 412 , for example at an end opposite the interface station 12 . Among other things, other entry and exit stations can be set up to insert/remove workpieces from the transfer chamber. In one aspect, interface area 12 and access station 412 may allow workpieces to be loaded and unloaded from the tool. In other aspects, the workpiece can be loaded into the tool from one end and removed from the tool from the other end. In one aspect, transfer chamber 416 may have one or more transfer chamber modules 18B, 18i. Each chamber module may be capable of maintaining an isolated or controlled atmosphere (eg N 2 , clean air, vacuum). As previously noted, the architecture/arrangement of the transfer chamber modules 18B, 18i and load lock chamber modules 56A, 56 and the workpiece stations forming the transfer chamber 416 shown in FIG. 1M is exemplary only; and in other respects, transfer A chamber can have more or fewer modules configured in any desired module arrangement. In the aspect shown, station 412 may be a load lock room. In other aspects, load lock chamber modules may be located between end entry and exit stations (similar to station 412), or adjacent transfer chamber modules (similar to module 18i) may be constructed to operate as load lock chambers . [0115] As also noted previously, the transfer chamber modules 18B, 18i have therein one or more corresponding transfer devices 26B, 26i, which may include one or more of the specific aspects disclosed herein. More aspects. The conveying devices 26B, 26i of the individual conveying chamber modules 18B, 18i may cooperate to provide a linearly distributed workpiece conveying system 420 in the conveying chamber. In this regard, the conveyor device 26B may have a conventional selective compliant articulated robot arm (SCARA) arm architecture (although in other respects, the conveyor arms may have any other desired arrangement, as discussed below. mentioned). [0116] In aspect of the specific aspect of the disclosure shown in FIG. 1M, the arms and/or end effectors of conveyor device 26B can be arranged to provide what may be referred to as a quick-switch arrangement that allows the conveyor to be moved from a pick/place location to Quickly switch wafers. Transfer arms 26B may have any suitable drive zones (e.g., coaxially arranged drive shafts, side-by-side drive shafts, horizontally adjacent motors, vertically stacked motors, etc.) to provide any suitable number of degrees of freedom for each arm (For example, there is Z-axis motion around the independent rotation of the shoulder and elbow joints). As seen in Figure 1M, in this regard, modules 56A, 56, 30i may be interposed between transfer chamber modules 18B, 18i and define appropriate processing modules, load lock chamber(s), and Buffer station, measuring station(s) or any other station(s) desired. For example, plug-in modules such as load lock chambers 56A, 56 and workpiece station 30i each have stationary workpiece supports/shelf 56S, 56S1, 56S2, 30S1, 30S2 that cooperate with conveyor arms to move along the The linear axis X of the conveying chamber implements workpiece conveyance through the length of the conveying chamber. For example, workpiece(s) may be loaded into transfer chamber 416 from interface area 12 . The workpiece(s) may be positioned on the support(s) of the load lock chamber module 56A using the transport arm 15 of the interface area. Workpiece(s) in load lock chamber module 56A may be moved between load lock chamber module 56A and load lock chamber module 56 by transfer arm 26B in module 18B, and in a manner similar to The arm 26i (in the module 18i) is used in a continuous manner to move between the load lock chamber 56 and the workpiece station 30i, and the arm 26i in the module 18i is used to move between the station 30i and the station 412. This process can be reversed in whole or in part to move the workpiece(s) in the opposite direction. Thus, on the one hand, the workpiece can be moved in any direction along the axis do processing or other things). In other aspects, a plug-in transfer chamber module with a stationary workpiece support or shelf may not be disposed between the transfer chamber modules 18B, 18i. In this aspect, the transfer arm adjacent to the transfer chamber module can transfer the work piece directly from the end effector or from one transfer arm to the end effector of another transfer arm to move the work piece through the transfer chamber. Processing station modules can operate on the wafer through a variety of deposition, etching or other types of processing to form circuits or other desired structures on the wafer. The processing station module is connected to the transfer chamber module to allow wafers to pass from the transfer chamber to the processing station, and vice versa. A suitable example of a processing tool having general features similar to that shown in Figure ID is described in US Pat. No. 8,398,355, which was previously incorporated by reference in its entirety. [0117] Referring now to FIGS. 2A, 2B, 2C, and 2D, in one aspect, the conveyor unit module 104 includes at least one drive area 200, 200A, 200B, 200C and at least one conveyor arm portion having at least one conveyor arm, such as The following conveyor arms 314, 315, 316, 317, and 318. The delivery arms 314, 315, 316, 317, 318 may be coupled to the drive shafts of the drive areas 200, 200A-200C in any suitable connection CNX, in any suitable manner, so that the rotation of the drive shafts is implemented as here The movement of the conveying arms 314, 315, 316, 317, 318. As will be described below, in one aspect, the conveyor arms 314, 315, 316, 317, 318 are interchangeable from a plurality of different and interchangeable conveyor arms 314, 315, 316, 317, 318, so as to be in contact with the drive zone. Connect CNX to switch, where each interchangeable arm 314, 315, 316, 317, 318 has a different sag characteristic and an associated corresponding sag distance register 700 (see Figure 7) that describes the associated delivery arm Arm droop distances of 314, 315, 316, 317, and 318. [0118] At least one drive area 200, 200A, 200B, 200C is mounted to any suitable frame of the processing devices 100A to 100H. In one aspect, as noted above, the conveyor unit module 104 may be mounted to the linear slider 144 or the suspension arm 143 in any suitable manner, wherein the linear slider and/or suspension arm 143 has a structure substantially similar to that described herein. The driving areas of the driving areas 200, 200A, 200B, and 200C are described. At least one drive zone 200, 200A, 200B, 200C may include a common drive zone including a frame 200F housing one or more of the Z-axis drive 270 and the rotational drive zone 282. The interior 200FI of the frame 200F may be sealed in any suitable manner, as will be described below. In one aspect, the Z-axis drive may be any suitable drive configured to move at least one transfer arm 300, 301 along the Z-axis. The Z-axis driver is illustrated as a screw driver in FIG. 2A , but in other aspects, the driver can be any suitable linear driver, such as a linear actuator, a piezoelectric motor, etc. Rotary drive zone 282 may be configured as any suitable drive zone, such as a harmonious drive zone, for example. For example, the rotary drive area 282 may include any suitable number of coaxially arranged harmoniously driven motors 280. For example, FIG. 2B shows that the drive area 282 includes three coaxially arranged harmoniously driven motors 280, 280A, and 280B. In other aspects, the drive locations of drive area 282 may be side-by-side and/or coaxially arranged. In one aspect, the rotary drive zone 282 shown in FIG. 2A includes a harmonically driven motor 280 for driving one of the shafts 280S; however, in other aspects, the drive zone may include any suitable number of harmonically driven motors 280, 280A, 280B. (FIG. 2B), which corresponds, for example, to any suitable number of drive shafts 280S, 280AS, 280BS in a coaxial drive system (FIG. 2B). The Harmony Drive motor 280 may have a high capacity output bearing such that the components of the ferrous fluid seals 276, 277 are centered and at least partially supported by the Harmony Drive motor 280 during the desired rotation T and There is sufficient stability and clearance during extended R movements. Note that the ferrous fluid seals 276, 277 may include several parts that form a generally concentric coaxial seal, as will be described below. In this example, the rotary drive area 282 includes a housing 281 housing one or more drive motors 280, which may be generally similar to those described above and/or in U.S. Pat. Nos. 6,845,250, 5,899,658, 5,813,823, and 5,720,590, which disclose the entire And use this as a reference. The ferrous fluid seals 276, 277 may have tolerances to seal each drive shaft 280S, 280AS, 280BS within the drive shaft assembly. In one aspect, the ferrous fluid seal may not be provided. For example, the drive region 282 may include a drive having a stator that is substantially sealed from the environment of the operating conveyor arm, while the rotor and drive shaft share the environment of the operating arm. Suitable examples of actuation zones that may be used in aspects of the disclosure without ferrous fluidic seals include the MagnaTran® 7 and MagnaTran® 8 robotic actuation zones from Brooks Automation, Inc., which may have a seal can arrangement as follows will be described. Note that the drive shaft(s) 280S, 280AS, 280BS may also have a hollow structure (eg, with a hole extending longitudinally along the center of the drive shaft) to allow electrical wires 290 or any other suitable items to pass through the drive assembly to e.g. to another drive area, as described in U.S. Patent Application No. 15/110,130, filed on July 7, 2016 and published as U.S. Patent Publication No. 2016/0325440 on November 10, 2016 (which discloses the entire hereby reference), or to any suitable position encoder, controller and/or at least one transfer arm 314, 315, 316, 317, 318 mounted to the driver 200, 200A, 200B, 200C. As can be appreciated, each drive motor of the drive areas 200, 200A, 200B, 200C may include any suitable encoder configured to detect the position of the individual motor to determine whether each conveyor arm 314, 315, 316, 317, The positions of the end effectors 314E, 315E, 316E, 317E1, 317E1, 318E1, and 318E2 of 318. [0119] In one aspect, housing 281 may be mounted to carrier 270C, which is coupled to Z-axis drive 270 such that Z-axis drive 270 moves the carrier (and housing 281 thereon) along the Z-axis. . As can be appreciated, to seal the controlled atmosphere in which at least one transfer arm 300, 301 is operated from the interior of the driver 200, 200A, 200B, 200C (which may operate in an atmospheric pressure ATM environment) may include one or more of the above. A plurality of ferrous fluid seals 276, 277 and bellows seals 275. The bellows seal 275 may have one end coupled to the carrier 270C and the other end coupled to any suitable portion of the frame 200FI such that the interior 200FI of the frame 200F and the controlled atmosphere in which the at least one transfer arm 300, 301 operates isolate. [0120] Otherwise, as noted above, a driver may be provided on the carrier 270C with a stator seal isolated from the atmosphere operating the transfer arm without a ferrous fluid seal, such as the MagnaTran from Brooks Automation. ® 7 and MagnaTran ® 8 robot drive areas. For example, also referring to Figures 2C and 2D, the rotational drive region 282 is configured such that the motor stator is hermetically isolated from the environment in which the robotic arm is operated, while the motor rotor shares the environment in which the robotic arm is operated. Figure 2C illustrates a coaxial drive having a first drive motor 280' and a second drive motor 280A'. The first drive motor 280' has a stator 280S' and a rotor 280R', where the rotor 280R' is coupled to the drive shaft 280S. Canister seal 280CS may be positioned between stator 280S' and rotor 280R' and connected to housing 281 in any suitable manner so as to seal stator 280S' from the environment in which the robot arm is operated. Similarly, motor 280A' includes a stator 280AS' and a rotor 280AR', where rotor 280AR' is coupled to drive shaft 280AS. The tank seal 280ACS can be configured between the stator 280AS' and the rotor 280AR'. The can seal 280ACS may be connected to the housing 281 in any suitable manner to seal the stator 280AS' from the environment in which the robot arm is operated. As can be appreciated, any suitable encoders/sensors 268A, 268B may be provided to determine the position of the drive shaft and arm(s) operating the shaft(s). Referring to Figure 2D, illustrated is a three-axis rotational drive area 282. The three-axis rotary drive section may be generally similar to the coaxial drive section described above with respect to Figure 2C; however, in this regard, there are three motors 280', 280A', 280B', each having a drive shaft coupled to an individual drive shaft 280A, 280B'. Rotors 280R', 280AR', 280BR' for 280AS and 280BS. Each motor also includes an individual stator 280S', 280AS', 280BS' which is sealed from the atmosphere operating the robotic arm(s) by an individual can seal 280SC, 280ACS, 280BCS. As can be appreciated, any suitable encoder/sensor may be provided to determine the position of the drive shaft and the arm(s) operating the drive shaft(s) as described above with respect to Figure 2C. As can be appreciated, on the one hand, the drive shaft of the motor illustrated in FIGS. 2C and 2D may not allow the wire 290 to feed through; while on other aspects, any suitable seal may be provided so that the wire may pass through, for example, FIGS. 2C and 2D. The hollow drive shaft of the motor demonstrated in 2D. [0121] Referring now to Figures 3A-3E, the suspension arm 143 and/or the delivery unit module 104 may include any suitable arm linkage(s). Suitable examples of arm linkages can be found, for example, in U.S. Patent No. 7,578,649 issued on August 25, 2009, U.S. Patent No. 5,794,487 issued on August 18, 1998, U.S. Patent No. 5,794,487 issued on May 24, 2011 Patent No. 7,946,800, U.S. Patent No. 6,485,250 issued on November 26, 2002, U.S. Patent No. 7,891,935 issued on February 22, 2011, U.S. Patent No. 8,419,341 issued on April 16, 2013, November 2011 U.S. Patent Application No. 13/293,717 titled "Dual-Arm Robot" filed on September 10, 2013, and U.S. Patent Application No. 13/293,717 titled "Linear Vacuum Robot with Z-Motion and Articulated Arm" filed on September 5, 2013 No. 13/861,693, which is disclosed in its entirety and is incorporated herein by reference. In aspects of the disclosed specific aspects, at least one transfer arm, suspension arm 143 and/or linear slider 144 of each conveyor unit module 104 may be derived from a conventional SCARA arm 315 (Selective Compliance Articulated Robot Arm). ) (Fig. 3C) type design, which includes an upper arm 315U, a belt driven forearm 315F and a belt localized end effector 315E, or is derived from a telescoping arm or any other suitable arm design, such as a Cartesian linear sliding arm 314 (Fig. 3B). Suitable examples of transfer arms can be found, for example, in U.S. Patent Application No. 12/117,415, 100G, filed on May 8, 2008, titled "Substrate Transfer Apparatus Having Multiple Movable Arms Utilizing Mechanical Switching Mechanisms" No. 7,648,327, issued on January 19, 2017, the entire disclosure of which is incorporated herein by reference. The transfer arms may operate independently of each other (e.g., each arm extends/retracts independently of the other arms), may operate via loss-of-motion switching, or may be operationally linked in any suitable manner such that the arms share at least one common of drive shaft. In other aspects, the delivery arms may have any other desired arrangement, such as a frog-leg arm 316 (FIG. 3A) architecture, a jumping frog arm 317 (FIG. 3E) architecture, a double symmetrical arm 318 (FIG. 3D) architecture, etc. Suitable examples of transfer arms may be found in U.S. Patent Nos. 6,231,297, issued on May 15, 2001, U.S. Patent Nos. 5,180,276, issued on January 19, 1993, U.S. Patent Nos. 6,464,448, issued on October 15, 2002, U.S. Patent No. 6,224,319 issued on May 1, 2001, U.S. Patent No. 5,447,409 issued on September 5, 1995, U.S. Patent No. 7,578,649 issued on August 25, 2009, U.S. Patent No. 7,578,649 issued on August 18, 1998 U.S. Patent No. 5,794,487, U.S. Patent No. 7,946,800 issued on May 24, 2011, U.S. Patent No. 6,485,250 issued on November 26, 2002, U.S. Patent No. 7,891,935 issued on February 22, 2011, 2011 U.S. Patent Application No. 13/293,717 titled "Dual-arm Robot" filed on November 10, and U.S. Patent Application No. 13/270,844 titled "Coaxial Drive Vacuum Robot" filed on October 11, 2011 , which is disclosed in its entirety and is hereby used for reference. Note that the suspension arm 143 may have a generally similar architecture to the conveyor arms 314, 315, 316, 317, 318, with the conveyor module unit 104 mounted to the suspension arm in place of the end effectors 315E, 316E, 317E1, 317E1, 318E1, 318E2. As can be appreciated, the transfer arm(s) 314, 315, 316, 317, 318 are operatively coupled to the respective drive zones 200, 200A, 200B, 200C in any suitable manner such that the respective drive Zones 200, 200A, 200B, 200C are defined by articulation of transfer arms 314, 315, 316, 317, 318 along at least one axis of motion with respect to a frame (such as frame 200F or any suitable frame of processing tools 100A-100H). In a defined transport space TSP (see Figures 4A and 4B), in a first arm position 2030A (e.g., the retracted position of the transport arm, see Figure 4A) and a second arm position 2030B that is different from the first arm position 2030A (e.g., the transport arm The articulated movement of the transport arms 314, 315, 316, 317, 318 is carried out with respect to the frame 200F between extended positions, see Figure 4B). As will be described in greater detail below, any suitable controller (eg, controller 110) may be coupled to the drive areas 200, 200A, 200B, 200C in any suitable manner to drive the drive areas 200, 200A, 200B, 200C, so as to effect delivery. Articulation of arms 314, 315, 316, 317, 318. Controller 110 includes sag compensator 110DC configured such that arm sag compensator 110DC resolves transfer arm 314, 315, 316, 317, 318 due to transfer arm sag between first arm position 2030A and second arm position 2030B. The arm drop distance DRP (Figure 4D), as will be described in more detail here. [0122] Referring now to FIGS. 4A to 4D, a schematic diagram of a substrate transport arm droop surveying and mapping device 2000 is demonstrated. In one aspect, mapping device 2000 includes a frame 2000F configured to receive transport device 2004 in any suitable manner such that transport arms 315-318 of transport device 2004 are movably positioned as described herein. In one aspect, the conveyor 2004 is, for example, generally similar to the conveyor module 104 (including one or more conveyor arms 314, 315, 316, 317, 318 and drive areas 200, 200A-200C); or in other aspects , similar to the conveyor equipment module 104 installed on the above-mentioned suspension arm 143 or linear slider 144. In one aspect, frame 2000F defines any suitable reference datum feature that corresponds to and represents a suitable reference for, for example, the transfer chamber of front-end module 101 or the transfer chambers 125A-125F, 3018, 3018A, 416 of any suitable processing tools 100A-100H. Datum features. [0123] In one aspect, the frame 2000F includes a mounting surface 2010 that forms a base reference surface to which the mounting flange 200F of the conveyor device 2004 is attached. In one aspect, the engagement of mounting flange 200F with mounting surface 2010 establishes the vertical or Z location of transfer plane TP. In other aspects, the mounting surface 2010 forms a base reference surface for engaging the Z-axis rail 2007 of the conveyor device 2004 . On the one hand, the engagement of the Z-axis rail 2007 with the mounting surface 2010 establishes the vertical or Z position of the transfer plane TP. In one aspect, the base reference surface formed by the mounting surface 2010 forms an interface between the frame 2000F and the conveyor apparatus 2004, which represents the substrate conveyor system of the processing apparatus 100A, 100B, 100C, 100D, 100E, 100F, 100G, 100H. The substrate transport space TSP in the processing tool (such as the front-end module 101 or the transfer chambers 125A to 125F, 3018, 3018A, and 416). In one aspect, the substrate transport system includes one or more of the following: transport equipment 2004 for processing tools 100A~100H, substrate clamping locations (such as process modules, aligners, buffers, etc.), substrate/cassette lifts, narrow Slit valve SV. [0124] In one aspect, the transport device 2004 has articulated arms 314, 315, 316, 317, 318 (such as those described above) including drive areas 200, 200A-200C and an end effector having a substrate gripper SH 314E, 315E, 316E, 317E1, 317E2, 318E1, 318E2. For purposes of explanation, aspects of the disclosed embodiments will be described using end effector 315E and arm 315, but it will be understood that aspects of the disclosed embodiments apply equally to arms 314, 315, 316, 317, 318 and end effector Devices 314E, 316E, 317E1, 317E2, 318E1, 318E2. The conveyor device 2004 may be mounted to the frame 2000F with individual drive areas 200, 200A, 200B, 200C, thereby providing the conveyor arm 315 with at least one axis of motion (θ, R, Z) to move with at least one degree of freedom. Determine the droop distance DRP of the delivery arm 315 as described herein. In one aspect, conveyor device 2004 is mounted to frame 2000F such that it has a predetermined relationship to at least one datum feature 2000DF of frame 2000F. For example, in one aspect, the Z-axis rail 2007 of the conveyor device 2004 mounting the flange 200F and/or the drive zones 200, 200A, 200B, 200C may be aligned with respect to a home or home position of the conveyor arm 315. For example, mounting flange 200F (or housing of drive area 200 ) and/or Z-axis rail may include any suitable datum reference feature 200DF that points to rotation of transfer arms 315 - 318 in the T direction about axis θ Location. For example, datum reference feature 200DF may define or otherwise indicate the rotational direction of the arm about axis θ, which corresponds to zero degrees of rotational angle of extension and contraction (see extension axis R1 and corresponding angle θ1 of FIG. 4C ). The frame 2000F of the mapping device 2000 includes any suitable datum or reference feature 2000DF configured to interface with or be coupled to the datum reference feature 200DF of the conveyor device 2004 such that it can be configured relative to the datum feature 200DF corresponding to the processing device 100A. The predetermined direction of the direction of the conveying device 2004 within ~100H is used to map the droop distance DRP of the conveying arm 315. For example, the interface or coupling of datum reference features 200DF, 2000DF rotationally positions substrate conveyor 2004 within frame 2000 in the T direction about axis θ such that there are zero degrees of extension and contraction rotation angles (e.g., extension axis R1) is at a known predetermined location with respect to frame 2000F. As can be appreciated, mounting the conveyor device 2004 in the frame 2000F at a known location provides for substantially all angles θ1 - θ8 through which the conveyor arm extends and retracts and for all distances the arm extends along the different extension axes R1 - R8 DEXT (such as arrival position) to measure the droop distance DRP. [0125] In one aspect, the substrate transfer arm droop mapping apparatus 2000 also includes a registration system 2020 configured relative to the substrate transfer arm 315 and at least one datum feature 200DF, 2000DF, such that due to differences between the first arm position 2030A and the second Between the arm positions 2030B and the arm droop change caused by the movement of the end effector 315E (including the substrate holder SH) in the transport space TSP along at least one motion axis (such as R, θ, Z), the registration system registers the arm Droop distance DRP. In one aspect, registration system 2020 includes any suitable controller 2020C including memory 2020CM and processor 2020CP including any suitable non-transitory computer code to implement a substrate transfer arm droop mapping apparatus as described herein. 2000 operations. The substrate transfer arm droop mapping apparatus 2000 further includes at least one sensing device 2021 configured to extend and retract one or more of the substrate transfer arms 315 to 318 R1 to R8 along with the end effector 315E within the transfer space TSP. The path is operated to sense or otherwise detect the position of at least end effector 315E. Among other aspects, at least one sensing device 2021 is configured to sense or detect the position of any suitable portion of the substrate transfer arm 315 (the wrist joint corresponding to axis T3, the substrate S clamped on the end effector 315E, etc.). In one aspect, at least one sensing device 2021 includes at least one optical sensor, such as motion tracking camera(s) or through-beam sensor, or any other suitable sensor (for example, proximity sensor, capacitive sensor) , laser sensors, confocal sensors, or sensors using radar, LIDAR, or echo locations) configured to sense/detect the position of at least the end implementer 315E within the transport space TSP. In one aspect, at least one sensing device 2021 is arranged or otherwise aligned with any suitable feature of the delivery arm 315 such as the end effector 315E, the substrate S clamped to the end effector, and/or any other suitable feature of the delivery arm 315 Features) form an interface such that the position of the feature of the transfer arm 315 is determined, such as the position of the feature in the Z direction relative to or about a predetermined reference datum representing the substrate transfer plane TP. [0126] In one aspect, controller 2020C may be generally similar to controller 110 in that controller 2020 is configured to control conveyor device 2004 to extend along one or more extension and retraction paths R1 to R8 in any suitable manner. number of degrees of freedom to move, as described here. Controller 2020C is coupled to at least one sensing device 2021 in any suitable manner (eg, through any suitable wired or wireless connection). At least one sensing device 2021 is configured to transmit and controller 2020C is configured to receive any suitable signal from at least one sensing device 2021 embodying end effector 315E of substrate transfer arms 315-318 (including substrate S clamped thereon) or The position (e.g. θ, R, Z) of any other suitable features within the transport space TSP relative to the transport plane TP. For example, also referring to FIGS. 4A to 4D and 5 , a schematic diagram of a droop distance DRP below a substrate transfer arm (eg, substrate transfer arm 315 ) is demonstrated. In this regard, the droop distance DRP is shown measured at three points along the substrate S carried on the end effector 315E of the substrate transfer arm 315 . For example, as the substrate S is transported along the extension and contraction axes R1 to R8, the droop distance DRP with respect to the transfer plane TP (such as the Z position change of the end effector 315E and the substrate clamped thereon) can be in front of the substrate S. Measured at the edge SLT, the center SC of the substrate S, and/or the trailing edge SLT of the substrate S. As described herein, the position of the substrate S or the end effector 315E may be based on the position of the substrate S or the end effector 315E when the transfer arm 315 is in the retracted configuration (eg, when the substrate S is in the first position 2030A), such as the position of the substrate S in the arm retracted configuration. central SC, while defining the transfer plane TP. In other aspects, the transfer plane TP may be defined based on the location of any suitable substrate clamping stations such as process modules, buffers, aligners, load lock chambers, etc. As also discussed herein, non-linear factors of the conveyor arm 315 cause changes in the Z position (such as the drop distance DRP) with respect to, for example, any suitable reference datum (such as the conveyor plane TP), the height of which is dependent on the particular configuration of the conveyor arm 315. And the droop DRP varies uniquely with each arm 314, 315, 316, 317, 318. [0127] Still referring to FIGS. 4A-4D and 5, exemplary operations of the substrate transfer arm droop mapping apparatus 2000 will be described. In one aspect, frame 2000F is disposed (block 600 of FIG. 6 ) at any suitable location, such as on a semiconductor fabrication facility factory floor or at a fabrication facility of substrate conveyor 104 and/or transfer arm 315 . As mentioned above, the frame includes an interface, such as the above-mentioned reference datum feature 2000DF, where the reference datum feature 2000F represents the substrate transport space TSP. The substrate transfer arm 315 is mounted to the frame 2000F (block 610 of Figure 6) in a predetermined relationship to at least one datum feature 2000DF in any suitable manner, such as described above. In one aspect, the driving areas 200, 200A~200C are mounted to the frame 2000F and the conveying arm 315 is mounted to the driving areas 200, 200A~200C, such that the driving areas 200, 200A~200C implement the conveying arm 315 with respect to at least one datum feature 2000DF. extend. As can be seen in Figure 4C, the driving areas 200, 200A~200C and the installed conveyor arm 315 are mounted to the frame 2000F, so that the reference datum feature 200F of the conveyor device 2004 is located in a predetermined direction with respect to the reference datum feature 2000DF of the frame 2000F. (e.g. aligned in any suitable way). Here, the alignment of the reference features 200DF, 2000DF points the zero or home position of the delivery arm 315 to angle θ1, which corresponds to the extension-retraction axis R1. On the one hand, when the conveying device 2004 is located in the transfer chamber of any suitable processing equipment 100A-H (such as the front-end module 101 or the transfer chambers 125A-125F, 3018, 3018A, 416), the angle θ1 and the extension and contraction axis R1 correspond to The home or zero position of the conveyor 2004. On the one hand, the angle θ1 and the extension and contraction axis R1 and each of the other angles θ2 ~ θ8 and the extension and contraction axis R2 ~ R8 may correspond to the transfer chambers 125A ~ 125F, 3018, 3018A, 3018A, 3018A, 3018A, 3018A, 416's individual extension and contraction axes. [0128] On the one hand, the conveyor arm 315 installed to the drive area 200, 200A~200C can be selected from a plurality of conveyor arms 315~318 installed to the common drive area 200, 200A~200C. For example, drive area 200 may be mounted to frame 2000F, as described above. The arms 314, 315, 316, 317, 318 are interchangeable with each other such that any one of the arms 314, 315, 316, 317, 318 can be selected and installed into the drive area 200. Here, the transfer arm 315 is selected from a plurality of interchangeable transfer arms 314, 315, 316, 317, 318 for mounting to the drive area 200 within the frame 2000F. [0129] As described above, controller 2020C is configured to effect movement of transfer arm 315. The controller 2020C implements movement of the transfer arm 315 between the first arm position 2030A and the second arm position 2030B along at least one axis of motion in the transfer space TSP (block 620 of FIG. 6 ). As described herein, the first arm position 2030A may be a retracted position of the transport arm 315 and the second arm position 2030B may be the position of the slit valve SV or any suitable substrate holding station for the substrate processing apparatuses 100A-100H. (such as loading lock chamber, buffer, process module, etc.) substrate clamping position. For example only, the delivery arm extends at an angle θ1 along the extension-retraction axis R1. The arm drop distance DRP of the delivery arm 315 is registered by the registration system 2020 in any suitable manner (block 630 of Figure 6). For example, in one aspect, the sag distance DRP can be measured from the transfer plane TP, which can be established from the retracted home/zero position of the substrate S (such as when the transport arm retracts to the first retracted position along the extended retract axis R1 Position 2030A and at angle θ1). The transfer plane TP can define the reference datum from which the sag distance DRP is measured for all angles θ1 to θ8 and for all extension and contraction axes R1 to R8. Here, there are eight extension and contraction axes R1~R8 and eight corresponding angles θ1~θ8, but in other aspects, there may be more than or less than eight extension and contraction axes and more than or less than eight corresponding angles. . [0130] As can be seen in FIG. 5 , the transport plane TP may correspond to the center SC of the substrate S clamped on the end effector 315E of the transport arm 315. The registration system 2020 is configured to detect the substrate Z position, for example, at any suitable point on the substrate S (eg, the leading edge SLE, center SC, and/or trailing edge SLT of the substrate S). Here, the Z position of the substrate is used for convenience; and otherwise, the drop distance DRP of the transport arm 315 may be along any suitable axis of any suitable reference frame. In FIG. 5 , as the transport arm 315 extends, for example, along the axis R1 from the first position 2030A to the second position 2030B, the uncompensated leading edge SLE, center SC, and trailing edge SLT of the substrate S are mapped with respect to the arm extension DEXT. Z position. As can be seen in Figure 5, at position 2030A, the trailing edge SLT of the substrate S is lower than the front edge SLE of the substrate; and at the second position 2030B, the trailing edge SLT of the substrate is higher than the front edge SLE of the substrate. As can also be seen in Figure 5, due to linear and non-linear factors implementing the extension of the transfer arm 315, the uncompensated Z position of the center SC of the substrate S is approximately 2.5 distance units below the transfer plane TP. [0131] In one aspect, the registration system 2020 is configured to sample the Z position of the substrate at any suitable incremental distance along the extension-retraction axis R1. For example, as the end effector 315E travels along the extension-retraction axis Rl, the Z position of the substrate may be sampled or measured at each ΔR distance unit increment. On the one hand, the sag distance DRP is shown to be approximately linear (varying with distance along the extension-retraction axis R1) in Figure 5 for demonstration purposes only, but it should be understood that the sag distance may vary non-linearly, for example if there is a significant non-linear total variation. situation. For examples where the sag distance is not linear, the Z position of the substrate can be measured more frequently (e.g. with smaller ΔR distance unit increments between samples) as the substrate S moves along the extension/retraction axis R1 to increase the The Z position of the substrate or the sag distance DRP measured by the extension and contraction axis R1 is defined. As the substrate S moves along the extension and contraction axis R1, the droop distance DRP measured at different ΔR distance unit intervals between the first position 2030A and the second position 2030B is, for example, recorded in the controller. 2020C memory 2020CM or any other suitable memory. In one aspect, the droop distance DRP measurement is stored in any suitable format/manner suitable for programmed control of the conveyor device 2004 including the conveyor arm 315, such as in the form of a lookup table or any suitable algorithm. In one aspect, the sag distance DRP measurement may be stored in the arm sag distance register 700 in the form of a lookup table, an example of which is illustrated in FIG. 7 . In one aspect, the arm sag distance register 700 includes an extended position R ext1-m of the conveyor arm 315 , which is, for example, the center SC of the substrate S carried on the end effector 315E (in other aspects, the conveyor arm 315 The extension position may be determined by any suitable feature of the transfer arm 315 or the substrate S), and the extension angle θ 1-n of the transfer arm 315 is plotted. On the one hand, R ext1 corresponds to the retracted position of the conveyor at the respective angle θ 1-n , and Rm corresponds to the position of the conveyor arm 315 in the second position 2030B (or a subsequent position different from the arm retracted position). Here, each extension position R ext1 ~ R m (there can be any suitable number of extension positions) corresponds to a sampling location for sag measurement DRP. In one aspect, the ΔR distance unit increment between the extended positions R ext1 ~R m ( FIG. 4C ) may be substantially constant; in other aspects, the ΔR distance unit increment may be variable, for example, in The predetermined area of the droop mapping (for example, the predetermined area along the extension and contraction axes R1 ~ R8) provides a larger definition. In one aspect, the angle θ 1-n corresponds to the expansion and contraction axes R1 to R8 and corresponds to different substrate clamping locations; however, in other aspects, there can be any suitable number of angles to measure the sag DRP. [0133] In one aspect, the controller 2020C is configured to drive the drive zone 200 in any suitable manner to extend the delivery arm 315 along the extension-retraction axis R1 at an angle θ1. As the transport arm 315 extends, the sensing device 2021 measures, for example, the Z positions ΔZ 1-1 to ΔZ 1-m of the substrate S at the extended positions R ext1 to R m . The arm drop DRP distances ΔZ1 - 1 to ΔZ1 - m are registered (block 630 of FIG. 6 ) with the controller in any suitable manner, such as in the arm drop distance register 700 . Controller 2020C is further configured to rotate delivery arm 315 such that end effector 315E is positioned to extend at angle θ2 along another extension retraction axis R2, where blocks 620 and 630 of FIG. 6 are repeated to obtain the sag DRP distance ΔZ 2 -1 to ΔZ 2-m are registered in the arm droop distance register 700. In the above manner, the sag distance measurement is made at each angle θ 1-n and for each extension position R ext1-m , so that the corresponding sag DRP is measured and represented in the arm sag distance register 700, for example, as an angle ΔZ 1-1 ~ ΔZ 1-m of θ1 to ΔZ n-1 ~ ΔZ nm at angle θn. Here, the arm droop distance register 700 describes the arm droop distance DRP at the first arm position 2030A, the second arm position 2030B, and at the third arm position 2030C (and subsequent arm positions 2030D~2030P), where the third arm position 2030C (and subsequent arm positions 2030D-2030P) are distinct from both the first arm position 2030A and the second arm position 2030B, in which the end effector 315E is along at least one axis of motion (in this case the extended axis of motion R, but in other respects Move in the T direction along the θ axis of motion and/or along the Z axis of motion). The attention arm sag distance register 700 is demonstrated to make a single sag distance measurement (ΔZ 1-1 ~ ΔZ 1-m at angle θ1 to ΔZ n-1 ~ ΔZ nm at angle θn), which may correspond to the center SC of the substrate S, However, it should be understood that in other aspects, the arm sag distance register 700 may also include sag distance measurement of the leading edge SLE and/or the trailing edge SLT of the substrate S. [0134] As can be seen in Figure 7, the arm droop distance register 700 may not only be a two-dimensional array relating the droop distance DRP to the extension distances Rext1 ~ Rm , but may also be constructed so as to compensate for differences in the operation of the conveyor device 104 environmental conditions (such as, for example, different operating temperatures TH), and/or to compensate for the arm extension of the transport arm 315 at different heights along the Z-axis. For example, blocks 620 and 630 of FIG. 6 may be repeated for any suitable number of different temperatures THinitial ~ THinitial +y , such that the sag compensation described by the arm sag distance register 700 and the arm sag implemented thereby Compensation (as described herein) accommodates, for example, thermal expansion and contraction of arm components (such as arm links, pulleys, belts, end effectors, etc.). Blocks 620 and 630 of FIG. 6 may also be repeated for any suitable number of different Z heights Ziniti ~ Ziniti +x , such that the arm sag distance register 700 and the sag compensation (described herein) implemented thereby are accommodating. For example, misalignment between the Z-axis of the conveyor 104 and the coaxial spindle of the drive zone 200, and/or misalignment between the drive shafts of the coaxial drive zones 200, 200A-200C to which the accommodation arm 315 is coupled. In a still further aspect, where the conveyor apparatus 104 includes interchangeable arms 314, 315, 316, 317, 318, arm sag may be created for each interchangeable arm 314, 315, 316, 317, 318 in the manner described above. The distance from the register is 700, 700'~700n'. [0135] As can be appreciated, the arm sag distance register may be generated in a manner similar to that described above with respect to the mounting of the delivery arm 2004 to the suspension arm 143 or linear slider 144. Here, the suspension arm 143 or the linear slider 144 (on which the transfer arm 2004 is mounted) may be mounted to the frame 2000F of the substrate transfer arm droop mapping apparatus 2000 in a manner substantially similar to that described above, with the registration system 2020 configured in a manner similar to the above. The droop distance of the conveyor arm 2004 mounted to the suspension arm 143 or the linear slider 144 is determined in the manner described relative to the extension and contraction axis R (for example, as shown in FIGS. 1C and 1D ), so that the conveyor arm 2004 and the suspension arm 143 Or the sag distance DRP implemented by the linear slider 144 is registered in the corresponding arm sag register 700 . As can be seen in Figure 7, the arm droop distance register 700 is implemented (such as having a form) so as to define a curve, such as curves 599A~C, which describes the arm droop distance with respect to the arm positions Rext1 ~ Rm , θ1~θn DRP changes in which the end effector 315E moves along one or more axes of motion R, θ, Z. In one aspect, one or more motion axes R, θ, Z define a transfer plane TP or a transfer volume TSV in the substrate transfer space TSP. As can be seen in Figure 7, each curve 599A-C describes the arm position for movement of the end effector 315E along each of one or more axes of motion R, θ, Z (see extension distances R ext1 ~ R m ) changes in the discrete arm sagging distance. [0137] On the one hand, still referring to Figures 4A-4D, 5, 7 and Figures 1A-1M, 2A-2D, the arm sag distance register(s) 700 is configured with individual delivery devices 2004 (and different selectable arms 314, 315, 316, 317, 318, if equipped) to operate. In one aspect, the arm sag distance register(s) 700 for the conveyor device 2004 is transferred (eg, loaded in any suitable manner) to the sag of the controller 110 of the processing devices 100A-100H in which the conveyor device 2004 is to be used. Compensator 110DC. In one aspect, sag compensator 110DC may be disposed within the housing of drive areas 200, 200A-200C, and coupled to controller 110 in any suitable manner to implement arm sag compensation as described herein. The controller 110 is then configured to implement a compensating movement of the conveying arm 2004 (eg, the conveying arm 315 ) with the drive area 200 , 200A-200C of the conveying device 2004 , wherein the compensating movement has a magnitude and a direction that compensates for and resolves the approximate movement of the conveying arm 315 The entire sag distance is DRP. In one aspect, using the processing apparatus 100A of FIG. 1A as an example, the sag compensator 110DC of the controller 110 is configured to determine the delivery arm 315 in the first position 2030A from the sag distance register(s) 700 in any suitable manner. The droop distance DRP between the second position 2030B and the second position 2030B in this example is the position of the slit valve SV. In one aspect, the magnitude and direction of the compensation movement are determined by the droop distance register(s) 700 . As illustrated in FIG. 5 , the controller 110 drives, for example, the conveyor device 2004 (which is exemplified as the conveyor device 104 of FIG. 1A ) according to the magnitude and direction of the compensation movement determined by the sag distance register(s) 700 . The Z-axis driver of the drive regions 200, 200A-200C, such that the substrate S generally runs along the transfer plane TP throughout the movement of the end effector 315E along the extension-retraction axis (eg, axis R1). In this regard, the compensating movement is in direction 586 and has a magnitude that generally corresponds to the amount of uncompensated droop (eg, droop distance DRP) illustrated in Figures 4D and 5. In this way, the compensating movement of the transport arm 315 results in the elimination of substantially the entire droop distance DRP of the transport arm 315 relative to the transfer plane TP (for example, the transfer plane TP here forms a predetermined reference datum for transferring the substrate S), so that during transport The end effector 315E of the second position 2030A in the space TSP is in the net position NP (such as along the transfer plane TP without deviating generally above or below the transfer plane TP), and in the direction in which the presentation arm hangs down (here is the Z direction), this position is independent of arm droop. Again, note that although second location 2030B is illustrated as a slit valve SV location, second location 2030B may otherwise be any suitable predetermined substrate destination in processing apparatus 100A-100H (e.g., aligner, buffer). , process module, etc. clamping location). [0139] Referring to FIG. 9, the controller 110 is configured to implement a compensating movement of the delivery arm 315 such that the end effector 315E completes the movement to reach the second position 2030B at the net position NP. As seen in Figure 9, the end effector is in a retracted position DRXT, which may correspond to first position 2030A. The controller 110 controls, for example, the movement 900 of the transfer arm 315 (which in this example is the Z-axis movement in the direction 586) so that the end effector 315E completes the movement and reaches the second position at the net position NP along the transfer plane TP. 2030B. As can be appreciated, reaching the net position NP is, for example, the center SC of the substrate S (or any other suitable feature of the transfer arm 315, such as the bottom of the end effector or the link coupling the end effector to the transfer arm). The wrist joint) is placed in the desired position roughly independent of arm drop, which increases processing throughput by reducing transfer times (e.g., pick and place times) and processing time (e.g., less time to close slit valves, etc.) , as mentioned above. Positioning the transfer arm 315 substantially independent of the arm droop as described herein also provides for transfer between the end effector interface location of the substrate clamping station (e.g., the end effector 315 and the substrate clamping location of the substrate clamping station). /transfer location) is positioned at a predetermined location within the transport space TSP, such that positioning of the end effector interface location of the substrate clamping station (e.g., corresponding to the second location 2030B) is substantially independent of the arm droop. Implementationally, aspects of the disclosed aspects provide substrate processing tools 100A-100H having a predetermined structure that interacts with the transfer arm 315 and end effector 315E and configured such that the interaction is independent of arm droop. implementation. [0140] Although motion 900 is illustrated as a generally linear motion, otherwise the motion may have any suitable motion profile. For example, movement 900' increases the Z position of end effector 315E greatly toward the beginning of movement 900', while movement 900'' increases the Z position of end effector 315E greatly toward the end of movement 900'. In one aspect, the controller 110 is configured to perform compensating movements with arm motions that move the end effector 315 between the first position 2030A and the second position 2030B along an optimal path with a time-optimal trajectory, for example As stated in U.S. Patent No. 9,517,558 issued on December 13, 2016, U.S. Patent No. 6,216,058 issued on April 10, 2001, and U.S. Patent No. 6,643,563 issued on November 4, 2003, which disclose the entire And use this as a reference. As described herein, drive areas 200, 200A-200C and conveyor arms 315 (and arms 314, 316-318) are constructed with multiple degrees of freedom (such as Z-axis motion, multiple drives each with individual degrees of freedom). axle, etc.), so that the movement of the conveyor arm (eg, conveyor arm 315) has more than one degree of freedom. In one aspect, the arm sag register 700 describes the arm sag distance DRP in the transport space TSP formed by more than one arm motion freedom throughout the transport arm 315 as described above. For example, in one aspect, as described above, the arm droop register 700 includes an arm droop distance DRP for each rotation angle θ of the conveyor arm 315 and for each Z-axis height of the conveyor arm 315 to define the conveyor space. TSP. [0142] Referring also to FIG. 8, an exemplary operation of the conveyor 2004 (which corresponds to the conveyor module 104 and/or the conveyor module 104 mounted to the suspension arm 143 or the linear slider 144) will be described. In one aspect, a substrate transport apparatus 2004 (block 800 of Figure 8) is provided. The substrate transport device 2004 includes drive areas 200, 200A~200C, which are connected to the frames and transport arms 314, 315, 316, 317, 318 of the substrate processing devices 100A~100H. As mentioned above, the transfer arms 314, 315, 316, 317, 318 are articulated and have end effectors 314E, 315E, 316E, 317E1, 317E2, 318E1, 318E2 with substrate holders having the substrate S carried thereon SH. The end effectors 314E, 315E, 316E, 317E1, 317E2, 318E1, 318E2 are conveyed along at least one axis of motion R with respect to the frame as defined by the articulation of the conveyor arms 314, 315, 316, 317, 318 as described above. It can move between a first position 2030A and a second position 2030B different from the first position 2030A in the space TSP. In one aspect, where the conveyor device 2004 includes a plurality of selectable conveyor arms 314, 315, 316, 317, 318, a conveyor arm 314, 315, 316, 317, 318 is selected from the plurality of selectable conveyor arms. 314, 315, 316, 317, 318 (block 805 in Figure 8) to be coupled to the driving regions 200, 200A~200C. [0143] Analyze the droop distance DRP between the first position 2030A and the second position 2030B of the transport arms 314, 315, 316, 317, 318 (block 810 of Figure 8), where the transport arms 314, 315, 316, 317 , 318 The droop distance DRP between the first position 2030A and the second position 2030B is, for example, a distance corresponding to the conveyor device 2004 architecture (such as a drive zone and an arm selected to be coupled to the drive zone, which may include a suspension arm 143 or The arm sag register 700 of the linear slider 144) is determined by the sag compensator 110DC. As discussed above, arm drop compensator 10DC may reside in controller 110 of processing devices 100A-100H, or within drive areas 200, 200A-200C, and be connected to controller 110 in any suitable manner, such that Implement articulation of the conveyor arm. [0144] On the one hand, the controller uses the drive areas 200, 200A~200C to implement the compensation movements 900, 900', 900'' of the transport arm 2004 between the first position 2030A and the second position 2030B (see, for example, Figure 5 and 9) (block 820 of FIG. 8 ), which has a size and direction 586 that compensates for and resolves substantially the entire droop distance DRP of the delivery arm 2004 . As mentioned above, the compensating movements 900, 900', 900'' of the transport arms 314, 315, 316, 317, 318 result in the elimination of substantially the entire sag distance DRP relative to any suitable predetermined reference datum (eg transfer plane TP) , in this case, the substrate holder SH at a predetermined position (for example, the second position 2030B) in the transport space TSP is at the net position NP, and in the direction in which the display arm hangs down (for example, the Z direction), this position is independent of the arm Sagging. On the one hand, the controller 110 completes the arm movement of the delivery arms 314, 315, 316, 317, 318 between the first position 2030A and the second position 2030B, so that the end effectors 314E, 315E, 316E, 317E1, 317E2, The substrate holder SH of 318E1 and 318E2 completes the movement and reaches the second position 2030B which is approximately at the net position NP. In one aspect, the controller 110 implements the compensating movements 900, 900', 900'' while the arm movements cause the substrate gripper SH of the end effector 314E, 315E, 316E, 317E1, 317E2, 318E1, 318E2 to move along a The optimal path of the optimal trajectory moves between the first position 2030A and the second position 2030B, as described above. [0145] According to the above, various aspects of the disclosed specific aspects provide a conveying device 104, 2004, which has a conveying arm 314, 315, 316, 317, 318, which is on the common drive area 200, 200A~200C. are truly switchable/interchangeable with each other, provided that the corresponding arm drop registers 700 for the interchangeable arms 314, 315, 316, 317, 318 are loaded into the controller 110 that controls the movement of the conveyor equipment 104, 2004. Further, while aspects of specific aspects of the disclosure are described above, it should be understood that aspects of the specific aspects of the disclosure may be employed, compared to motion paths that would result without position compensation as described herein. , any given point on the robot arm (such as the substrate clamping location on the end effector, wrist joint, elbow joint, etc.) is maintained within a tight predetermined motion path tolerance. For example, aspects of the disclosed aspects may be based on a general three-dimensional path in the transport space TSP, where the general three-dimensional path is determined as described above using a substrate transfer arm drop mapping device, where the general three-dimensional path is incorporated into Part of the command logic for the transfer arm provided by a controller (eg, controller 110). Aspects of the disclosed embodiments take advantage of the degrees of freedom available from the robot control system (eg, drive areas 200, 200A-200C). For example, if the delivery arm is allowed to move along radial, tangential, vertical, and end-effector orientations (such as when the delivery arm is mounted to or includes a suspension arm or linear slide), then all of these degrees of freedom can be used to compensate for the delivery Mechanical error trajectories in space TSP. More generally, the systems and processes previously described for trajectory compensation based on empirical factors of arm droop (ΔZ) in the 4-dimensional axes (R, θ, Z, TH) of the transport space TSP can be similarly Any given point (e.g., rotation axis T1, rotation axis T2, Trajectory compensation of rotation axis T3 or center SC). This approach would be applicable to maintaining any given point of the transfer arm 315 within any desired (eg, tighter) motion path tolerance compared to the resulting uncompensated mechanical path. This means that the proposed compensation approach can be empirically based on general 3-dimensional paths in space (R, θ, Z), which can be predetermined via experimental measurements and incorporated into part of the algorithm input. The compensation algorithm will take advantage of the degrees of freedom (3, 4, 5, 6 or more) available from the robot control system. For example, if the manipulator allows movement along radial, tangential, vertical, end effector pointing directions, then all these degrees of freedom can be used to correct for mechanical trajectory errors in the transport space TSP. Accordingly, the term arm sag (although previously specifically stated for convenience to indicate uncommanded displacement or "droop" in the Z direction), as used more generally herein, is to be understood to mean delivery arm 315 Uncommanded displacements resulting from bending of the corresponding motion axis or degree of freedom, such as (X,Y) sag or polar coordinate transformation (R,θ) sag. [0147] By further example, referring now to FIG. 7A, an arm drop distance register 700A is provided. In one aspect, empirical sag distance DRP measurements may be stored in arm sag distance register 700A, which has a lookup table format similar to that previously described. The lookup table(s) shown in Figure 7A may be combined or mixed with the lookup table(s) of Figure 7 to form a composite three-dimensional arm droop algorithm for each degree of freedom of the arm. In one aspect, the arm droop distance register 700A includes the extension position R ext1-m of the transport arm 315 , which is, for example, the center SC of the substrate S carried by the end effector 315E and the rotation axis of the wrist W of the transport arm 315 T3, the rotation axis T2 of the elbow of the conveying arm 315, and the extension angle θ 1-n of the conveying arm 315 is plotted (in other aspects, the extending position of the conveying arm 315 can be determined by any other suitable position of the conveying arm 315 or the substrate S. determined by the characteristics). On the one hand, R ext1 corresponds to the retracted position of the conveyor at the respective angle θ 1-n , and R m corresponds to the position of the conveyor arm 315 in the second position 2030B (or a subsequent position other than the arm retracted position). Here, each extension position R ext1 ~R m (there can be any suitable number of extension positions) corresponds to a sampling location for the empirical sag measurement DRP. In one aspect, the ΔR distance unit increment between the extension positions R ext1 ~R m (Fig. 4C) can be approximately constant; in other aspects, the ΔR distance unit increment can be variable, for example, in the sagging A predetermined area of mapping (such as a predetermined area along the extension and contraction axes R1 to R8) is used to provide a larger definition. In one aspect, the angle θ 1-n corresponds to the expansion and contraction axes R1 to R8 and corresponds to different substrate clamping locations; however, in other aspects, there can be any suitable number of angles to measure the empirical sag DRP. [0148] In one aspect, the controller 2020C is configured to drive the drive zone 200 in any suitable manner to extend the delivery arm 315 along the extension-retraction axis R1 at the angle θ1. As the transport arm 315 extends, the sensing device 2021 measures R, θ positions, such as the center SC of the substrate S, the rotation axis T3 of the wrist W, the rotation axis T2 of the elbow, or any other suitable feature of the transport arm 315 or the substrate S in the extended position. ΔR,θ1-1 to ΔR,θ1-m of R ext1 ~R m . The empirical arm sag DRP distances ΔR,θ1-1 to ΔR,θ1-m are registered (block 630 of Figure 6) with the controller in any suitable manner (eg, in arm sag distance register 700A). Controller 2020C is further configured to rotate delivery arm 315 such that end effector 315E is positioned to extend along another extension-retraction axis R2 at angle θ2, where blocks 620 and 630 of Figure 6 are repeated to obtain the empirical droop DRP distance. ΔR,θ2-1 to ΔR,θ2-m are registered in the arm droop distance register 700A. In the above manner, the sag distance is measured at each angle θ 1-n and for each extension position R ext1-m . In this way, the corresponding empirical sag DRP is measured and represented in the arm sag distance register 700A, for example. ΔR, θ1-1 to ΔR, θ1-m at angle θ1 and ΔR, θn-1 to ΔR,θn-m at angle θn. Here, the arm droop distance register 700A describes the experienced arm droop distance DRP at the first arm position 2030A and the second arm position 2030B and at the third arm position 2030C (and subsequent arm positions 2030D~2030P), where the third arm position 2030C (and subsequent arm positions 2030D-2030P) differs from both the first arm position 2030A and the second arm position 2030B, in which the end effector 315E is along at least one axis of motion (in this example the extended axis of motion R, but in other respects is moving in the T direction along the θ axis of motion and/or along the Z axis of motion). Note that the arm droop distance register 700A is demonstrated based on the droop distance measurement (ΔR, θ1-1 to ΔR, θ1-m from the angle θ1 and ΔR, θn-1 to ΔR, θn-m from the angle θn), which can correspond to The center SC of the substrate S, the rotation axis T3 of the wrist W of the transport arm 315, and the rotation axis T2 of the elbow of the transport arm 315. However, it should be understood that in other aspects, the arm droop distance register 700A may also include the leading edge of the substrate S SLE and/or trailing edge SLT or any other suitable feature of the transfer arm 315 sag distance measurement. [0149] As can be seen in FIG. 7A , the arm droop distance register 700A may not only be a two-dimensional array that associates the empirical droop distance DRP with the extension distances R ext1 ~R m , but may also be constructed so as to compensate for the time in which the transport device 104 is operated. Different environmental conditions (such as different operating temperatures TH), and/or compensation for arm extension at different positions of the transfer arm 315 along the Z-axis. For example, blocks 620 and 630 of FIG. 6 may be repeated for any suitable number of different temperatures THinitial ~ THinitial +y , such that the sag compensation described by arm sag distance register 700A and the arm sag implemented thereby Compensation (as described herein) accommodates, for example, thermal expansion and contraction of arm components (such as arm links, pulleys, belts, end effectors, etc.). Blocks 620 and 630 of FIG. 6 may also be repeated for any suitable number of different Z heights Ziniti ~ Ziniti +x , such that arm sag distance register 700A and the sag compensation (described herein) implemented thereby are accommodating. For example, misalignment between the Z-axis of the conveyor 104 and the coaxial spindle of the drive zone 200, and/or misalignment between the drive shafts of the coaxial drive zones 200, 200A-200C to which the accommodation arm 315 is coupled. In a still further aspect, where the delivery device 104 includes interchangeable arms 314, 315, 316, 317, 318, the arms may be generated for each interchangeable arm 314, 315, 316, 317, 318 in the manner described above. Droop distance register 700A, 700A'~700An'. [0150] As can be appreciated, the arm sag distance registers 700A-700An' may be generated in a manner similar to that described above with respect to the mounting of the delivery arm 2004 to the suspension arm 143 or linear slider 144. Here, the suspension arm 143 or linear slider 144 and the mounted conveyor arm 2004 may be mounted to the frame 2000F of the substrate conveyor arm droop mapping apparatus 2000 in a manner substantially similar to that described above, wherein the registration system 2020 is configured in a manner similar to that described above. The way of extending the contraction axis R (for example, as shown in FIGS. 1C and 1D ) determines the droop distance of the conveyor arm 2004 mounted to the suspension arm 143 or the linear slider 144 , so that both the conveyor arm 2004 and the suspension arm 143 or The empirical droop distance DRP implemented by the linear slider 144 is registered in the corresponding arm droop register 700A. [0151] In one aspect, referring to FIGS. 4A-4D, 7A and FIGS. 1A-1M, 2A-2D, the arm sag distance register(s) 700A varies with the individual delivery device 2004 (and may be different as described above). Select arms 314, 315, 316, 317, 318, if equipped) to operate. In one aspect, the arm sag distance register(s) 700A for the conveyor device 2004 is transferred (eg, loaded in any suitable manner) to the sag compensator 110DC of the controller 110 for the arm sag distance register(s) 700A for which the conveyor device 2004 is to be used. Processing equipment 100A ~ 100H. In one aspect, the sag compensator 110DC may be disposed within the housing of the drive areas 200, 200A-200C, and coupled to the controller 110 in any suitable manner to implement arm sag compensation as described herein. The controller 110 is then configured to implement a compensating movement of the conveying arm 2004 (e.g., the conveying arm 315 ) with the drive area 200 , 200A-200C of the conveying device 2004 , wherein the compensating movement has a magnitude and a direction that compensates for and resolves the approximate movement of the conveying arm 315 The entire experience sagging distance DRP. In one aspect, using the processing apparatus 100A of FIG. 1A as an example, the sag compensator 110DC of the controller 110 is configured to determine the delivery arm 315 in the first position 2030A from the sag distance register(s) 700A in any suitable manner. The empirical droop distance DRP between the second position 2030B and the second position 2030B in this example is the position of the slit valve SV. In one aspect, the magnitude and direction of the compensation movement are determined by the sag distance register(s) 700A. [0152] It should be understood that the foregoing description is merely illustrative of aspects of specific aspects disclosed. Those skilled in this art can devise various alterations and embellishments without departing from the precise aspects of the form disclosed. Accordingly, the aspects disclosed are intended to embrace all such alterations, modifications, and variations that fall within the scope of the appended claims. Furthermore, the fact that mutually different dependent or independent items recite different features does not mean that a combination of these features cannot be used to advantage, and such combinations are still within the scope of aspects of the invention.

[0153] 12:工具界面區 15:輸送臂 18B,18i:輸送室模組 26B,26i:輸送設備 30i:工件站 30S1,30S2:工件支撐件/擱架 56,56A:載入鎖定室模組 56S1,56S2:工件支撐件/擱架 100A~100H:處理設備 100E1,100E2:末端 100F1-100F8:面 100S1,100S2:側 101:大氣前端 102,102A~102E:真空載入鎖定室 103:真空後端 104:輸送單元模組 105:載入埠模組 106:迷你環境 107:載入埠 108:轉移機器人 110:控制器 110DC:下垂補償器 121:懸吊連桿 122:懸吊連桿 125A~125E:輸送室 130:處理站 130S:處理站 130T1-130T8:處理站 143:懸吊臂 144:線性攜載器、線性滑動器 200,200A~200C:驅動區 200DF:基準參考特徵 200F:框架、安裝凸緣 200FI:內部 268A,268B:編碼器/感應器 270:Z軸驅動器 270C:攜載器 275:伸縮囊密封 276:含鐵流體性密封 277:含鐵流體性密封 280:和諧驅動的馬達 280’:第一驅動馬達 280A:和諧驅動的馬達 280A’:第二驅動馬達 280ACS:罐密封 280AR’:轉子 280AS:驅動軸桿 280AS’:定子 280B:和諧驅動的馬達 280B’:馬達 280BCS:罐密封 280BR’:轉子 280BS:驅動軸桿 280BS’:定子 280CS:罐密封 280R’:轉子 280S:驅動軸桿 280S’:定子 281:殼罩 282:旋轉驅動區 290:電線 314:輸送臂 314E:末端實施器 315:輸送臂 315E:末端實施器 315F:帶驅動前臂 315U:上臂 316:輸送臂 316E:末端實施器 317:輸送臂 317E1,317E2:末端實施器 318:輸送臂 318E1,318E2:末端實施器 412:工件進出站 416:線性輸送室 420:工件輸送系統 586:補償運動方向 599A~599C:曲線 600~630:發明方法的步驟 700,700A:下垂距離登記器 700’,700n’,700A’,700An’:下垂距離登記器 800~820:輸送設備的操作步驟 900,900’,900”:補償運動 2000:基板輸送臂下垂測繪設備 2000DF:基準或參考特徵 2000F:框架 2004:輸送設備 2007:Z軸軌 2010:安裝表面 2012:工具界面區 2020:登記系統 2020C:控制器 2020CM:記憶體 2020CP:處理器 2021:感應裝置 2030A:第一位置 2030B:第二位置 2030C:第三位置 2030D~P:後續位置 2050:界面 2060:界面 2070:界面 2080:晶圓輸送器 3018,3018A,3018I,3018J:輸送室模組 ATM:大氣壓力 C:基板載體或卡匣 CNX:連接 DEXT:延伸距離 DRP:下垂距離 DRXT:收縮距離 NP:淨位置 PM:處理模組 R,R1~R8:延伸收縮軸、路徑 R ext1~R ext3,R m:延伸位置 ΔR:距離單位增量 S:基板 SC:中央 SH:基板夾持器 SLE:前緣 SLT:後緣 SV:狹縫閥 SVH:孔洞高度 T:旋轉方向 T1~T3:旋轉軸 TH 初始~TH 初始 +y:操作溫度 TP:轉移平面 TSP:輸送空間 TSV:轉移體積 W:腕 WRP:晶圓/基板停留平面 X:縱軸 Z:舉升或垂直位置 Z 初始~Z 初始 +x:Z位置 ΔZ:臂下垂距離 θ,θ1~θ8,θn:旋轉軸、延伸角度 12: tool interface area 15: conveyor arm 18B, 18i: conveyor chamber module 26B, 26i: conveyor equipment 30i: workpiece station 30S1, 30S2: workpiece support/shelf 56, 56A: load lock chamber module 56S1, 56S2: Workpiece support/shelf 100A~100H: Processing equipment 100E1, 100E2: End 100F1-100F8: Surface 100S1, 100S2: Side 101: Atmospheric front end 102, 102A~102E: Vacuum load lock chamber 103: Vacuum rear end 104: Conveying unit module 105: Loading port module 106: Mini environment 107: Loading port 108: Transfer robot 110: Controller 110DC: Droop compensator 121: Suspension link 122: Suspension link 125A~125E :Conveyor room 130: Processing station 130S: Processing station 130T1-130T8: Processing station 143: Suspension arm 144: Linear carrier, linear slider 200, 200A~200C: Drive area 200DF: Datum reference feature 200F: Frame, mounting boss Edge 200FI: Internal 268A, 268B: Encoder/sensor 270: Z-axis driver 270C: Carrier 275: Telescopic bag seal 276: Ferrous fluid seal 277: Ferrous fluid seal 280: Harmony driven motor 280' :First drive motor 280A: Harmony drive motor 280A': Second drive motor 280ACS: Can seal 280AR': Rotor 280AS: Drive shaft 280AS': Stator 280B: Harmony drive motor 280B': Motor 280BCS: Can seal 280BR ': Rotor 280BS: Drive shaft 280BS': Stator 280CS: Tank seal 280R': Rotor 280S: Drive shaft 280S': Stator 281: Housing 282: Rotary drive area 290: Wire 314: Transport arm 314E: End effector 315: Conveyor arm 315E: End effector 315F: Belt driven forearm 315U: Upper arm 316: Conveyor arm 316E: End effector 317: Conveyor arm 317E1, 317E2: End effector 318: Conveyor arm 318E1, 318E2: End effector 412: Workpiece entry and exit station 416: Linear conveyor room 420: Workpiece conveyor system 586: Compensation movement direction 599A~599C: Curve 600~630: Steps of the invention method 700, 700A: Droop distance register 700', 700n', 700A', 700An': Droop distance register 800~820: Operation steps of conveying equipment 900, 900', 900": Compensation movement 2000: Substrate conveyor arm droop surveying equipment 2000DF: Datum or reference feature 2000F: Frame 2004: Conveying equipment 2007: Z-axis rail 2010: Installation Surface 2012: Tool interface area 2020: Registration system 2020C: Controller 2020CM: Memory 2020CP: Processor 2021: Sensing device 2030A: First position 2030B: Second position 2030C: Third position 2030D~P: Subsequent position 2050: Interface 2060: Interface 2070: Interface 2080: Wafer conveyor 3018, 3018A, 3018I, 3018J: Transport chamber module ATM: Atmospheric pressure C: Substrate carrier or cassette CNX: Connection DEXT: Extension distance DRP: Droop distance DRXT: Contraction distance NP: Net position PM: Processing module R, R1~R8: Extension and contraction axis, path R ext1 ~ R ext3 , R m : Extension position ΔR: Distance unit increment S: Substrate SC: Center SH: Substrate holder SLE : Leading edge SLT: Trailing edge SV: Slit valve SVH: Hole height T: Rotation direction T1~T3: Rotation axis TH initial ~TH initial +y : Operating temperature TP: Transfer plane TSP: Transport space TSV: Transfer volume W: WRP: wafer/ substrate resting plane

[0100] 以下敘述關於伴隨的圖式來解釋揭示之具體態樣的前述方面和其他特徵,其中: 圖1A~1D是併入揭示之具體態樣的諸方面之處理設備的示意圖; 圖1E和1F是圖1A~1D和1G~1M之處理設備的部分示意圖; 圖1G~1M是併入揭示之具體態樣的諸方面之處理設備的示意圖; 圖2A是依據揭示之具體態樣的諸方面之機器人輸送驅動區的示意圖; 圖2B是依據揭示之具體態樣的諸方面之圖2A機器人輸送驅動區的部分示意圖; 圖2C是依據揭示之具體態樣的諸方面之圖2A機器人輸送驅動區的部分示意圖; 圖2D是依據揭示之具體態樣的諸方面之圖2A機器人輸送驅動區的部分示意圖; 圖3A~3E是依據揭示之具體態樣的諸方面之輸送臂的示意圖; 圖4A~4C是依據揭示之具體態樣的諸方面之基板輸送臂下垂測繪設備的示意圖; 圖4D是依據揭示之具體態樣的諸方面而展現臂下垂之基板輸送臂的示意圖; 圖5是依據揭示之具體態樣的諸方面之基板位置的範例性示例圖; 圖6是依據揭示之具體態樣的諸方面之範例性流程圖; 圖7是依據揭示之具體態樣的諸方面之下垂登記的示意圖; 圖7A是依據揭示之具體態樣的諸方面之下垂登記的示意圖; 圖8是依據揭示之具體態樣的諸方面之範例性流程圖;以及 圖9是依據揭示之具體態樣的諸方面之輸送臂位置補償的範例性示例圖。 The following description explains the foregoing aspects and other features of the disclosed embodiments with respect to the accompanying drawings, wherein: 1A-1D are schematic diagrams of processing equipment incorporating aspects of the disclosed embodiments; Figures 1E and 1F are partial schematic diagrams of the processing equipment of Figures 1A~1D and 1G~1M; 1G-1M are schematic diagrams of processing equipment incorporating aspects of the disclosed embodiments; 2A is a schematic diagram of a robotic conveyor drive region in accordance with aspects disclosed; FIG. 2B is a partial schematic diagram of the transport drive area of the robot of FIG. 2A in accordance with aspects disclosed; Figure 2C is a partial schematic diagram of the transport drive area of the robot of Figure 2A in accordance with aspects disclosed; Figure 2D is a partial schematic diagram of the transport drive area of the robot of Figure 2A in accordance with aspects disclosed; 3A-3E are schematic diagrams of various aspects of a delivery arm according to specific aspects disclosed; 4A to 4C are schematic diagrams of a substrate transfer arm droop surveying apparatus according to various aspects disclosed; 4D is a schematic diagram of a substrate transfer arm showing a drooping arm in accordance with aspects of the disclosure; 5 is an exemplary illustration of substrate locations in accordance with aspects disclosed; Figure 6 is an exemplary flowchart of various aspects in accordance with specific aspects disclosed; Figure 7 is a schematic diagram of droop registration in accordance with various aspects of the disclosed specific aspects; Figure 7A is a schematic diagram of droop registration in accordance with various aspects of the disclosed specific aspects; Figure 8 is an exemplary flow diagram of aspects in accordance with specific aspects disclosed; and 9 is an exemplary illustration of conveyor arm position compensation in accordance with aspects of the disclosure.

200:驅動區 200:Drive area

315:輸送臂 315:Conveyor arm

315E:末端實施器 315E: End implementer

200DF:基準或參考特徵 200DF: Datum or reference feature

200F:框架、安裝凸緣 200F: Frame, mounting flange

2000:基板輸送臂下垂測繪設備 2000:Substrate conveyor arm droop surveying equipment

2000DF:基準特徵 2000DF:Datum Features

2000F:框架 2000F:Frame

2004:輸送設備 2004: Conveying equipment

2007:Z軸軌 2007:Z axis rail

2010:安裝表面 2010: Mounting surface

2020:登記系統 2020:Registration System

2020C:控制器 2020C:Controller

2020CP:處理器 2020CP:Processor

2021:感應裝置 2021: Induction device

2030A:第一位置 2030A: First position

TP:轉移平面 TP: transfer plane

TSP:輸送空間 TSP: transport space

TSV:轉移體積 TSV: transfer volume

Claims (30)

一種基板輸送設備,其包括: 框架; 驅動區,其連接於該框架; 輸送臂,其在操作上可連接於該驅動區,該臂是鉸接的並且具有末端實施器,而具有基板夾持器,其可在關於該框架來沿著至少一運動軸而由該輸送臂之鉸接所界定的輸送空間中、在第一位置和異於該第一位置的第二位置之間而關於該框架來移動;以及 控制器,其在操作上可連接於該驅動區,如此以實施該輸送臂的鉸接,該控制器包括臂彎曲補償器,其建構成致使該臂彎曲補償器解析該輸送臂由於未命令的臂幾何改變而在該第一位置和第二位置之間的未命令的臂位移距離。 A substrate conveying equipment including: frame; a drive area, which is connected to the frame; a transfer arm operatively connected to the drive region, the arm being articulated and having an end effector, and having a substrate holder operable to be moved along at least one axis of motion by the transfer arm with respect to the frame to move relative to the frame between a first position and a second position different from the first position in the transport space defined by the hinge; and a controller operatively connectable to the drive area such as to effect articulation of the conveyor arm, the controller including an arm bend compensator configured to cause the arm bend compensator to resolve the conveyor arm due to uncommanded arm The uncommanded arm displacement distance between the first position and the second position due to the change in geometry. 如請求項1的基板輸送設備,其中該控制器以該驅動區來實施該輸送臂在大小和方向上的補償運動,其補償並且解析該輸送臂之大致整個的該未命令的臂位移距離。The substrate conveying apparatus of claim 1, wherein the controller implements a compensating movement of the conveying arm in size and direction with the drive area, which compensates and resolves substantially the entire uncommanded arm displacement distance of the conveying arm. 如請求項1的基板輸送設備,其中該補償器具有臂彎曲登記器,並且該臂彎曲補償器從該臂彎曲登記器來決定該輸送臂在該第一位置和該第二位置之間的該未命令的臂位移距離。The substrate conveying apparatus of claim 1, wherein the compensator has an arm bending register, and the arm bending compensator determines the position of the conveying arm between the first position and the second position from the arm bending register. Uncommanded arm displacement distance. 如請求項3的基板輸送設備,其中該控制器以該驅動區來實施該輸送臂在大小和方向上的補償運動,其補償並且解析該輸送臂由該臂彎曲登記器所決定之大致整個的該未命令的臂位移距離。The substrate conveying apparatus of claim 3, wherein the controller uses the driving area to implement a compensating movement of the conveying arm in size and direction, which compensates and resolves substantially the entire movement of the conveying arm determined by the arm bending register. The uncommanded arm displacement distance. 如請求項4的基板輸送設備,其中該補償運動導致消掉該輸送臂相對於預定參考基準之大致整個的該未命令的臂位移距離,如此則在該輸送空間中之預定地點的該基板夾持器是在淨位置,而在顯現該未命令的臂位移距離的該方向上,該位置獨立於該未命令的臂幾何改變。The substrate conveying apparatus of claim 4, wherein the compensating movement causes elimination of substantially the entire uncommanded arm displacement distance of the conveying arm relative to a predetermined reference datum, such that the substrate clamp at a predetermined location in the conveying space The holder is in a net position independent of the uncommanded arm geometry change in the direction exhibiting the uncommanded arm displacement distance. 如請求項5的基板輸送設備,其中該預定地點是基板處理工具中的基板目的地。The substrate transport apparatus of claim 5, wherein the predetermined location is a substrate destination in the substrate processing tool. 如請求項5的基板輸送設備,其中該控制器實施該補償運動,如此則該基板夾持器完成運動而抵達大致在該淨位置的該預定地點。The substrate conveying apparatus of claim 5, wherein the controller implements the compensating movement, so that the substrate holder completes the movement and reaches the predetermined location approximately at the net position. 如請求項5的基板輸送設備,其中該控制器實施該補償運動,而臂運動則使該基板夾持器沿著具有時間最佳軌跡的最佳路徑而在該第一位置和該第二位置之間移動。The substrate conveying apparatus of claim 5, wherein the controller implements the compensating movement, and the arm movement causes the substrate holder to be in the first position and the second position along an optimal path with a time-optimal trajectory. move between. 如請求項3的基板輸送設備,其中該驅動區和輸送臂建構成致使該輸送臂的運動具有多於一個的自由度,並且該臂彎曲登記器描述遍及該輸送臂的該多於一個運動自由度所形成之該輸送空間的該未命令的臂位移距離。The substrate transport apparatus of claim 3, wherein the drive area and transport arm are configured such that movement of the transport arm has more than one degree of freedom, and the arm bend register describes the more than one freedom of movement throughout the transport arm The uncommanded arm displacement distance of the conveying space formed by degrees. 如請求項3的基板輸送設備,其中該輸送臂可從多個不同且可互換的輸送臂來互換,如此以在與該驅動區的連接處切換,該可互換的臂之每一者具有不同的臂彎曲特徵和關聯之對應的彎曲登記器,該登記器描述該關聯臂的未命令的臂位移距離。The substrate conveying apparatus of claim 3, wherein the conveying arm is interchangeable from a plurality of different and interchangeable conveying arms, such that each of the interchangeable arms has a different An arm bend characteristic and an associated corresponding bend register that describes the uncommanded arm displacement distance for that associated arm. 一種基板處理工具,其具有如請求項10的基板輸送設備,並且具有基板夾持站,其配置成與在該輸送空間中的預定地點之該基板夾持器上的基板形成界面,該基板夾持站定位成以致該界面是獨立於該未命令的臂幾何改變而實施。A substrate processing tool having a substrate transport apparatus as claimed in claim 10 and having a substrate clamping station configured to form an interface with a substrate on the substrate holder at a predetermined location in the transport space, the substrate clamp The support station is positioned so that the interface is implemented independently of the uncommanded arm geometry changes. 一種基板處理工具,其具有如請求項10的基板輸送設備,並且具有預定結構,其與該輸送臂或基板夾持器互動,並且配置成以致該互動是獨立於該未命令的臂幾何改變而實施。A substrate processing tool having a substrate transport apparatus as claimed in claim 10 and having a predetermined structure that interacts with the transport arm or substrate holder and configured such that the interaction is independent of the uncommanded arm geometry change implementation. 一種基板處理工具,其包括: 框架; 驅動區,其連接於該框架; 輸送臂,其在操作上可連接於該驅動區,該臂是鉸接的並且具有末端實施器,而具有基板夾持器,其可在關於該框架來沿著至少一運動軸而由該輸送臂之鉸接所界定的輸送空間中、在第一位置和異於該第一位置的第二位置之間而關於該框架來移動;以及 控制器,其在操作上可連接於該驅動區,如此以實施該輸送臂的鉸接,該控制器建構成以該驅動區來實施該臂在相反於顯現臂彎曲之方向的方向上的運動而補償該臂彎曲,如此以關於預定參考基準而大致消掉由於該第一位置和第二位置之間的未命令的臂幾何改變所造成之整個未命令的臂位移距離。 A substrate processing tool including: frame; a drive area, which is connected to the frame; a transfer arm operatively connected to the drive region, the arm being articulated and having an end effector, and having a substrate holder operable to be moved along at least one axis of motion by the transfer arm with respect to the frame to move relative to the frame between a first position and a second position different from the first position in the transport space defined by the hinge; and A controller operatively connectable to the drive zone so as to implement articulation of the conveyor arm, the controller configured to use the drive zone to effect movement of the arm in a direction opposite to the direction in which the arm bends The arm bending is compensated such that approximately the entire uncommanded arm displacement distance due to the uncommanded arm geometry change between the first position and the second position is eliminated with respect to a predetermined reference datum. 如請求項13的基板處理工具,其中該控制器具有臂彎曲登記器,並且該控制器從該臂彎曲登記器來決定該輸送臂在該第一位置和該第二位置之間的該未命令的臂位移距離。The substrate processing tool of claim 13, wherein the controller has an arm bend register, and the controller determines the uncommanded position of the transfer arm between the first position and the second position from the arm bend register. arm displacement distance. 如請求項14的基板處理工具,其中該驅動區和輸送臂建構成致使該輸送臂的運動具有多於一個的自由度,並且該臂彎曲登記器描述遍及該輸送臂的該多於一個運動自由度所形成之該輸送空間的該未命令的臂位移距離。The substrate processing tool of claim 14, wherein the drive region and transfer arm are configured such that movement of the transfer arm has more than one degree of freedom, and the arm bend register describes the more than one freedom of movement throughout the transfer arm The uncommanded arm displacement distance of the conveying space formed by degrees. 如請求項14的基板處理工具,其中該輸送臂可從多個不同且可互換的輸送臂來互換,如此以在與該驅動區的連接處切換,該可互換的臂之每一者具有不同的臂彎曲特徵和關聯之對應的臂彎曲登記器,該登記器描述該關聯臂的未命令的臂位移距離。The substrate processing tool of claim 14, wherein the transfer arm is interchangeable from a plurality of different and interchangeable transfer arms such that each of the interchangeable arms has a different An arm bend characteristic and an associated corresponding arm bend register that describes the uncommanded arm displacement distance of the associated arm. 如請求項13的基板處理工具,其中該臂之該補償運動導致消掉該輸送臂相對於預定參考基準之大致整個的該未命令的臂位移距離,如此則在該輸送空間中之預定地點的該基板夾持器是在淨位置,而在顯現該臂彎曲的該方向上,該位置則獨立於該臂彎曲。The substrate processing tool of claim 13, wherein the compensating movement of the arm causes cancellation of substantially the entire uncommanded arm displacement distance of the conveyor arm relative to a predetermined reference datum, such that at a predetermined location in the conveyor space The substrate holder is in a clear position independent of the arm bending in the direction in which the arm bending occurs. 如請求項17的基板處理工具,其中該預定地點是基板處理工具中的基板目的地。The substrate processing tool of claim 17, wherein the predetermined location is a substrate destination in the substrate processing tool. 如請求項17的基板處理工具,其中該控制器在相反於顯現該臂彎曲之該方向的方向上實施該輸送臂的該運動,如此則該基板夾持器完成運動而抵達大致在該淨位置的該預定地點。The substrate processing tool of claim 17, wherein the controller implements the movement of the transfer arm in a direction opposite to the direction in which the arm bends, such that the substrate holder completes the movement to arrive substantially at the net position of the scheduled location. 如請求項13的基板處理工具,其中該控制器在相反於顯現臂彎曲之該方向的方向上實施該輸送臂的該運動,而臂運動則使該基板夾持器沿著具有時間最佳軌跡的最佳路徑而在該第一位置和該第二位置之間移動。The substrate processing tool of claim 13, wherein the controller implements the movement of the transport arm in a direction opposite to the direction in which the arm bends, and the arm movement causes the substrate holder to follow a time-optimized trajectory The optimal path is used to move between the first position and the second position. 一種方法,該方法包括: 提供基板輸送設備,其具有連接於框架的驅動區和在操作上可連接於該驅動區的輸送臂,該臂是鉸接的並且具有末端實施器,而具有基板夾持器,其可在關於該框架來沿著至少一運動軸而由該輸送臂之鉸接所界定的輸送空間中、在第一位置和異於該第一位置的第二位置之間而關於該框架來移動;以及 解析該輸送臂由於未命令的臂幾何改變而在該第一位置和該第二位置之間的未命令的臂位移距離,其中該輸送臂在該第一位置和該第二位置之間的該未命令的臂位移距離是由臂彎曲補償器的臂彎曲登記器所決定,該補償器駐留在連接於該驅動區以實施該輸送臂之鉸接的控制器內。 A method that includes: A substrate transfer apparatus is provided having a drive area connected to the frame and a transfer arm operatively connectable to the drive area, the arm being articulated and having an end effector, and having a substrate holder operable with respect to the drive area. The frame is movable relative to the frame between a first position and a second position different from the first position in a conveyor space defined by the articulation of the conveyor arm along at least one axis of motion; and Resolve the uncommanded arm displacement distance of the conveyor arm between the first position and the second position due to the uncommanded arm geometry change, wherein the conveyor arm between the first position and the second position The uncommanded arm displacement distance is determined by the arm bend register of the arm bend compensator, which resides in the controller connected to the drive area to implement the articulation of the conveyor arm. 如請求項21的方法,其中該控制器以該驅動區來實施該輸送臂在大小和方向上的補償運動,其補償並且解析該輸送臂之大致整個的該未命令的臂位移距離。The method of claim 21, wherein the controller implements a compensating movement of the conveyor arm in magnitude and direction with the drive region, which compensates for and resolves substantially the entire uncommanded arm displacement distance of the conveyor arm. 如請求項21的方法,其中該臂彎曲補償器具有臂彎曲登記器,該方法進一步包括:從該臂彎曲距離登記器而以該臂彎曲補償器來決定該輸送臂在該第一位置和該第二位置之間的該未命令的臂位移距離。The method of claim 21, wherein the arm bending compensator has an arm bending register, the method further comprising: using the arm bending compensator to determine the first position and the first position of the delivery arm from the arm bending distance register. This uncommanded arm displacement distance between the second position. 如請求項23的方法,其中該控制器以該驅動區來實施該輸送臂在大小和方向上的補償運動,其補償並且解析該輸送臂由該臂彎曲登記器所決定之大致整個的該未命令的臂位移距離。The method of claim 23, wherein the controller uses the drive area to implement a compensating movement of the conveyor arm in size and direction, which compensates and resolves substantially the entire unsatisfactory movement of the conveyor arm determined by the arm bend register. Commanded arm displacement distance. 如請求項24的方法,其中該補償運動導致消掉該輸送臂相對於預定參考基準之大致整個的該未命令的臂位移距離,如此則在該輸送空間中之預定地點的該基板夾持器是在淨位置,而在顯現該未命令的臂幾何改變的該方向上,該位置獨立於該未命令的臂幾何改變。The method of claim 24, wherein the compensating movement causes cancellation of substantially the entire uncommanded arm displacement distance of the transport arm relative to a predetermined reference datum such that the substrate holder is at a predetermined location in the transport space is the net position independent of the uncommanded arm geometry change in the direction in which the uncommanded arm geometry change manifests itself. 如請求項25的方法,其中該預定地點是基板處理工具中的基板目的地。The method of claim 25, wherein the predetermined location is a substrate destination in a substrate processing tool. 如請求項25的方法,其中該控制器實施該補償運動,如此則該基板夾持器完成運動而抵達大致在該淨位置的該預定地點。The method of claim 25, wherein the controller implements the compensating movement such that the substrate holder completes movement and reaches the predetermined location approximately at the net position. 如請求項25的方法,其中該控制器實施該補償運動,而臂運動則使該基板夾持器沿著具有時間最佳軌跡的最佳路徑而在該第一位置和該第二位置之間移動。The method of claim 25, wherein the controller implements the compensating movement and the arm movement causes the substrate holder to follow an optimal path with a time-optimal trajectory between the first position and the second position Move. 如請求項23的方法,其中該驅動區和輸送臂建構成致使該輸送臂的運動具有多於一個的自由度,該方法進一步包括:以該臂彎曲登記器來描述遍及該輸送臂的該多於一個運動自由度所形成之該輸送空間的該未命令的臂位移距離。The method of claim 23, wherein the drive region and the conveyor arm are configured such that movement of the conveyor arm has more than one degree of freedom, the method further comprising: describing the plurality of degrees throughout the conveyor arm with the arm bend register. The uncommanded arm displacement distance in the transport space formed by one degree of freedom of movement. 如請求項23的方法,其中該輸送臂可從多個不同且可互換的輸送臂來互換,如此以在與該驅動區的連接處切換,該可互換的臂之每一者具有不同的臂彎曲特徵和關聯之對應的臂彎曲登記器,該登記器描述該關聯臂的未命令的臂位移距離。The method of claim 23, wherein the conveyor arm is interchangeable from a plurality of different and interchangeable conveyor arms, such that each of the interchangeable arms has a different arm when switched at the connection with the drive zone A bend feature and an associated corresponding arm bend register that describes the uncommanded arm displacement distance for that associated arm.
TW112132099A 2017-01-26 2018-01-26 Method and apparatus for substrate transport apparatus position compensation , substrate transport empiric arm droop mapping apparatus, substrate transport apparatus, and substrate processing tool TW202402488A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762450818P 2017-01-26 2017-01-26
US62/450,818 2017-01-26

Publications (1)

Publication Number Publication Date
TW202402488A true TW202402488A (en) 2024-01-16

Family

ID=65033952

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107102919A TWI815803B (en) 2017-01-26 2018-01-26 Method and apparatus for substrate transport apparatus position compensation, substrate transport empiric arm droop mapping apparatus, substrate transport apparatus, and substrate processing tool
TW112132099A TW202402488A (en) 2017-01-26 2018-01-26 Method and apparatus for substrate transport apparatus position compensation , substrate transport empiric arm droop mapping apparatus, substrate transport apparatus, and substrate processing tool

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW107102919A TWI815803B (en) 2017-01-26 2018-01-26 Method and apparatus for substrate transport apparatus position compensation, substrate transport empiric arm droop mapping apparatus, substrate transport apparatus, and substrate processing tool

Country Status (1)

Country Link
TW (2) TWI815803B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11263755B2 (en) * 2020-07-17 2022-03-01 Nanya Technology Corporation Alert device and alert method thereof

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000183128A (en) * 1998-12-17 2000-06-30 Komatsu Ltd Controller for work carrying apparatus
TWI691388B (en) * 2011-03-11 2020-04-21 美商布魯克斯自動機械公司 Substrate processing tool

Also Published As

Publication number Publication date
TW201840389A (en) 2018-11-16
TWI815803B (en) 2023-09-21

Similar Documents

Publication Publication Date Title
US11972965B2 (en) Method and apparatus for substrate transport apparatus position compensation
US20210375657A1 (en) Automatic wafer centering method and apparatus
KR102512974B1 (en) Process apparatus with on-the-fly substrate centering
KR20160072217A (en) Processing apparatus
US20220266460A1 (en) Substrate transport apparatus
TWI815803B (en) Method and apparatus for substrate transport apparatus position compensation, substrate transport empiric arm droop mapping apparatus, substrate transport apparatus, and substrate processing tool
US11894252B2 (en) Substrate transport apparatus
US20230271792A1 (en) Substrate processing apparatus
CN110462808B (en) Method and apparatus for substrate transport apparatus position compensation
TWI700765B (en) Method for transferring a workpiece with a transfer apparatus
JP7430641B2 (en) Board transfer device
TWI829700B (en) Substrate transport apparatus