TWI829700B - Substrate transport apparatus - Google Patents

Substrate transport apparatus Download PDF

Info

Publication number
TWI829700B
TWI829700B TW108118963A TW108118963A TWI829700B TW I829700 B TWI829700 B TW I829700B TW 108118963 A TW108118963 A TW 108118963A TW 108118963 A TW108118963 A TW 108118963A TW I829700 B TWI829700 B TW I829700B
Authority
TW
Taiwan
Prior art keywords
link
arm link
end effector
forearm
axis
Prior art date
Application number
TW108118963A
Other languages
Chinese (zh)
Other versions
TW202013569A (en
Inventor
克里斯多福 巴希蕊
羅勃 卡維尼
Original Assignee
美商布魯克斯自動機械美國公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商布魯克斯自動機械美國公司 filed Critical 美商布魯克斯自動機械美國公司
Publication of TW202013569A publication Critical patent/TW202013569A/en
Application granted granted Critical
Publication of TWI829700B publication Critical patent/TWI829700B/en

Links

Abstract

A substrate processing apparatus includes a frame and a transport apparatus connected to the frame. The transport apparatus has an upper arm link, a forearm link rotatably coupled to the upper arm link about an elbow axis, at least a third arm link rotatably coupled to the forearm about a wrist axis, and an end effector rotatably coupled to the third arm link about a knuckle axis. A two degree of freedom drive system is operably connected to at least one of the upper arm link, the forearm link, and the third arm link for effecting extension and retraction of the end effector wherein a height of the end effector is within the stack height profile of the wrist axis so that a total stack height of the end effector and wrist axis is sized to conform within a pass through of a slot valve.

Description

基板運送裝置Substrate transport device

範例性實施例一般而言關於自動化處理設備,更特別而言關於基板傳送裝置。Exemplary embodiments relate generally to automated processing equipment, and more particularly to substrate transfer devices.

一般而言,允許基板傳送裝置延伸穿過傳送室閘門閥到處理模組內的距離係受限於閘門閥的尺寸。一般而言,僅末端實施器延伸穿過閘門閥,而基板傳送裝置臂的其餘部分維持在傳送室裡。Generally speaking, the distance the substrate transfer device is allowed to extend through the transfer chamber gate valve into the processing module is limited by the size of the gate valve. Typically, only the end effector extends through the gate valve while the remainder of the substrate transfer arm remains within the transfer chamber.

將基板傳送穿過傳送室閘門閥會是有利的,如此則支撐末端實施器之至少部分的(多個)臂連桿延伸穿過閘門閥,以提供較大的伸出範圍到耦合於傳送室閘門閥的處理模組內。It may be advantageous to transfer the substrate through the transfer chamber gate valve so that at least part of the arm link(s) supporting the end effector extends through the gate valve to provide greater reach for coupling to the transfer chamber. In the processing module of the gate valve.

依據揭示實施例的一或更多個方面,基板處理裝置包括:框架;傳送裝置,其連接到框架,該傳送裝置具有上臂連桿、繞著肘軸而可旋轉地耦合於上臂連桿的前臂連桿、繞著腕軸而可旋轉地耦合於前臂的至少一第三臂連桿、繞著指軸而可旋轉地耦合於第三臂的末端實施器;以及至少二自由度的驅動系統,其可操作地連接到上臂連桿、前臂連桿及第三臂連桿中的至少一者以實施末端實施器的延伸和撤回,其中末端實施器的高度是在腕軸的堆疊高度輪廓裡,如此則末端實施器和腕軸之總堆疊高度的尺寸做成符合通過槽縫閥。According to one or more aspects of the disclosed embodiments, a substrate processing apparatus includes: a frame; a transfer device connected to the frame, the transfer device having an upper arm link, a forearm rotatably coupled to the upper arm link about an toggle axis a link, at least a third arm link rotatably coupled to the forearm about the wrist axis, an end effector rotatably coupled to the third arm about the finger axis; and a drive system with at least two degrees of freedom, operably connected to at least one of the upper arm link, the forearm link and the third arm link to effect extension and retraction of the end effector, wherein the height of the end effector is within the stack height profile of the wrist axis, The total stack height of the end effector and wrist is then sized to fit through the slot valve.

依據揭示實施例的一或更多個方面,第三臂連桿的長度小於上臂連桿的長度,並且上臂連桿的長度小於前臂連桿的長度。According to one or more aspects of the disclosed embodiments, the length of the third arm link is less than the length of the upper arm link, and the length of the upper arm link is less than the length of the forearm link.

依據揭示實施例的一或更多個方面,末端實施器的旋轉從動於前臂連桿的旋轉,並且第三臂連桿的旋轉從動於上臂連桿的旋轉。According to one or more aspects of the disclosed embodiments, rotation of the end effector is driven by rotation of the forearm link and rotation of the third arm link is driven by rotation of the upper arm link.

依據揭示實施例的一或更多個方面,上臂在肩軸可旋轉地耦合於二自由度的驅動系統;末端實施器是雙頭型末端實施器;以及傳送裝置建構成在肩軸的相對側上雙向延伸,而傳送裝置整個不繞著肩軸來旋轉。According to one or more aspects of the disclosed embodiments, the upper arm is rotatably coupled to a two-degree-of-freedom drive system at a shoulder axis; the end effector is a double-ended end effector; and the transfer device is configured on an opposite side of the shoulder axis. It extends in both directions, and the entire transmission device does not rotate around the shoulder axis.

依據揭示實施例的一或更多個方面,第三臂連桿包括槽縫,其建構成接收至少部分的末端實施器,如此則末端實施器在槽縫裡可旋轉地耦合於第三臂連桿。According to one or more aspects of the disclosed embodiments, the third arm link includes a slot configured to receive at least a portion of the end effector such that the end effector is rotatably coupled to the third arm link in the slot. Rod.

依據揭示實施例的一或更多個方面,末端實施器配置在第三臂連桿之上或之下。According to one or more aspects of the disclosed embodiments, the end effector is disposed above or below the third arm link.

依據揭示實施例的一或更多個方面,前臂連桿是漸縮架構;以及上臂連桿具有匹配漸縮架構,其建構成補足前臂連桿的漸縮架構,如此則至少部分的上臂連桿和部分的前臂連桿共平面。According to one or more aspects of the disclosed embodiments, the forearm link is a tapered structure; and the upper arm link has a matching tapered structure configured to complement the tapered structure of the forearm link such that at least part of the upper arm link Coplanar with part of the forearm link.

依據揭示實施例的一或更多個方面,第三臂連桿透過配置在前臂裡的雙組前臂滑輪而從動於上臂連桿。According to one or more aspects of the disclosed embodiments, the third arm link is driven by the upper arm link through dual sets of forearm pulleys disposed in the forearm.

依據揭示實施例的一或更多個方面,傳送裝置的延伸和撤回軸通過傳送裝置之旋轉肩軸的中央上方。According to one or more aspects of the disclosed embodiments, the extension and retraction axes of the conveyor pass centrally over the rotational shoulder axis of the conveyor.

依據揭示實施例的一或更多個方面,傳送裝置建構成在傳送裝置之肩軸的相對側上雙向延伸,其中傳送臂的徑向延伸在肩軸的相對側上大致對稱。In accordance with one or more aspects of the disclosed embodiments, the conveyor is configured to extend bi-directionally on opposite sides of a shoulder axis of the conveyor, wherein the radial extensions of the conveyor arms are generally symmetrical on opposite sides of the shoulder axis.

依據揭示實施例的一或更多個方面,末端實施器在傳送裝置的整個延伸和撤回範圍裡都維持對齊於延伸和撤回軸。According to one or more aspects of the disclosed embodiments, the end effector remains aligned with the extension and retraction axis throughout the range of extension and retraction of the delivery device.

依據揭示實施例的一或更多個方面,第三臂連桿在傳送裝置的起始姿勢係大致對齊於前臂連桿。According to one or more aspects of the disclosed embodiments, the third arm link is generally aligned with the forearm link in the initial posture of the conveyor.

依據揭示實施例的一或更多個方面,第三臂連桿是由具有單一帶高度的帶式傳動器所驅動。In accordance with one or more aspects of the disclosed embodiments, the third arm link is driven by a belt drive having a single belt height.

依據揭示實施例的一或更多個方面,基板處理裝置包括:框架;傳送裝置,其連接到框架,該傳送裝置具有上臂連桿、繞著肘軸而可旋轉地耦合於上臂連桿的前臂連桿、繞著腕軸而可旋轉地耦合於前臂的至少一第三臂連桿、繞著指軸而可旋轉地耦合於第三臂連桿的末端實施器;以及驅動系統,其可操作地連接到上臂連桿、前臂連桿及第三臂連桿中的至少一者以實施末端實施器的延伸和撤回,其中傳送裝置具有伸出範圍,其為上臂連桿、前臂連桿、第三臂連桿及末端實施器在撤回架構下對於傳送裝置的預定擺動直徑之傳送裝置的最大伸出範圍,該最大伸出範圍使末端實施器、其指軸及至少部分的第三臂連桿(包括腕軸)延伸穿過基板處理裝置的槽縫閥。According to one or more aspects of the disclosed embodiments, a substrate processing apparatus includes: a frame; a transfer device connected to the frame, the transfer device having an upper arm link, a forearm rotatably coupled to the upper arm link about an toggle axis a link, at least a third arm link rotatably coupled to the forearm about a wrist axis, an end effector rotatably coupled to the third arm link about a finger axis; and a drive system operable Groundly connected to at least one of the upper arm link, the forearm link and the third arm link to implement extension and retraction of the end effector, wherein the transmission device has an extension range that is the upper arm link, the forearm link, the third arm link The maximum extension range of the conveyor of the three-arm link and the end effector in the retracted configuration for the predetermined swing diameter of the conveyor, which maximum extension range allows the end effector, its finger shaft and at least part of the third arm link (including wrist shaft) extends through the slot valve of the substrate handling unit.

依據揭示實施例的一或更多個方面,驅動系統是二自由度的驅動系統。According to one or more aspects of the disclosed embodiments, the drive system is a two-degree-of-freedom drive system.

依據揭示實施例的一或更多個方面,末端實施器的旋轉從動於前臂連桿的旋轉,並且第三臂連桿的旋轉從動於上臂連桿的旋轉。According to one or more aspects of the disclosed embodiments, rotation of the end effector is driven by rotation of the forearm link and rotation of the third arm link is driven by rotation of the upper arm link.

依據揭示實施例的一或更多個方面,驅動系統是三自由度的驅動系統。According to one or more aspects of the disclosed embodiments, the drive system is a three-degree-of-freedom drive system.

依據揭示實施例的一或更多個方面,驅動系統是四自由度的驅動系統。In accordance with one or more aspects of the disclosed embodiments, the drive system is a four-degree-of-freedom drive system.

依據揭示實施例的一或更多個方面,第三臂連桿的長度小於上臂連桿的長度,並且上臂連桿的長度小於前臂連桿的長度。According to one or more aspects of the disclosed embodiments, the length of the third arm link is less than the length of the upper arm link, and the length of the upper arm link is less than the length of the forearm link.

依據揭示實施例的一或更多個方面,上臂在肩軸可旋轉地耦合於二自由度的驅動系統;末端實施器是雙頭型末端實施器;以及傳送裝置建構成在肩軸的相對側上雙向延伸,而傳送裝置整個不繞著肩軸來旋轉。According to one or more aspects of the disclosed embodiments, the upper arm is rotatably coupled to a two-degree-of-freedom drive system at a shoulder axis; the end effector is a double-ended end effector; and the transfer device is configured on an opposite side of the shoulder axis. It extends in both directions, and the entire transmission device does not rotate around the shoulder axis.

依據揭示實施例的一或更多個方面,第三臂連桿包括槽縫,其建構成接收至少部分的末端實施器,如此則末端實施器在槽縫裡可旋轉地耦合於第三臂連桿。According to one or more aspects of the disclosed embodiments, the third arm link includes a slot configured to receive at least a portion of the end effector such that the end effector is rotatably coupled to the third arm link in the slot. Rod.

依據揭示實施例的一或更多個方面,末端實施器配置在第三臂連桿之上或之下。According to one or more aspects of the disclosed embodiments, the end effector is disposed above or below the third arm link.

依據揭示實施例的一或更多個方面,前臂連桿是漸縮架構;以及上臂連桿具有匹配漸縮架構,其建構成補足前臂連桿的漸縮架構,如此則至少部分的上臂連桿和部分的前臂連桿共平面。According to one or more aspects of the disclosed embodiments, the forearm link is a tapered structure; and the upper arm link has a matching tapered structure configured to complement the tapered structure of the forearm link such that at least part of the upper arm link Coplanar with part of the forearm link.

依據揭示實施例的一或更多個方面,傳送裝置的延伸和撤回軸通過傳送裝置之旋轉肩軸的中央上方。According to one or more aspects of the disclosed embodiments, the extension and retraction axes of the conveyor pass centrally over the rotational shoulder axis of the conveyor.

依據揭示實施例的一或更多個方面,傳送裝置建構成在傳送裝置之肩軸的相對側上雙向延伸,其中傳送臂的徑向延伸在肩軸的相對側上大致對稱。In accordance with one or more aspects of the disclosed embodiments, the conveyor is configured to extend bi-directionally on opposite sides of a shoulder axis of the conveyor, wherein the radial extensions of the conveyor arms are generally symmetrical on opposite sides of the shoulder axis.

依據揭示實施例的一或更多個方面,末端實施器在傳送裝置的整個延伸和撤回範圍裡都維持對齊於延伸和撤回軸。According to one or more aspects of the disclosed embodiments, the end effector remains aligned with the extension and retraction axis throughout the range of extension and retraction of the delivery device.

依據揭示實施例的一或更多個方面,第三臂連桿在傳送裝置的起始姿勢係大致對齊於前臂連桿。According to one or more aspects of the disclosed embodiments, the third arm link is generally aligned with the forearm link in the initial posture of the conveyor.

依據揭示實施例的一或更多個方面,第三臂連桿是由具有單一帶高度的帶式傳動器所驅動。In accordance with one or more aspects of the disclosed embodiments, the third arm link is driven by a belt drive having a single belt height.

依據揭示實施例的一或更多個方面,傳送基板的方法包括:提供連接到框架的傳送裝置,該傳送裝置具有上臂連桿、繞著肘軸而可旋轉地耦合於上臂連桿的前臂連桿、繞著腕軸而可旋轉地耦合於前臂的至少一第三臂連桿、繞著指軸而可旋轉地耦合於第三臂連桿的末端實施器;以及以二自由度的驅動系統來實施上臂連桿、前臂連桿、第三臂連桿及末端實施器的延伸或撤回,如此則腕軸延伸穿過槽縫閥;其中末端實施器的高度是在腕軸的堆疊高度輪廓裡,如此則末端實施器和腕軸之總堆疊高度的尺寸做成符合通過槽縫閥。According to one or more aspects of the disclosed embodiments, a method of transporting a substrate includes providing a transport device connected to a frame, the transport device having an upper arm link, a forearm link rotatably coupled to the upper arm link about an toggle axis. a rod, at least a third arm link rotatably coupled to the forearm about a wrist axis, an end effector rotatably coupled to the third arm link about a finger axis; and a drive system with two degrees of freedom to implement extension or retraction of the upper arm link, forearm link, third arm link, and end effector such that the wrist axis extends through the slot valve; where the height of the end effector is within the stack height profile of the wrist axis , so that the total stack height of the end effector and wrist shaft is sized to fit through the slot valve.

依據揭示實施例的一或更多個方面,傳送裝置具有伸出範圍,其為上臂連桿、前臂連桿、第三臂連桿及末端實施器在撤回架構下對於傳送裝置的預定擺動直徑之傳送裝置的最大伸出範圍,該最大伸出範圍使末端實施器、其指軸及至少部分的第三臂連桿(包括腕軸)延伸穿過基板處理裝置的槽縫閥。According to one or more aspects of the disclosed embodiments, the conveyor has an extension range that is one of the predetermined swing diameters of the upper arm link, forearm link, third arm link, and end effector in the retraction configuration for the conveyor. The maximum reach of the conveyor that allows the end effector, its finger shaft, and at least part of the third arm link (including the wrist shaft) to extend through the slot valve of the substrate processing apparatus.

依據揭示實施例的一或更多個方面,方法進一步包括:在肩軸的相對側上雙向延伸上臂連桿、前臂連桿、第三臂連桿及末端實施器,而傳送裝置整個不繞著肩軸來旋轉。According to one or more aspects of the disclosed embodiments, the method further includes bidirectionally extending the upper arm link, the forearm link, the third arm link, and the end effector on opposite sides of the shoulder axis without the transfer device entirely surrounding the shoulder axis. shoulder axis to rotate.

圖1A~1M是依據揭示實施例的諸多方面之基板處理裝置的示意圖。雖然揭示實施例的諸多方面將參考圖式來描述,但是應了解揭示實施例的諸多方面可以具體化成許多形式。附帶而言,或可使用任何適合之尺寸、形狀或類型的元件或材料。1A-1M are schematic diagrams of a substrate processing apparatus in accordance with various aspects of disclosed embodiments. Although aspects of the disclosed embodiments will be described with reference to the drawings, it should be understood that the aspects of the disclosed embodiments can be embodied in many forms. Incidentally, any suitable size, shape or type of components or materials may be used.

揭示實施例的諸多方面提供方法和裝置,其以傳送臂來實施基板的轉移而來往於處理模組的深陷式(deep set)基板固持站,其中傳送臂的至少一第三臂連桿(也稱為切截臂連桿,其具有旋轉指軸)提供給傳送臂的伸出範圍要長於具有相等或不相等臂連桿之習用的傳送臂,如下所將更詳細描述。切截臂連桿在腕軸(也稱為腕關節)耦合於傳送臂的前臂,並且連同前臂和末端實施器,其尺寸做成以致所具有的堆疊高度允許腕軸通過處理模組的閘門閥或埠。通過閘門閥埠的腕提供讓部分的前臂、腕軸、切截臂連桿及至少部分的末端實施器在處理室內延伸,以存取處理模組的深陷式基板固持站。Aspects of the disclosed embodiments provide methods and apparatus for transferring substrates to and from a deep set substrate holding station of a processing module using a transfer arm, wherein at least a third arm link of the transfer arm ( Also called cut-off arm links, which have rotating fingers) provide the transfer arm with a longer reach than conventional transfer arms with equal or unequal arm links, as will be described in more detail below. The cutter arm linkage is coupled to the forearm of the transfer arm at the wrist axis (also called the wrist joint) and, together with the forearm and end effector, is sized to have a stacking height that allows the wrist axis to pass through the gate valve of the processing module Or port. The wrist provision through the gate port allows portions of the forearm, wrist shaft, cutter arm linkage and at least portions of the end effector to extend within the processing chamber to access the recessed substrate holding station of the processing module.

顯示的是依據揭示實施例的諸多方面的處理裝置100A、100B、100C、100D、100E、100F、100G、100H,舉例而言例如半導體工具站。雖然圖式顯示半導體工具站,但是在此所述之揭示實施例的諸多方面可以應用於採用力矩耦合的任何工具站或用途。於一方面,處理裝置100A、100B、100C、100D、100E、100F、100G、100H、100I顯示成具有叢集式工具排列(譬如具有連接到中央室的基板固持站),但於其他方面,處理裝置可以是線性排列的工具100L、100M,如2013年3月19日頒發而標題為「線性分布的半導體工件處理工具」的美國專利第8,398,355號所述(其揭示整個併於此以為參考);然而揭示實施例的諸多方面可以應用於任何適合的工具站。裝置100A、100B、100C、100D、100E、100F、100G、100H、100I一般而言包括大氣壓前端101、至少一真空載入鎖定器102、102A、102B、102C和真空後端103。至少一真空載入鎖定器102、102A、102B、102C可以採取任何適合的排列而耦合於前端101和/或後端103之任何適合的(多個)埠或(多個)開口。舉例而言,在一方面,一或更多個載入鎖定器102、102A、102B、102C可以邊靠邊排列而排列於共同的水平面,如圖1B~1D和1G~1K所可見。於其他方面,一或更多個載入鎖定器可以排列成格網的格式,使得至少二載入鎖定器102A、102B、102C、102D排列成列(譬如具有隔開的水平面)和欄(譬如具有隔開的垂直平面),如圖1E所示。於其他方面,一或更多個載入鎖定器可以是單一線上載入鎖定器102,如圖1A所示。於又一方面,至少一載入鎖定器102,102E可以排列成堆疊的線上排列,如圖1F所示。應了解雖然載入鎖定器示範成在傳送室125A、125B、125C、125D、125E、125F的末端100E1或小面100F1上,不過於其他方面,一或更多個載入鎖定器可以排列在傳送室125A、125B、125C、125D、125E、125F之任何數目的側面100S1、100S2、末端100E1、100E2或小面100F1~100F8上。至少一載入鎖定器的每一者也可以包括一或更多個晶圓/基板休止平面WRP(圖1F),其中基板固持在個別載入鎖定器裡的適合支撐上。於其他方面,工具站可以具有任何適合的架構。Shown are processing apparatuses 100A, 100B, 100C, 100D, 100E, 100F, 100G, 100H, such as, for example, a semiconductor tool station, in accordance with aspects of the disclosed embodiments. Although the drawings show a semiconductor tool station, aspects of the disclosed embodiments described herein may be applied to any tool station or application employing torque coupling. In one aspect, the processing devices 100A, 100B, 100C, 100D, 100E, 100F, 100G, 100H, 100I are shown with a clustered tool arrangement (eg, with substrate holding stations connected to a central chamber), but in other aspects, the processing devices The tools 100L, 100M may be linearly arranged, as described in U.S. Patent No. 8,398,355 entitled "Linearly Distributed Semiconductor Workpiece Processing Tool" issued on March 19, 2013 (which is disclosed in its entirety and is incorporated herein by reference); however, Aspects of the disclosed embodiments may be applied to any suitable tool station. Devices 100A, 100B, 100C, 100D, 100E, 100F, 100G, 100H, 100I generally include an atmospheric pressure front end 101, at least one vacuum load lock 102, 102A, 102B, 102C, and a vacuum back end 103. At least one vacuum load lock 102, 102A, 102B, 102C may be coupled to any suitable port(s) or opening(s) of front end 101 and/or rear end 103 in any suitable arrangement. For example, in one aspect, one or more load latches 102, 102A, 102B, 102C may be arranged side by side on a common horizontal plane, as can be seen in Figures 1B-1D and 1G-1K. In other aspects, one or more load locks may be arranged in a grid format such that at least two load locks 102A, 102B, 102C, 102D are arranged in columns (e.g., with separated horizontal planes) and columns (e.g., with separated vertical planes) as shown in Figure 1E. In other aspects, one or more load locks may be a single online load lock 102, as shown in Figure 1A. In yet another aspect, at least one load lock 102, 102E can be arranged in a stacked line arrangement, as shown in Figure 1F. It should be understood that although the load locks are illustrated on the ends 100E1 or facets 100F1 of the transfer chambers 125A, 125B, 125C, 125D, 125E, 125F, one or more load locks may otherwise be arranged on the transfer chamber. On any number of sides 100S1, 100S2, ends 100E1, 100E2 or facets 100F1~100F8 of chambers 125A, 125B, 125C, 125D, 125E, 125F. Each of the at least one load lock may also include one or more wafer/substrate rest planes WRP (FIG. IF), where the substrate is held on suitable supports within the individual load lock. Otherwise, the tool station may have any suitable architecture.

前端101、至少一載入鎖定器102、102A、102B、102C和後端103中之每一者的組件可以連接到控制器110,其可以是任何適合之控制架構(舉例而言例如叢集式架構控制)的一部分。控制系統可以是具有主控制器的閉路控制器(其在一方面可以是控制器110)、叢集式控制器、自主式遙控器,例如2011年3月8日頒發而標題為「可縮放的運動控制系統」的美國專利第7,904,182號所揭示,其揭示整個併於此以為參考。於其他方面,可以利用任何適合的控制器和/或控制系統。Components of each of the front end 101, at least one load lock 102, 102A, 102B, 102C, and the back end 103 may be connected to a controller 110, which may be any suitable control architecture (eg, a cluster architecture part of the control). The control system may be a closed circuit controller with a master controller (which in one aspect may be the controller 110), a cluster controller, an autonomous remote control, such as the one issued on March 8, 2011 titled "Scalable Motion" No. 7,904,182 "Control System", the disclosure of which is incorporated herein by reference in its entirety. Otherwise, any suitable controller and/or control system may be utilized.

於一方面,前端101一般而言包括載入埠模組105和迷你環境106,舉例而言例如設備前端模組(equipment front end module,EFEM)。載入埠模組105可以是對工具標準的開盒器/載入器(box opener/loader to tool standard,BOLTS)介面,其符合用於300毫米載入埠、前方開口或底部開口盒/莢和卡匣的SEMI標準E15.1、E47.1、E62、E19.5或E1.9。於其他方面,載入埠模組可以建構成200毫米晶圓/基板介面、450毫米晶圓/基板介面或任何其他適合的基板介面,舉例而言例如或大或小的半導體晶圓/基板、平面顯示器的面板、太陽能面板、光罩或任何其他適合的物體。雖然三載入埠模組105顯示於圖1A~1D、1J、1K,但於其他方面,任何適合數目的載入埠模組可以併入前端101中。In one aspect, the front end 101 generally includes a loadport module 105 and a mini-environment 106, such as an equipment front end module (EFEM). The load port module 105 may be a box opener/loader to tool standard (BOLTS) interface that is compliant for use with 300 mm load ports, front opening or bottom opening boxes/pods and SEMI standards E15.1, E47.1, E62, E19.5 or E1.9 for cassettes. In other aspects, the load port module can be configured as a 200mm wafer/substrate interface, a 450mm wafer/substrate interface, or any other suitable substrate interface, such as large or small semiconductor wafers/substrates, Flat screen display panels, solar panels, photo masks, or any other suitable object. Although a three-loadport module 105 is shown in FIGS. 1A-1D, 1J, and 1K, in other aspects, any suitable number of loadport modules may be incorporated into the front-end 101.

載入埠模組105可以建構成從高架傳送系統、自動導引車輛、人工導引車輛、軌道導引車輛或任何其他適合的傳送方法來接收基板載體或卡匣C。載入埠模組105可以透過載入埠107而與迷你環境106形成介面。載入埠107可以允許基板在基板卡匣和迷你環境106之間通過。迷你環境106一般而言包括任何適合的轉移機器人108,其可以併入在此所述之揭示實施例的一或更多個方面。於一方面,機器人108可以是軌道安裝機器人,舉例而言例如1999年12月14日頒發的美國專利第6,002,840號、2013年4月16日頒發的第8,419,341號、2010年1月19日頒發的第7,648,327號所述,其揭示整個併於此以為參考。於其他方面,機器人108可以大致類似於在此相對於後端103所述者。迷你環境106可以提供受控制的潔淨區以供基板在多個載入埠模組之間轉移。The load port module 105 may be configured to receive substrate carriers or cassettes C from an overhead conveyor system, an automated guided vehicle, a manually guided vehicle, a rail guided vehicle, or any other suitable transfer method. Load port module 105 may interface with mini-environment 106 through load port 107 . Load port 107 may allow substrates to pass between substrate cassettes and mini-environment 106 . Mini-environment 106 generally includes any suitable transfer robot 108 that may incorporate one or more aspects of the disclosed embodiments described herein. In one aspect, the robot 108 may be a track-mounted robot, such as U.S. Patent Nos. 6,002,840 issued on December 14, 1999, 8,419,341 issued on April 16, 2013, and U.S. Patent Nos. 8,419,341 issued on January 19, 2010. No. 7,648,327, which is disclosed in its entirety and is incorporated herein by reference. Otherwise, the robot 108 may be substantially similar to that described herein with respect to the backend 103 . Mini-environment 106 may provide a controlled clean area for substrate transfer between multiple load port modules.

至少一真空載入鎖定器102、102A、102B、102C可以位在迷你環境106和後端103之間並且連接到迷你環境106和後端103。於其他方面,載入埠105可以大致直接耦合於至少一載入鎖定器102、102A、102B、102C或傳送室125A、125B、125C、125D、125E、125F,其中基板載體C被抽到傳送室125A、125B、125C、125D的真空,並且基板直接在基板載體C和載入鎖定器或轉移室之間轉移。在此方面,基板載體C的功能可以作為載入鎖定器,使得傳送室的處理真空延伸到基板載體C內。如所可理解,若基板載體C透過適合的載入埠而大致直接耦合於載入鎖定器,則可以在載入鎖定器裡設有任何適合的傳送裝置或者另外具有對載體C的存取,以將基板轉移來往於基板載體C。注意如在此所用的真空一詞可以表示當中處理基板的高真空,例如10-5 托耳或更低。At least one vacuum load lock 102, 102A, 102B, 102C may be located between and connected to the mini-environment 106 and the backend 103. In other aspects, the load port 105 may be generally directly coupled to at least one load lock 102, 102A, 102B, 102C or transfer chamber 125A, 125B, 125C, 125D, 125E, 125F into which the substrate carrier C is drawn. 125A, 125B, 125C, 125D, and the substrates are transferred directly between the substrate carrier C and the load lock or transfer chamber. In this regard, the substrate carrier C may function as a load lock such that the processing vacuum of the transfer chamber extends into the substrate carrier C. As can be appreciated, if the substrate carrier C is generally directly coupled to the load lock through a suitable load port, then any suitable transfer device may be provided in the load lock or otherwise have access to the carrier C, To transfer the substrate to and from the substrate carrier C. Note that the term vacuum as used herein may refer to a high vacuum in which substrates are processed, such as 10 −5 Torr or less.

至少一載入鎖定器102、102A、102B、102C一般而言包括大氣壓和真空槽縫閥。載入鎖定器102、102A、102B(以及用於處理站130)的槽縫閥可以提供環境隔離,其用於從大氣壓前端載入基板之後將載入鎖定器抽真空,並且當以惰氣(例如氮)來對鎖定室洩氣時維持傳送室中的真空。如在此所將描述,處理裝置100A、100B、100C、100D、100E、100F(以及線性處理裝置100G、100H)的槽縫閥可以位在相同平面、不同的垂直堆疊平面、或槽縫閥位在相同平面和槽縫閥位在不同垂直堆疊平面的組合(如上面相對於載入埠所述),以容納基板轉移來往於至少處理站130和耦合於傳送室125A、125B、125C、125D、125E、125F的載入鎖定器102、102A、102B、102C。至少一載入鎖定器102、102A、102B、102C(和/或前端101)也可以包括對齊器,以將基板的基準對齊於意欲的位置而用於處理或任何其他適合的基板計量設備。於其他方面,真空載入鎖定器可以位在處理裝置的任何適合位置並且具有任何適合的架構。At least one load lock 102, 102A, 102B, 102C generally includes atmospheric pressure and vacuum slot valves. Slot valves for the load locks 102, 102A, 102B (and for the processing station 130) may provide environmental isolation for evacuating the load locks after front loading substrates from atmospheric pressure, and when filled with inert gas ( such as nitrogen) to maintain the vacuum in the transfer chamber while deflating the lock chamber. As will be described herein, the slot valves of processing devices 100A, 100B, 100C, 100D, 100E, 100F (and linear processing devices 100G, 100H) may be located in the same plane, different vertical stacking planes, or slot valve positions A combination of slot valve positions in the same plane and in different vertical stacking planes (as described above with respect to load ports) to accommodate substrate transfer to and from at least processing station 130 and coupling to transfer chambers 125A, 125B, 125C, 125D, 125E , 125F load locks 102, 102A, 102B, 102C. At least one load lock 102, 102A, 102B, 102C (and/or front end 101) may also include an aligner to align the datum of the substrate in a desired location for processing or any other suitable substrate metrology equipment. Among other aspects, the vacuum load lock may be located in any suitable location on the processing device and of any suitable construction.

真空後端103一般而言包括傳送室125A、125B、125C、125D、125E、125F、一或更多個處理站或模組130、任何適合數目的基板傳送裝置104(其包括一或更多個傳送機器人,而可以包括在此所述之揭示實施例的一或更多個方面)。傳送室125A、125B、125C、125D、125E、125F可以具有任何適合的形狀和尺寸,其舉例而言符合SEMI標準E72指引。下面將描述基板傳送裝置104和一或更多個傳送機器人,其可以至少部分位在傳送室125A、125B、125C、125D、125E、125F裡,以在載入鎖定器102、102A、102B、120C(或在位於載入埠的卡匣C)和多樣的處理站130之間傳送基板。於一方面,基板傳送裝置104可以從傳送室125A、125B、125C、125D、125E、125F移除而成模組化單元,使得基板傳送裝置104符合SEMI標準E72指引。Vacuum backend 103 generally includes transfer chambers 125A, 125B, 125C, 125D, 125E, 125F, one or more processing stations or modules 130, any suitable number of substrate transfer devices 104, including one or more A transfer robot, which may include one or more aspects of the disclosed embodiments described herein). Transfer chambers 125A, 125B, 125C, 125D, 125E, 125F may have any suitable shape and size, which, for example, conforms to SEMI Standard E72 guidelines. Substrate transfer device 104 and one or more transfer robots will be described below, which may be located at least partially within transfer chambers 125A, 125B, 125C, 125D, 125E, 125F to load locks 102, 102A, 102B, 120C. (or between cassette C located at the load port) and the various processing stations 130. In one aspect, the substrate transfer device 104 can be removed from the transfer chambers 125A, 125B, 125C, 125D, 125E, and 125F to form a modular unit, so that the substrate transfer device 104 complies with SEMI standard E72 guidelines.

處理站130可以透過多樣的沉積、蝕刻或其他類型的過程而在基板上操作,以在基板上形成電路或其他意欲的結構。典型的過程包括但不限於使用真空的薄膜過程,例如電漿蝕刻或其他蝕刻過程、化學氣相沉積(chemical vapor deposition,CVD)、電漿氣相沉積(plasma vapor deposition,PVD)、例如離子佈植的佈植、計量、快速熱處理(rapid thermal processing,RTP)、乾式剝除、原子層沉積(atomic layer deposition,ALD)、氧化/擴散、形成氮化物、真空微影術、磊晶(epitaxy,EPI)、打線機和蒸鍍、或其他使用真空壓力的薄膜過程。處理站130以任何適合的方式(例如透過槽縫閥SV)可連通地連接到傳送室125A、125B、125C、125D、125E、125F,以允許基板從傳送室125A、125B、125C、125D、125E、125F通到處理站130並且反之亦可。傳送室125A、125B、125C、125D、125E、125F的槽縫閥SV可以排列成允許連接雙重處理站(譬如多於一個基板處理室位在共同的外殼裡)或邊靠邊的處理站130T1~130T8、單一處理站130S和/或堆疊的處理模組/載入鎖定器(圖1E和1F)。如下所進一步描述,在基板傳送裝置所接受而對應於個別處理裝置的過程之溫度和壓力/真空的整個範圍和變異裡,基板傳送裝置實現了再現性和正確性。The processing station 130 may operate on the substrate through various deposition, etching, or other types of processes to form circuits or other desired structures on the substrate. Typical processes include, but are not limited to, thin film processes using vacuum, such as plasma etching or other etching processes, chemical vapor deposition (CVD), plasma vapor deposition (PVD), such as ion cloth Plant placement, metering, rapid thermal processing (RTP), dry stripping, atomic layer deposition (ALD), oxidation/diffusion, nitride formation, vacuum lithography, epitaxy, EPI), wire bonding machines and evaporation, or other thin film processes using vacuum pressure. Processing station 130 is communicably connected to transfer chambers 125A, 125B, 125C, 125D, 125E, 125F in any suitable manner (eg, via slot valve SV) to allow substrates to pass from transfer chambers 125A, 125B, 125C, 125D, 125E , 125F to processing station 130 and vice versa. The slot valves SV of transfer chambers 125A, 125B, 125C, 125D, 125E, 125F can be arranged to allow connection of dual processing stations (for example, more than one substrate processing chamber located in a common housing) or side-by-side processing stations 130T1~130T8 , single processing station 130S and/or stacked processing modules/load locks (Figures 1E and 1F). As further described below, the substrate transfer device achieves reproducibility and accuracy over the entire range and variation of temperature and pressure/vacuum accepted by the substrate transfer device corresponding to the process of the individual processing device.

注意當基板傳送裝置104的一或更多個臂沿著基板傳送裝置104的延伸和撤回軸R而對齊於預定的處理站130時,可以發生基板轉移來往於處理站130和耦合於轉移室125A、125B、125C、125D、125E、125F的載入鎖定器102、102A、102B、102C(或卡匣C)。依據揭示實施例的諸多方面,譬如當從邊靠邊或一前一後的處理站拾取/放置基板時,如圖1B、1C、1D、1G~1K所示,一或更多個基板可以各自地或大致同時地轉移到個別的預定的處理站130。於一方面,基板傳送裝置104可以安裝在套臂143上(譬如見圖1D和1G~1I),其中套臂143具有單一套桿或多個套桿121、122或線性運輸器144,例如2013年10月18日申請而標題為「處理裝置」的美國專利臨時申請案第61/892,849號、2013年11月15日申請而標題為「處理裝置」的第61/904,908號以及2013年2月11日申請而標題為「基板處理裝置」的國際專利申請案第PCT/US13/25513號所述,其揭示整個併於此以為參考。Note that substrate transfer to and from the processing station 130 and coupling to the transfer chamber 125A may occur when one or more arms of the substrate transfer device 104 are aligned with a predetermined processing station 130 along the extension and retraction axis R of the substrate transfer device 104 , 125B, 125C, 125D, 125E, 125F loading locks 102, 102A, 102B, 102C (or cassette C). In accordance with various aspects of the disclosed embodiments, such as when picking/placing substrates from side-by-side or tandem processing stations, as shown in Figures 1B, 1C, 1D, 1G-1K, one or more substrates may be individually or transferred to individual predetermined processing stations 130 at approximately the same time. On the one hand, the substrate transfer device 104 can be installed on a sleeve arm 143 (see, for example, FIGS. 1D and 1G~1I), wherein the sleeve arm 143 has a single sleeve rod or multiple sleeve rods 121, 122 or a linear conveyor 144, such as 2013 U.S. Patent Provisional Application Nos. 61/892,849, filed on October 18, 2013, and entitled “Processing Device”; U.S. Patent Provisional Application Nos. 61/904,908, filed on November 15, 2013, and entitled “Processing Device”; and February 2013. The entire disclosure of the International Patent Application No. PCT/US13/25513 titled "Substrate Processing Apparatus" was filed on the 11th and is hereby incorporated by reference.

現參見圖1L,顯示的是線性晶圓處理系統100G的示意平面圖,其中工具介面區2012安裝於傳送室模組3018,如此則工具介面區2012一般而言將面向(譬如往內)但偏移於傳送室模組3018的縱軸X。傳送室模組3018可以藉由將其他傳送室模組3018A、3018I、3018J附接到介面2050、2060、2070而在任何適合的方向上延伸,如美國專利第8,398,355號所述,其先前併於此以為參考。每個傳送室模組3018、3018A、3018I、3018J包括任何適合的晶圓傳送器2080,其可以包括在此所述之揭示實施例的一或更多個方面,以傳送晶圓而遍及處理系統100G和進出例如處理模組PM。如所可理解,每個室模組可以能夠維持隔離或控制的氣體(譬如N2 、潔淨空氣、真空)。Referring now to FIG. 1L , shown is a schematic plan view of linear wafer processing system 100G in which tool interface area 2012 is mounted on transfer chamber module 3018 such that tool interface area 2012 will generally face (eg, inwardly) but be offset. on the longitudinal axis X of the transfer chamber module 3018. Transfer chamber module 3018 may be extended in any suitable direction by attaching other transfer chamber modules 3018A, 3018I, 3018J to interfaces 2050, 2060, 2070, as described in U.S. Pat. No. 8,398,355, previously and described in This is for reference. Each transfer chamber module 3018, 3018A, 3018I, 3018J includes any suitable wafer transfer 2080, which may include one or more aspects of the disclosed embodiments described herein, to transfer wafers throughout the processing system 100G and in and out e.g. processing module PM. As can be appreciated, each chamber module may be capable of maintaining an isolated or controlled gas (eg, N2 , clean air, vacuum).

參見圖1M,顯示的是例如可以沿著線性傳送室416的縱軸X之範例性處理工具100H的示意圖。於圖1M所示之揭示實施例的方面,工具介面區12可以代表性地連接到線性傳送室416。在此方面,介面區12可以界定線性傳送室416的一末端。如圖1M所見,線性傳送室416可以具有另一工件進入/離開站412,其舉例而言在相反於介面站12的末端。於其他方面,可以設有其他的進入/離開站以對傳送室插入/移除工件。於一方面,介面區12和進入/離開站412可以允許從工具載入和卸載工件。於其他方面,工件可以從一末端載入工具中並且從另一末端移除。於一方面,線性傳送室416可以具有一或更多個轉移室模組18B、18i。每個室模組可以能夠維持隔離或控制的氣體(譬如N2 、潔淨空氣、真空)。如之前所注意,圖1M所示之傳送室模組18B、18i、載入鎖定器模組56A、56和形成線性傳送室416之工件站的架構/排列只是範例性的,並且於其他方面,傳送室可以具有或多或少的模組,其以任何意欲的模組化排列來配置。於所示方面,站412可以是載入鎖定器。於其他方面,載入鎖定器模組可以位在末端進入/離開站(類似於站412)之間,或者鄰接的傳送室模組(類似於模組18i)可以建構成操作為載入鎖定器。Referring to FIG. 1M, shown is a schematic diagram of an exemplary processing tool 100H that may be along the longitudinal axis X of a linear transfer chamber 416, for example. In the aspect of the disclosed embodiment shown in FIG. 1M, tool interface area 12 may representatively be connected to linear transfer chamber 416. In this regard, interface region 12 may define an end of linear transfer chamber 416 . As seen in FIG. 1M , the linear transfer chamber 416 may have another workpiece entry/exit station 412 , for example at an end opposite the interface station 12 . In other aspects, other entry/exit stations may be provided to insert/remove workpieces from the transfer chamber. In one aspect, interface area 12 and entry/exit station 412 may allow workpieces to be loaded and unloaded from the tool. In other aspects, the workpiece can be loaded into the tool from one end and removed from the other end. In one aspect, linear transfer chamber 416 may have one or more transfer chamber modules 18B, 18i. Each chamber module may be capable of maintaining isolated or controlled gases (eg N 2 , clean air, vacuum). As previously noted, the architecture/arrangement of transfer chamber modules 18B, 18i, load lock modules 56A, 56, and workpiece stations forming linear transfer chamber 416 shown in FIG. 1M is exemplary only, and in other respects, The transfer chamber can have more or less modules configured in any desired modular arrangement. In the aspect shown, station 412 may be a load lock. Otherwise, load lock modules may be located between end entry/exit stations (similar to station 412), or adjacent transfer chamber modules (similar to module 18i) may be constructed to operate as load locks .

也如之前所注意,傳送室模組18B、18i具有位在當中之一或更多個對應的基板傳送裝置26B、26i,其可以包括在此所述之揭示實施例的一或更多個方面。個別傳送室模組18B、18i的基板傳送裝置26B、26i可以偕同運作以在傳送室中提供線性分布的工件傳送系統420。在此方面,基板傳送裝置26B可以具有大致為選擇性順服的關節化機器人手臂(selective compliant articulation robot arm,SCARA)的架構(雖然於其他方面,傳送臂可以具有如下所述之任何其他意欲的排列)。As also noted previously, transfer chamber modules 18B, 18i have one or more corresponding substrate transfer devices 26B, 26i located therein, which may include one or more aspects of the disclosed embodiments described herein. . The substrate transfer devices 26B, 26i of the individual transfer chamber modules 18B, 18i may operate together to provide a linearly distributed workpiece transfer system 420 within the transfer chamber. In this regard, substrate transfer device 26B may have a generally selective compliant articulation robot arm (SCARA) architecture (although in other respects, the transfer arm may have any other desired arrangement as described below ).

於圖1M所示之揭示實施例的方面,傳送裝置26B的臂和/或末端實施器可以排列成提供可稱之為快速切換排列,其允許傳送是從拾取/放置位置來迅速切換晶圓。基板傳送裝置26B可以具有任何適合的驅動區(譬如同軸排列的驅動軸桿、邊靠邊的驅動軸桿、水平相鄰的馬達、垂直堆疊的馬達…等),以提供任何適合數目的自由度給每個臂(譬如繞著肩和肘關節的獨立旋轉而具有Z軸運動)。如圖1M所見,在此方面,模組56A、56、30i可以居間插入地位在轉移室模組18B、18i之間,並且界定適合的處理模組、(多個)載入鎖定器、(多個)緩衝站、(多個)計量站或任何其他意欲的(多個)站。舉例而言,居間插入型模組(例如載入鎖定器56A、56和工件站30i)皆具有靜止的工件支撐/擱架56S1、56S2、30S1、30S2,其與基板傳送裝置偕同運作以實施沿著傳送室的線性軸X將工件傳送通過傳送室的長度。舉例來說,(多個)工件可以藉由介面區12而載入線性傳送室416中。(多個)工件可以用介面區的基板傳送裝置15而定位在載入鎖定器模組56A的(多個)支撐上。載入鎖定器模組56A中的(多個)工件可以藉由模組18B中的基板傳送裝置26B而在載入鎖定器模組56A和載入鎖定器模組56之間移動,並且以類似和接連的方式而藉由(模組18i中的)基板傳送裝置26i來在載入鎖定器56和工件站30i之間移動,以及藉由模組18i中的基板傳送裝置26i而在站30i和站412之間移動。這過程可以整個或部分逆轉以在相反方向上移動(多個)工件。因此,在一方面,工件可以在沿著軸X的任何方向上移動而沿著傳送室到任何位置,並且可以載入和卸載於連通到傳送室之任何意欲的模組(做處理或別的事)。於其他方面,具有靜止之工件支撐或擱架的居間插入型傳送室模組可以不設在傳送室模組18B、18i之間。於此等方面,鄰接之傳送室模組的基板傳送裝置可以將工件直接從一末端實施器或一傳送臂通到另一基板傳送裝置的末端實施器或傳送臂以將工件移動穿過傳送室。處理站模組可以透過多樣的沉積、蝕刻或其他類型的過程而在晶圓上操作,以在晶圓上形成電路或其他意欲的結構。處理站模組連接到傳送室模組以允許晶圓從傳送室通到處理站並且反之亦可。具有類似圖1D所示處理裝置的一般特徵之處理工具的適合範例描述於美國專利第8,398,355號,其先前整個併入以為參考。In the aspect of the disclosed embodiment shown in FIG. 1M, the arms and/or end effectors of transfer device 26B can be arranged to provide what may be called a fast switching arrangement, which allows the transfer to quickly switch wafers from a pick/place position. The substrate transfer device 26B may have any suitable drive area (e.g., coaxially arranged drive shafts, side-by-side drive shafts, horizontally adjacent motors, vertically stacked motors, etc.) to provide any suitable number of degrees of freedom. Each arm (e.g. has Z-axis motion around independent rotation of the shoulder and elbow joints). As seen in Figure 1M, in this regard, modules 56A, 56, 30i may be interposed between transfer chamber modules 18B, 18i and define appropriate processing modules, load lock(s), load lock(s), ) buffer station(s), metering station(s) or any other desired station(s). For example, intervening modules (such as load locks 56A, 56 and workpiece station 30i) each have stationary workpiece supports/shelf 56S1, 56S2, 30S1, 30S2 that operate in conjunction with the substrate transfer device to implement edge processing. The length of the transfer chamber through which the workpiece is transferred along the linear axis X of the transfer chamber. For example, workpiece(s) may be loaded into linear transfer chamber 416 via interface area 12 . The workpiece(s) may be positioned on the support(s) of the load lock module 56A using the substrate transfer device 15 of the interface area. Workpiece(s) in load lock module 56A may be moved between load lock module 56A and load lock module 56 by substrate transfer 26B in module 18B, and in a manner similar to and in a continuous manner by means of substrate transfer device 26i (in module 18i) between the load lock 56 and the workpiece station 30i, and by means of the substrate transfer device 26i in the module 18i between stations 30i and Move between stations 412. This process can be reversed in whole or in part to move the workpiece(s) in the opposite direction. Thus, in one aspect, workpieces can be moved in any direction along axis thing). In other aspects, an intervening transfer chamber module with a stationary workpiece support or shelf may not be disposed between the transfer chamber modules 18B, 18i. In these aspects, the substrate transfer device of the adjacent transfer chamber module can pass the workpiece directly from one end effector or transfer arm to the end effector or transfer arm of another substrate transfer device to move the workpiece through the transfer chamber. . Processing station modules may operate on the wafer through a variety of deposition, etching or other types of processes to form circuits or other desired structures on the wafer. The process station module is connected to the transfer chamber module to allow wafers to pass from the transfer chamber to the process station and vice versa. A suitable example of a processing tool having general features similar to the processing device shown in Figure ID is described in U.S. Patent No. 8,398,355, which was previously incorporated by reference in its entirety.

現參見圖2A、2B、2C、2D,在一方面,基板傳送裝置104包括至少一驅動區(其也可以稱為驅動系統)200、200A、200B、200C和至少一機器人手臂300(見圖3A)。注意示範的基板傳送裝置104是範例性,並且於其他方面,可以具有大致類似於2014年12月12日申請而標題為「基板傳送裝置」之美國專利申請案第14/568,742號所述的任何適合架構,其揭示整個併於此以為參考。一或更多個機器人手臂300可以在任何適合的連接CNX耦合於如在此所述之某一驅動區200、200A~200C的個別驅動軸桿,如此則(多個)驅動軸桿的旋轉實施個別(多個)傳送臂300的移動。如下所將描述,在一方面,傳送臂300可與許多不同之可交換的傳送臂300交換,如此以在驅動區的連接CNX將一傳送臂切換成另一傳送臂。Referring now to FIGS. 2A, 2B, 2C, and 2D, in one aspect, the substrate transfer device 104 includes at least one drive area (which may also be referred to as a drive system) 200, 200A, 200B, 200C and at least one robot arm 300 (see FIG. 3A ). Note that the exemplary substrate transfer device 104 is exemplary and may otherwise have any configuration substantially similar to that described in U.S. Patent Application No. 14/568,742 entitled "Substrate Transfer Device" filed on December 12, 2014. Fits the architecture, which reveals the whole and is used as a reference. One or more robotic arms 300 may be coupled at any suitable connection CNX to individual drive shafts of a drive region 200, 200A-200C as described herein, such that rotation of the drive shaft(s) is effected Movement of individual transfer arm(s) 300 . As will be described below, in one aspect the transfer arm 300 can be exchanged with a number of different exchangeable transfer arms 300 such that one transfer arm is switched into another transfer arm with a connection CNX in the drive area.

至少一驅動區200、200A、200B、200C安裝於處理裝置100A~100H之任何適合的框架。於一方面,如上所注意,基板傳送裝置104可以用任何適合的方式而安裝於線性滑動器144(圖1C)或套臂143,其中線性滑動器144和/或套臂143具有大致類似於在此所述之驅動區200、200A、200B、200C的驅動區。至少一驅動區200、200A、200B、200C可以包括共同的驅動區,其所包括的框架200F容罩著Z軸驅動器270和旋轉驅動區282中的一或更多者。框架200F的內部200FI可以用任何適合的方式所密封,如下所將描述。於一方面,Z軸驅動器可以是建構成沿著Z軸來移動傳送臂314、315、316、317、318之任何適合的驅動器。Z軸驅動器在圖2A示範成螺桿型驅動器,但於其他方面,驅動器可以是任何適合的線性驅動器,例如線性致動器、壓電馬達…等。旋轉驅動區282可以建構成任何適合的驅動區,舉例而言例如諧波驅動區。舉例來說,旋轉驅動區282可以包括任何適合數目之同軸排列的諧波驅動馬達280,例如圖2B所可見,其中驅動區282舉例而言包括三同軸排列的諧波驅動馬達280、280A、280B。於其他方面,驅動區282之驅動器的位置可以是邊靠邊和/或呈同軸排列。於一方面,圖2A所示的旋轉驅動區282包括用於驅動軸桿280S的一諧波驅動馬達280;然而,於其他方面,驅動區可以包括任何適合數目的諧波驅動馬達280、280A、280B(圖2B),其舉例而言對應於同軸驅動系統中之任何適合數目的驅動軸桿280S、280AS、280BS(圖2B)。At least one driving area 200, 200A, 200B, 200C is mounted on any suitable frame of the processing devices 100A~100H. In one aspect, as noted above, the substrate transfer device 104 may be mounted to the linear slider 144 (FIG. 1C) or the sleeve arm 143 in any suitable manner, wherein the linear slider 144 and/or the sleeve arm 143 have a structure substantially similar to that in This is the driving area of the driving areas 200, 200A, 200B, and 200C. At least one drive area 200, 200A, 200B, 200C may include a common drive area including a frame 200F housing one or more of the Z-axis drive 270 and the rotational drive area 282. The interior 200FI of the frame 200F may be sealed in any suitable manner, as will be described below. In one aspect, the Z-axis drive may be any suitable drive configured to move transfer arms 314, 315, 316, 317, 318 along the Z-axis. The Z-axis driver is illustrated as a screw driver in Figure 2A, but in other respects, the driver can be any suitable linear driver, such as a linear actuator, a piezoelectric motor, etc. Rotary drive zone 282 may be constructed as any suitable drive zone, such as, for example, a harmonic drive zone. For example, the rotary drive zone 282 may include any suitable number of coaxially arranged harmonic drive motors 280, such as can be seen in FIG. 2B, where the drive zone 282 may include, for example, three coaxially arranged harmonic drive motors 280, 280A, 280B. . In other aspects, the drivers in the drive area 282 may be positioned side by side and/or in a coaxial arrangement. In one aspect, the rotary drive zone 282 shown in FIG. 2A includes a harmonic drive motor 280 for driving the shaft 280S; however, in other aspects, the drive zone may include any suitable number of harmonic drive motors 280, 280A, 280B (Fig. 2B), which corresponds, for example, to any suitable number of drive shafts 280S, 280AS, 280BS (Fig. 2B) in a coaxial drive system.

諧波驅動馬達280可以具有高容量輸出軸承,使得鐵磁性流體密封276、277的組件係置中且由諧波驅動馬達280所至少部分支撐,而在基板傳送裝置104之意欲的旋轉T和延伸R移動期間有足夠的穩定度和淨空。注意鐵磁性流體密封276、277可以包括幾個部分,其形成大致同心的同軸密封,如下所將描述。於此範例,旋轉驅動區282包括外殼281,其容罩著一或更多個驅動馬達280,它們可以大致類似於上面和/或美國專利第6,845,250、5,899,658、5,813,823和5,720,590號所述,其揭示整個併於此以為參考。鐵磁性流體密封276、277可以允許有公差以密封驅動軸桿總成中的每個驅動軸桿280S、280AS,280BS。於一方面,可以不設有鐵磁性流體密封。舉例而言,驅動區282可以包括驅動器,其所具有的定子大致密封隔離於當中操作傳送臂的環境,而其轉子和驅動軸桿分享當中操作臂的環境。沒有鐵磁性流體密封並且可以用於揭示實施例的諸多方面之驅動區的適合範例包括來自Brooks自動公司的MagnaTran® 7和MagnaTran® 8機器人驅動區,其可以具有密封罐(sealed can)排列,如下所將描述。注意(多個)驅動軸桿280S、280AS、280BS也可以具有中空架構(譬如具有沿著驅動軸桿中央的縱向孔),以允許電線290或任何其他適合的物體通過驅動總成以連接到例如另一驅動區,如2016年7月7日申請之美國專利申請案第15/110,130號而在2016年11月10日公布為美國專利公開案第2016/0325440號所述,其揭示整個併於此以為參考。任何適合的位置編碼器、控制器和/或至少一傳送臂314、315、316、317、318可安裝於驅動區200、200A、200B、200C。如所可理解,驅動區200、200A、200B、200C的每個驅動馬達可以包括任何適合的編碼器,其建構成偵測個別馬達的位置,以決定每個傳送臂314、315、316、317、318之末端實施器314E、315E、316E、317E1、317E1、318E1、318E2的位置。The harmonic drive motor 280 may have high capacity output bearings such that the components of the ferrofluid seals 276, 277 are centered and at least partially supported by the harmonic drive motor 280 during the intended rotation T and extension of the substrate transfer device 104 R has enough stability and clearance during movement. Note that ferrofluid seals 276, 277 may include several portions that form generally concentric coaxial seals, as will be described below. In this example, the rotary drive region 282 includes a housing 281 housing one or more drive motors 280, which may be generally similar to those described above and/or in U.S. Patent Nos. 6,845,250, 5,899,658, 5,813,823, and 5,720,590, which disclose This is the entire reference. The ferrofluid seals 276, 277 may allow tolerances to seal each drive shaft 280S, 280AS, 280BS in the drive shaft assembly. In one aspect, the ferrofluid seal may not be provided. For example, the drive region 282 may include a drive having a stator that is substantially sealed from the environment in which the transfer arm is operated, while its rotor and drive shaft share the environment in which the transfer arm is operated. Suitable examples of actuation zones that do not have ferrofluid seals and can be used to disclose aspects of the embodiments include the MagnaTran® 7 and MagnaTran® 8 robotic actuation zones from Brooks Automation, Inc., which may have a sealed can arrangement as follows will be described. Note that the drive shaft(s) 280S, 280AS, 280BS may also have a hollow structure (eg, with a longitudinal hole along the center of the drive shaft) to allow wires 290 or any other suitable object to pass through the drive assembly to connect to e.g. Another drive area, as described in U.S. Patent Application No. 15/110,130 filed on July 7, 2016 and published as U.S. Patent Publication No. 2016/0325440 on November 10, 2016, discloses the entire This is for reference. Any suitable position encoder, controller and/or at least one transfer arm 314, 315, 316, 317, 318 may be mounted to the drive area 200, 200A, 200B, 200C. As can be appreciated, each drive motor of the drive areas 200, 200A, 200B, 200C may include any suitable encoder configured to detect the position of the individual motor to determine each transfer arm 314, 315, 316, 317 , 318's end effector 314E, 315E, 316E, 317E1, 317E1, 318E1, 318E2 position.

於一方面,外殼281可以安裝於運輸器270C,其耦合於Z軸驅動器270,使得Z軸驅動器270沿著Z軸來移動運輸器(和位在上面的外殼281)。如所可理解,為了使當中操作傳送臂314、315、316、317、318的控制氣體密封隔離於驅動區200、200A、200B、200C的內部200FI(其可以操作於大氣壓力ATM環境),驅動區200、200A、200B、200C可以包括上述鐵磁性流體密封276、277和波紋管密封275中的一或更多者。波紋管密封275可以具有耦合於運輸器270C的一末端和耦合於任何適合之部分框架200F的另一末端,如此則框架200F的內部200FI與當中操作傳送臂314、315、316、317、318的控制氣體有所隔離。In one aspect, housing 281 may be mounted to transporter 270C, which is coupled to Z-axis drive 270 such that Z-axis drive 270 moves the transport (and housing 281 thereon) along the Z-axis. As can be appreciated, in order for the control gases operating transfer arms 314, 315, 316, 317, 318 to be hermetically isolated from the interior 200FI of the drive area 200, 200A, 200B, 200C (which may operate in an atmospheric pressure ATM environment), the drive Zones 200, 200A, 200B, 200C may include one or more of the ferrofluid seals 276, 277 and bellows seals 275 described above. The bellows seal 275 may have one end coupled to the conveyor 270C and another end coupled to any suitable portion of the frame 200F such that the interior 200FI of the frame 200F is interoperable with the transfer arms 314, 315, 316, 317, 318 therein The control gas is somewhat isolated.

於其他方面,如上所注意,驅動器所具有的定子係密封隔離於當中操作傳送臂的氣體而無鐵磁性流體密封,例如來自Brooks自動化公司的MagnaTran® 7和MagnaTran® 8機器人驅動區,其可以設在運輸器270C上。舉例而言,也參見圖2C和2D,旋轉驅動區282建構成致使馬達定子密封隔離於當中操作傳送臂的環境,而馬達轉子分享當中操作傳送臂的環境。參見圖2C,示範的是三軸向旋轉驅動區282。在此方面,有三馬達280’、280A’、280B’,每一者具有耦合於個別驅動軸桿280S、280AS、280BS的轉子280R’、280AR’、280BR’。每個馬達280’、280A’、280B’也包括個別的定子280S’、280AS’、280BS’,其可以藉由個別的罐密封280SC、280ACS、280BCS而密封隔離於當中操作(多個)傳送臂的氣體。如所可理解,可以設有任何適合的編碼器/感應器以決定驅動軸桿和(多個)驅動軸桿所操作之(多個)臂的位置。如所可理解,在一方面,圖2C所示範之馬達的驅動軸桿可以不允許電線290饋通;而於其他方面,可以設有任何適合的密封,如此則電線舉例而言可以通過圖2C所示範之馬達的中空驅動軸桿。Otherwise, as noted above, drives have stators that are sealed against the gases operating the transfer arms within them without ferrofluid seals, such as the MagnaTran ® 7 and MagnaTran ® 8 robot drive areas from Brooks Automation, which can be configured On transporter 270C. For example, also referring to Figures 2C and 2D, the rotational drive region 282 is configured such that the motor stator is hermetically isolated from the environment in which the transfer arm is operated, while the motor rotor shares the environment in which the transfer arm is operated. Referring to Figure 2C, illustrated is a three-axis rotational drive zone 282. In this regard, there are three motors 280', 280A', 280B', each having a rotor 280R', 280AR', 280BR' coupled to a respective drive shaft 280S, 280AS, 280BS. Each motor 280', 280A', 280B' also includes an individual stator 280S', 280AS', 280BS' which may be hermetically isolated from the operating transfer arm(s) therein by individual can seals 280SC, 280ACS, 280BCS of gas. As will be appreciated, any suitable encoder/sensor may be provided to determine the position of the drive shaft and arm(s) operated by the drive shaft(s). As can be appreciated, in one aspect, the drive shaft of the motor illustrated in FIG. 2C may not allow feedthrough of wire 290; in other aspects, any suitable seal may be provided such that the wire, for example, can pass through FIG. 2C The hollow drive shaft of the motor demonstrated.

圖2D所示範的驅動區200C包括四馬達的嵌套或同心架構,使得四驅動軸桿126S1~126S4同軸排列,並且四馬達126M1~126M4以嵌套式同軸排列來排列。舉例而言,馬達126M1嵌套(譬如徑向包圍)在馬達126M2內,並且馬達126M3嵌套在馬達126M4內。嵌套馬達126M1、126M2相關於嵌套馬達126M3、126M4而同軸排列,如此則嵌套馬達126M1、126M2同軸配置在嵌套馬達126M3、125M4上方。然而,應了解馬達126M1~126M4可以具有任何適合的排列,例如堆疊排列、邊靠邊或同心排列,如圖2D所示。於其他方面,馬達可以是低輪廓之平坦或「煎餅」(pancake)型機器人驅動架構,其中馬達以大致類似於以下美國專利所述的方式而彼此同心嵌套:2011年8月30日頒發而標題為「具有整合於室壁之馬達的基板處理裝置」的美國專利第8,008,884號、2012年10月9日頒發而標題為「具有磁性轉軸軸承的機器人驅動器」的美國專利第8,283,813號,其揭示整個併於此以為參考。The drive area 200C illustrated in FIG. 2D includes a nested or concentric structure of four motors, such that the four drive shafts 126S1 ~ 126S4 are coaxially arranged, and the four motors 126M1 ~ 126M4 are arranged in a nested coaxial arrangement. For example, motor 126M1 is nested (eg, radially enclosed) within motor 126M2, and motor 126M3 is nested within motor 126M4. The nested motors 126M1 and 126M2 are coaxially arranged relative to the nested motors 126M3 and 126M4, so that the nested motors 126M1 and 126M2 are coaxially arranged above the nested motors 126M3 and 125M4. However, it should be understood that the motors 126M1 - 126M4 may have any suitable arrangement, such as a stacked arrangement, an edge-to-edge or a concentric arrangement, as shown in Figure 2D. In other aspects, the motors may be low-profile, flat or "pancake" type robot drive structures, in which the motors are concentrically nested with each other in a manner generally similar to that described in the following US patent issued August 30, 2011. U.S. Patent No. 8,008,884 titled "Substrate Processing Apparatus with Motor Integrated into Chamber Wall" and U.S. Patent No. 8,283,813 titled "Robot Drive with Magnetic Shaft Bearing" issued on October 9, 2012, disclose their disclosures This is the entire reference.

雖然馬達示範成旋轉馬達,不過於其他方面,可以使用任何適合的(多個)馬達和/或適合的(多個)驅動傳動器,舉例而言例如直接驅動線性馬達、線性壓電馬達、線性電感馬達、線性同步馬達、有刷或無刷線性馬達、線性步進馬達、線性伺服馬達、磁阻馬達…等。舉例而言,適合之線性馬達的範例描述於2011年10月31日申請而標題為「具有Z運動和關節臂的線性真空機器人」的美國專利申請案第13/286,186號、2011年6月13日申請而標題為「基板處理裝置」的申請案第13/159,034號、2011年3月8日頒發而標題為「傳送和處理基板的裝置和方法」的美國專利第7,901,539號、2012年10月23日頒發而標題為「傳送和處理基板的裝置和方法」的美國專利第8,293,066號、2013年4月16日頒發而標題為「具有Z運動和關節臂的線性真空機器人」的美國專利第8,419,341號、2009年8月18日頒發而標題為「基板處理裝置」的美國專利第7,575,406號、2011年6月14日頒發而標題為「基板處理裝置」的美國專利第7,959,395號,其揭示整個併於此以為參考。Although the motor is illustrated as a rotary motor, otherwise any suitable motor(s) and/or suitable drive actuator(s) may be used, such as, for example, direct drive linear motors, linear piezoelectric motors, linear Induction motor, linear synchronous motor, brush or brushless linear motor, linear stepper motor, linear servo motor, reluctance motor...etc. For example, an example of a suitable linear motor is described in U.S. Patent Application No. 13/286,186, filed on October 31, 2011, titled "Linear Vacuum Robot with Z-Motion and Articulated Arm", filed on June 13, 2011 Application No. 13/159,034 titled "Substrate Processing Apparatus" filed on March 8, 2011, and U.S. Patent No. 7,901,539 titled "Apparatus and Method for Conveying and Processing Substrates" issued on March 8, 2011, October 2012 U.S. Patent No. 8,293,066 titled "Apparatus and Method for Conveying and Processing Substrates" issued on the 23rd, and U.S. Patent No. 8,419,341 titled "Linear Vacuum Robot with Z-Motion and Articulated Arm" issued on April 16, 2013 No. 7,575,406, entitled "Substrate Processing Apparatus" issued on August 18, 2009, and US Patent No. 7,959,395, entitled "Substrate Processing Apparatus", issued on June 14, 2011, disclose the entire Use this as a reference.

現參見圖1D和1G~1J,套臂143可以包括任何適合的(多個)臂連桿機構。臂連桿機構的適合範例舉例而言可以發現於2009年8月25日頒發的美國專利第7,578,649號、1998年8月18日頒發的第5,794,487號、2011年5月24日頒發的第7,946,800號、2002年11月26日頒發的第6,485,250號、2011年2月22日頒發的第7,891,935號、2013年4月16日頒發的第8,419,341號、2011年11月10日申請而標題為「雙臂機器人」的美國專利申請案第13/293,717號、2013年9月5日申請而標題為「具有Z運動和關節臂的線性真空機器人」的第13/861,693號,其揭示皆整個併於此以為參考。於揭示實施例的諸多方面,每個基板傳送裝置104的至少一傳送臂300、套臂143和/或線性滑動器144可以衍生自習用的SCARA臂型設計,其包括上臂、帶驅動的前臂、帶侷限的末端實施器;或者衍生自伸縮臂或任何其他適合的臂設計,例如直角坐標線性滑動臂314(圖3B)。傳送臂的適合範例舉例而言可以發現於2008年5月8日申請而標題為「利用機械切換機構而具有多個可移動臂的基板傳送裝置」的美國專利申請案第12/117,415號、100G年1月19日頒發的美國專利第7,648,327號,其揭示整個併於此以為參考。Referring now to Figures 1D and 1G-1J, sleeve arm 143 may include any suitable arm linkage(s). Suitable examples of arm linkages can be found, for example, in U.S. Patent Nos. 7,578,649, issued August 25, 2009, U.S. Patent Nos. 5,794,487, issued August 18, 1998, and U.S. Patent Nos. 7,946,800, issued May 24, 2011. , No. 6,485,250 issued on November 26, 2002, No. 7,891,935 issued on February 22, 2011, No. 8,419,341 issued on April 16, 2013, application on November 10, 2011 titled "Double Arms" U.S. Patent Application No. 13/293,717 of "Robot" and U.S. Patent Application No. 13/861,693 titled "Linear Vacuum Robot with Z-Motion and Articulated Arm" filed on September 5, 2013, the disclosures of which are incorporated herein by reference in their entirety. refer to. In many aspects of the disclosed embodiments, at least one transfer arm 300, sleeve arm 143, and/or linear slider 144 of each substrate transfer device 104 may be derived from a conventional SCARA arm design, which includes an upper arm, a belt-driven forearm, End effector with confinement; or derived from a telescoping arm or any other suitable arm design, such as Cartesian linear sliding arm 314 (Fig. 3B). Suitable examples of transfer arms can be found, for example, in U.S. Patent Application No. 12/117,415, 100G, filed on May 8, 2008 and titled "Substrate Transfer Device Having Multiple Movable Arms Utilizing a Mechanical Switching Mechanism" No. 7,648,327, issued on January 19, 2017, the entire disclosure of which is incorporated herein by reference.

傳送臂300(其中多個臂包括於基板傳送裝置104中)的操作可以彼此獨立(譬如每個臂的延伸/撤回係獨立於其他臂)、可以透過空程切換來操作、或者可以用任何適合方式而可操作地連結成致使臂分享至少一共同的驅動軸。空程切換的適合範例舉例而言描述於2011年5月24日頒發的美國專利第7,946,800號、2014年6月17日頒發的美國專利第8,752,449號,其揭示整個併於此以為參考。任何適合的控制器(例如控制器110)以任何適合的方式而耦合於驅動區200、200A、200B、200C來驅動驅動區200、200A、200B、200C,如此以實施(多個)傳送臂300的關節化。The transfer arms 300 (of which multiple arms are included in the substrate transfer apparatus 104) may operate independently of each other (e.g., extension/retraction of each arm is independent of the other arms), may operate via a lost motion switch, or may use any suitable and are operably connected in such a manner that the arms share at least one common drive axis. Suitable examples of free-range switching are described, for example, in U.S. Patent No. 7,946,800, issued on May 24, 2011, and U.S. Patent No. 8,752,449, issued on June 17, 2014, which are disclosed in their entirety and are incorporated herein by reference. Any suitable controller (eg, controller 110 ) is coupled to drive areas 200 , 200A, 200B, 200C in any suitable manner to drive drive areas 200 , 200A, 200B, 200C, thereby implementing transfer arm(s) 300 of articulation.

現參見圖3A、3B、3D,在一方面,傳送裝置104的傳送臂300是具有末端實施器310的三連桿臂,其舉例而言具有二或三自由度,如圖3D所示,其中傳送臂300具有緊湊的架構,其佔地面積相較於臂伸出範圍而為緊湊,如將在此所述。傳送臂300包括上臂連桿302、前臂連桿305、第三臂連桿307(也稱為切截臂連桿)及末端實施器310,其彼此串聯耦合,如下所述。雖然圖示範三臂連桿,不過於其他方面,傳送臂300可以具有多於三個之串聯耦合的臂連桿,而末端實施器以類似相對於第三臂連桿307所述的方式而耦合於最後串聯耦合的連桿(亦即腕連桿臂,其大致類似於第三臂連桿307)且由最後串聯耦合的連桿所支撐。末端實施器310可以是雙頭型末端實施器(例如圖3B和4A所示),其具有至少一基板固持位置310H1、310H2,而配置在末端實施器310之旋轉軸(譬如指軸KX)的相對側上。舉例而言,末端實施器310的至少基板固持位置310H1位在指軸KX的一側上,而末端實施器310的至少基板固持位置310H2位在指軸KX的相反側上,其中在指軸KX之相對側上的至少一基板固持位置310H1、310H2是在共同的轉移平面TP上(見圖3A)。在揭示實施例的這方面,傳送裝置104是由二軸(譬如二自由度)的驅動區91400所驅動(圖3C),使得末端實施器310的旋轉從動於前臂連桿305的旋轉,並且切截臂連桿307的旋轉從動於上臂連桿302的旋轉,如下所將描述;其中二軸驅動區91400的一軸驅動上臂連桿302的旋轉,並且二軸驅動區91400的另一軸驅動前臂連桿305的旋轉。於其他方面,例如若傳送裝置104包括多於三個的臂連桿並且末端實施器耦合於此,則臂的旋轉可以從動於任何適合的方式,使得臂僅用二自由度的驅動區91400來繞著肩軸而延伸、撤回及旋轉。注意二軸驅動區91400可以大致類似於上述的驅動系統而僅有二驅動軸。舉例而言,驅動區91400可以包括第一馬達91403和第二馬達91404,各者包括個別的定子91403S、91404S和轉子91403R、91404R。定子91403S、91404S可以旋轉固定且安裝於驅動區91400的外殼91400H。轉子91403R可以安裝於驅動軸桿91402,並且轉子91404R可以安裝於驅動軸桿91401。雖然驅動軸桿顯示成同軸驅動軸桿,並且馬達顯示成一者堆疊在另一者上方,不過在揭示實施例的其他方面,驅動軸桿和馬達中的一或更多者可以具有邊靠邊排列,並且透過適合的傳動器(例如條帶、帶子、齒輪…等)而彼此耦合。驅動區91400也可以包括至少一Z軸驅動器91312,其垂直移動傳送裝置90100的整個臂總成,或者舉例而言垂直移動每個末端實施器85104、85105而垂直獨立於另一末端實施器85104、85105。Referring now to Figures 3A, 3B, and 3D, in one aspect, the transfer arm 300 of the transfer device 104 is a three-link arm having an end effector 310, which has, for example, two or three degrees of freedom, as shown in Figure 3D, where The transfer arm 300 has a compact structure with a compact footprint relative to the reach of the arm, as will be described herein. The transfer arm 300 includes an upper arm link 302, a forearm link 305, a third arm link 307 (also referred to as a cutter arm link), and an end effector 310, which are coupled in series with each other, as described below. Although the illustrations illustrate a three-arm link, otherwise the transfer arm 300 may have more than three arm links coupled in series, with the end effector coupled in a manner similar to that described with respect to the third arm link 307 The last series-coupled link (ie, the wrist link arm, which is generally similar to the third arm link 307 ) is and is supported by the last series-coupled link. The end effector 310 may be a double-headed end effector (for example, as shown in FIGS. 3B and 4A ), which has at least one substrate holding position 310H1 , 310H2 , and is configured on the rotation axis (such as the finger axis KX) of the end effector 310 on the opposite side. For example, at least the substrate holding position 310H1 of the end effector 310 is on one side of the finger axis KX, and at least the substrate holding position 310H2 of the end effector 310 is on the opposite side of the finger axis KX, where the finger axis KX At least one substrate holding position 310H1, 310H2 on the opposite side is on a common transfer plane TP (see Figure 3A). In this aspect of the disclosed embodiment, the conveyor 104 is driven by a two-axis (eg, two degrees of freedom) drive region 91400 (Fig. 3C) such that the rotation of the end effector 310 is driven by the rotation of the forearm link 305, and The rotation of the cut arm link 307 is driven by the rotation of the upper arm link 302, as will be described below; wherein one axis of the two-axis drive area 91400 drives the rotation of the upper arm link 302, and the other axis of the two-axis drive area 91400 drives the forearm Rotation of connecting rod 305. In other aspects, for example, if the conveyor 104 includes more than three arm links and the end effector is coupled thereto, the rotation of the arms may be driven in any suitable manner such that the arms use only two degrees of freedom actuation zone 91400 to extend, withdraw and rotate around the shoulder axis. Note that the two-axis drive area 91400 can be generally similar to the drive system described above but only have two drive shafts. For example, the drive zone 91400 may include a first motor 91403 and a second motor 91404, each including respective stators 91403S, 91404S and rotors 91403R, 91404R. The stators 91403S and 91404S are rotatably fixed and installed in the housing 91400H of the drive area 91400. Rotor 91403R can be mounted to drive shaft 91402, and rotor 91404R can be mounted to drive shaft 91401. Although the drive shaft is shown as a coaxial drive shaft and the motor is shown stacked one above the other, in other aspects of the disclosed embodiments, one or more of the drive shaft and motor may have an edge-to-edge arrangement. And coupled to each other through suitable transmissions (such as strips, belts, gears, etc.). The drive section 91400 may also include at least one Z-axis drive 91312 that vertically moves the entire arm assembly of the conveyor 90100 or, for example, vertically moves each end effector 85104, 85105 vertically independently of the other end effector 85104, 85105. 85105.

也參見圖3B和4A~4C,上臂連桿302、前臂連桿305及切截臂連桿307有不相等的長度。舉例而言,上臂連桿302具有從關節中央到關節中央(譬如從肩軸SX到肘軸EX)的長度L1,前臂連桿305具有從關節中央到關節中央(譬如從肘軸EX到腕軸WX)的長度L2,並且切截臂連桿307具有從關節中央到關節中央(譬如從腕軸EX到指軸KX)的長度L3,其中長度L3小於長度L1,並且長度L1小於長度L2。於其他方面,長度L1~L3(從關節中央到關節中央)可以是任何適合的長度。注意雖然肩軸SX和指軸KX可以示範成在撤回架構下(譬如圖3B所示)與傳送臂300同軸,不過於其他方面,肩軸SX和指軸KX可以在撤回架構下不與傳送臂300同軸;注意指軸KX相關於肩軸SX的位置可以取決於上臂連桿302、前臂連桿305及切截臂連桿307中之一或更多者的長度L1~L3。臂連桿長度L1~L3與末端實施器的長度L4(譬如其中長度L4是從指軸KX到末端實施器310的基板固持位置310H1、310H2)因而以及傳送臂300係建構成提供長伸出範圍,其能夠存取處理模組590的深陷式基板固持站500(見圖3D和5A),其中深陷式基板固持站500配置在處理模組590內,如此則偏移距離DIST(亦即距離DIST是從傳送室580閘門(或槽縫)閥521(或通過)埠521P的內面520到深陷式基板固持站500而配置成沿著傳送臂300的延伸和撤回軸R)是與至少部分之固持腕關節(亦即在腕軸WX)的前臂連桿305長度L2、切截臂連桿307長度L3、延伸穿過傳送室閘門閥埠590P內面而從指軸KX之長度L4的末端實施器310(對於具有末端實施器的傳送臂300之小佔地面積的三連桿而言)的延伸係一致且被容納。傳送臂300的長伸出範圍相當於四連桿SCARA臂,後者的關節耦合四連桿SCARA臂的第二和第三連桿而橫越槽縫閥521的埠251P。Referring also to Figures 3B and 4A-4C, the upper arm link 302, the forearm link 305 and the cut arm link 307 have unequal lengths. For example, the upper arm link 302 has a length L1 from the joint center to the joint center (for example, from the shoulder axis SX to the elbow axis EX), and the forearm link 305 has a length L1 from the joint center to the joint center (for example, from the elbow axis EX to the wrist axis WX), and the cut-off arm link 307 has a length L3 from the center of the joint to the center of the joint (for example, from the wrist axis EX to the finger axis KX), where the length L3 is less than the length L1, and the length L1 is less than the length L2. In other respects, the lengths L1 to L3 (from joint center to joint center) may be any suitable length. Note that although the shoulder axis SX and the finger axis KX can be demonstrated to be coaxial with the transmission arm 300 in the retracted configuration (for example, as shown in FIG. 3B ), in other respects, the shoulder axis SX and the finger axis KX may not be coaxial with the transmission arm 300 in the retracted configuration. 300 coaxial; note that the position of the finger axis KX relative to the shoulder axis SX may depend on the lengths L1~L3 of one or more of the upper arm link 302, the forearm link 305, and the truncated arm link 307. The arm link lengths L1 to L3 and the length L4 of the end effector (for example, the length L4 is from the finger axis KX to the substrate holding positions 310H1, 310H2 of the end effector 310) and the transfer arm 300 are constructed to provide a long reach. , which can access the recessed substrate holding station 500 of the processing module 590 (see FIGS. 3D and 5A ), where the recessed substrate holding station 500 is configured within the processing module 590 such that it is offset by a distance DIST (i.e. The distance DIST from the inner face 520 of the transfer chamber 580 gate (or slot) valve 521 (or pass) port 521P to the recessed substrate holding station 500 configured along the extension and withdrawal axis R) of the transfer arm 300 is At least part of the length L2 of the forearm link 305 holding the wrist joint (i.e., at the wrist axis WX), the length L3 of the truncated arm link 307, and the length L4 extending through the inside of the transfer chamber gate port 590P from the finger axis KX The extension of the end effector 310 (for a three-link with a small footprint of the transfer arm 300 having an end effector) is consistent and accommodated. The long reach of transfer arm 300 corresponds to a four-link SCARA arm whose joints couple the second and third links of the four-link SCARA arm across port 251P of slot valve 521 .

上臂連桿302、前臂連桿305及切截臂連桿307的不相等長度舉例而言可以允許臂總成有擺動直徑,而在撤回位置,則維持相同於上臂和前臂有相等長度之習用臂總成的擺動直徑。然而,揭示實施例中的傳送臂300之上臂連桿302、前臂連桿305及切截臂連桿307的不相等長度舉例而言所可允許的伸出範圍(亦即延伸)大於具有相同擺動直徑而具有相等長度連桿的臂,因此增加傳送臂300之伸出範圍對包含範圍的比例。舉例而言,傳送臂300 (因而和基板傳送裝置104)所具有的伸出範圍是針對具有上臂連桿302、前臂連桿305、切截臂連桿307及末端實施器310在撤回架構下(例如圖3D所示)之基板傳送裝置104的預定擺動直徑SD而言之基板傳送裝置104的最大伸出範圍,該最大伸出範圍使末端實施器310、其指軸KX及至少部分的切截臂連桿(包括腕軸WX)延伸穿過基板處理模組或裝置590的閘門(或槽縫)閥521(見圖5A)。圖3D顯示傳送臂300的擺動直徑SD(亦即圓圈F所示範的佔地面積)。如所可理解,SCARA臂的擺動直徑數值一般而言例如由基板固持器偏移、晶圓直徑、腕半徑或上臂之肘擺動半徑的組合所決定。因而,對於相同長度的基板固持器偏移來說,習用的SCARA臂和傳送臂300會具有大致相同的佔地面積。舉例而言,揭示實施例的前臂連桿305能夠長得比上臂連桿302和切截臂連桿307還長而達到系統侷限(譬如意欲的佔地面積)所建立的最大比例。附帶而言,於揭示實施例的諸多方面,上臂連桿302、前臂連桿305、切截臂連桿307和/或末端實施器310可以獨立地旋轉並且例如由像是驅動區200B、200C的個別馬達所驅動,如下所將描述。於替代選擇性實施例,一或更多個臂總成臂區可以不是獨立地旋轉,例如其中一或更多個臂連桿係從動或驅動於例如像是驅動區200、200A、200B、200C的個別自由度。以所有連桿可獨立地旋轉的範例來說,並且參見驅動區200C,上臂連桿302可以用類似於在此所述的方式而耦合於驅動軸桿12651,上臂連桿302可以透過如在此所述之任何適合的傳動器而耦合於驅動軸桿12652,切截臂連桿307可以藉由類似於在此所述之任何適合的傳動器而耦合於驅動軸桿12653,並且末端實施器310可以藉由類似於在此所述之任何適合的傳動器而耦合於驅動軸桿12564。Unequal lengths of upper arm link 302, forearm link 305, and cutaway arm link 307 may, for example, allow the arm assembly to have a swing diameter while maintaining the same conventional arm with equal upper and forearm lengths in the retracted position. The swing diameter of the assembly. However, the unequal lengths of the upper arm link 302, the forearm link 305, and the truncated arm link 307 of the transfer arm 300 in the disclosed embodiment, for example, allow for a larger extension range (ie, extension) than with the same swing. diameter and have equal length links, thereby increasing the ratio of the reach to the containment range of the transfer arm 300. For example, the transfer arm 300 (and thus the substrate transfer device 104) has an reach that is optimal for having an upper arm link 302, a forearm link 305, a cutter arm link 307, and an end effector 310 in a retraction configuration ( For example, the predetermined swing diameter SD of the substrate transfer device 104 shown in FIG. 3D is the maximum extension range of the substrate transfer device 104. The maximum extension range allows the end effector 310, its finger axis KX and at least part of the cut The arm link (including wrist axis WX) extends through the gate (or slot) valve 521 of the substrate processing module or device 590 (see Figure 5A). FIG. 3D shows the swing diameter SD of the transfer arm 300 (ie, the footprint exemplified by the circle F). As can be appreciated, the swing diameter value of the SCARA arm is generally determined by a combination of substrate holder offset, wafer diameter, wrist radius, or elbow swing radius of the upper arm, for example. Thus, for the same length of substrate holder offset, a conventional SCARA arm and transfer arm 300 would have approximately the same footprint. For example, the forearm link 305 of the disclosed embodiments can be longer than the upper arm link 302 and truncated arm link 307 to the maximum proportion established by system constraints (eg, desired footprint). Incidentally, in various aspects of the disclosed embodiments, upper arm link 302 , forearm link 305 , cut arm link 307 and/or end effector 310 may be rotated independently and, for example, by drive regions 200B, 200C such as Driven by individual motors, as described below. In alternative embodiments, one or more of the arm assembly arm sections may not rotate independently, for example where one or more of the arm links are driven or driven by, for example, drive sections 200, 200A, 200B, 200C individual degrees of freedom. For example, in which all links can rotate independently, and referring to drive region 200C, upper arm link 302 can be coupled to drive shaft 12651 in a manner similar to that described herein. Coupled to drive shaft 12652 by any suitable actuator, cutter arm link 307 may be coupled to drive shaft 12653 by any suitable actuator similar to that described herein, and end effector 310 Coupling to drive shaft 12564 may be by any suitable actuator similar to that described herein.

仍參見圖3A~4C,上臂連桿302舉例而言繞著肩軸SX而耦合於驅動軸桿91402,如此則驅動軸桿91402和上臂連桿302整個旋轉。前臂連桿305繞著肘軸EX而可旋轉地耦合於上臂連桿302。第三或切截臂連桿307繞著腕軸WX而可旋轉地耦合於前臂連桿305。末端實施器310繞著指軸KX而可旋轉地耦合於第三或切截臂連桿307 (亦即末端實施器310相對於第三連桿307來旋轉),並且對齊於延伸和撤回軸R(譬如基板固持位置310HA、310H1的中央點沿著延伸和撤回軸R行走,見圖3B)。Still referring to FIGS. 3A to 4C , the upper arm link 302 is coupled to the drive shaft 91402 about the shoulder axis SX, for example, so that the drive shaft 91402 and the upper arm link 302 rotate as a whole. The forearm link 305 is rotatably coupled to the upper arm link 302 about the toggle axis EX. A third or truncated arm link 307 is rotatably coupled to the forearm link 305 about wrist axis WX. End effector 310 is rotatably coupled to third or cut arm link 307 about finger axis KX (i.e., end effector 310 rotates relative to third link 307) and is aligned with extension and retraction axis R (For example, the central points of the substrate holding positions 310HA and 310H1 move along the extension and retraction axis R, see Figure 3B).

如上所述,末端實施器310藉由任何適合的傳動器490而從動於前臂連桿305的旋轉。舉例而言,第一腕滑輪470繞著腕軸WX而旋轉固定於前臂連桿305。第二腕滑輪471繞著指軸KX而可旋轉地耦合於切截臂連桿307,並且經由一或更多個帶472而由第一腕滑輪470所驅動。帶472可以用類似於圖4E所示的方式而排列在相同高度/升高(亦即單一帶高度),如此則切截臂連桿307和前臂連桿305在且相鄰腕軸WX的堆疊高度小於槽縫閥521之埠521P的高度(見圖5A),如此則腕軸延伸穿過埠521P,如在此所述。第二腕滑輪471旋轉固定於末端實施器310,如此則第二腕滑輪471和末端實施器整個繞著指軸KX旋轉。第一腕滑輪470和第二腕滑輪471之間的直徑比例是在1:2附近。於其他方面,第一和第二腕滑輪可以具有任何適合的直徑比例。As described above, the end effector 310 is driven by rotation of the forearm link 305 via any suitable actuator 490 . For example, the first wrist pulley 470 is rotatably fixed to the forearm link 305 about the wrist axis WX. The second wrist pulley 471 is rotatably coupled to the tangential arm link 307 about the finger axis KX and is driven by the first wrist pulley 470 via one or more belts 472 . The straps 472 can be arranged at the same height/elevation (i.e., a single strap height) in a manner similar to that shown in Figure 4E, thus cutting the stacking of the arm link 307 and the forearm link 305 at and adjacent the wrist axis WX The height is less than the height of port 521P of slot valve 521 (see Figure 5A), such that the wrist axis extends through port 521P as described herein. The second wrist pulley 471 is rotationally fixed to the end effector 310, so that the second wrist pulley 471 and the end effector entirely rotate around the finger axis KX. The diameter ratio between the first wrist pulley 470 and the second wrist pulley 471 is around 1:2. Otherwise, the first and second wrist pulleys may have any suitable diameter ratio.

前臂連桿透過任何適合的傳動器475而由驅動軸桿91401所驅動。舉例而言,驅動軸桿91401耦合於第一上臂滑輪460,如此則第一上臂滑輪460藉由驅動軸桿91401而整個旋轉。第二上臂滑輪461繞著肘軸EX而旋轉耦合於上臂連桿302,並且藉由帶462而以第一上臂滑輪來驅動。第二上臂滑輪461旋轉固定於前臂連桿305,如此則前臂連桿305和第二上臂滑輪是由驅動軸桿91401所驅動並且整個繞著肘軸EX旋轉。第一上臂滑輪460和第二上臂滑輪461之間的直徑比例是在1:1附近。於其他方面,第一上臂滑輪460和第二上臂滑輪461可以具有任何適合的直徑比例。The forearm link is driven by drive shaft 91401 through any suitable actuator 475. For example, the drive shaft 91401 is coupled to the first upper arm pulley 460, so that the first upper arm pulley 460 is entirely rotated by the drive shaft 91401. The second upper arm pulley 461 is rotatably coupled to the upper arm link 302 around the toggle axis EX, and is driven by the first upper arm pulley through the belt 462 . The second upper arm pulley 461 is rotationally fixed to the forearm link 305, so that the forearm link 305 and the second upper arm pulley are driven by the drive shaft 91401 and completely rotate around the elbow axis EX. The diameter ratio between the first upper arm pulley 460 and the second upper arm pulley 461 is approximately 1:1. In other aspects, the first upper arm pulley 460 and the second upper arm pulley 461 may have any suitable diameter ratio.

切截臂連桿307藉由任何適合的傳動器480而從動於上臂。舉例而言,第一前臂滑輪450旋轉固定於上臂連桿302,如此則藉由上臂連桿302而整個移動。第二前臂滑輪451(譬如第一中間滑輪)在滑輪軸PX(其位在肘軸EX和腕軸WX之間)可旋轉地耦合於前臂連桿305。第二前臂滑輪451透過帶454而由第一前臂滑輪450所驅動。第三前臂滑輪452(譬如第二中間滑輪)耦合於第二前臂滑輪451,如此則藉由第二前臂滑輪451而整個繞著滑輪軸PX旋轉。第四前臂滑輪453繞著腕軸而可旋轉地耦合於前臂連桿305,並且藉由帶455而以第三前臂滑輪452來驅動。第四前臂滑輪453耦合於切截臂連桿307,如此則第四前臂滑輪453和切截臂連桿307整個繞著腕軸WX旋轉。如此,則切截臂連桿407藉由雙組前臂滑輪而從動於上臂連桿302。於其他方面,雙組前臂滑輪可以用任何適合的方式而耦合於驅動區200A~200C的個別自由度,例如切截臂連桿307設有獨立的旋轉。在此,當傳送臂300在肩軸SX的相對側上雙向延伸時,如在此所述,第三或切截臂連桿307是用帶455(亦即其配置在單一帶高度上)而旋轉90°(譬如離開起始姿勢+/-45°),其中傳送臂300的徑向延伸在肩軸SX的相對側上大致是對稱的。第一前臂滑輪450和第二前臂滑輪451之間的直徑比例是在1:2附近。於其他方面,第一前臂滑輪450和第二前臂滑輪451可以具有任何適合的直徑比例。第三前臂滑輪452和第四前臂滑輪453之間的直徑比例是在1:1附近。於一方面,第一前臂滑輪450和第四前臂滑輪453之間的直徑比例是在1:1附近,並且腕繞著腕軸的旋轉θWRA 對前臂繞著前臂軸的旋轉θFA 之比例是在1:2附近(亦即相對於譬如以二自由度驅動器而沿著延伸和撤回軸R來延伸和撤回)。於其他方面,第三前臂滑輪452和第四前臂滑輪453可以具有任何適合的直徑比例。於其他方面,可以僅有二前臂滑輪(譬如第一前臂滑輪450和第四前臂滑輪453,其中第四前臂滑輪453是由第一前臂滑輪450所驅動)。雙組前臂滑輪(譬如第一組是滑輪450、451,第二組是滑輪452、453)提供給至少切截臂連桿307有較僵直的運動(相較於以滑輪450來直接驅動滑輪453),並且提供使用帶454、455的單一帶高度而允許使用較高的帶。雙組前臂滑輪也在前臂連桿305裡提供滑輪減速(亦即減少旋轉速度並且增加力矩),如此以減少切截臂連桿307的高度和寬度而讓末端實施器310通過埠521P,如在此所述。在此,腕關節堆疊高度H3獨立於(亦即解耦於)降低的滑輪高度(譬如滑輪450、451、452的高度),並且腕關節寬度497獨立於(亦即解耦於)降低的滑輪主半徑/直徑(譬如滑輪496的半徑496R/直徑496D)。帶454、455、462、472可以大致類似於1997年11月4日頒發的美國專利第5,682,795號、1998年7月14日頒發的第5,778,730號、2018年1月18日公布的美國專利公開案第2018/0019155號(申請案第15/634,87)所述,其揭示整個都併於此以為參考。The cutter arm link 307 is driven from the upper arm by any suitable actuator 480. For example, the first forearm pulley 450 is rotatably fixed to the upper arm link 302 so that it is entirely moved by the upper arm link 302 . The second forearm pulley 451 (eg, the first intermediate pulley) is rotatably coupled to the forearm link 305 at the pulley axis PX (which is located between the elbow axis EX and the wrist axis WX). The second forearm pulley 451 is driven by the first forearm pulley 450 through the belt 454 . The third forearm pulley 452 (such as the second intermediate pulley) is coupled to the second forearm pulley 451, so that the second forearm pulley 451 completely rotates around the pulley axis PX. The fourth forearm pulley 453 is rotatably coupled to the forearm link 305 about the wrist axis and is driven by the third forearm pulley 452 via a belt 455 . The fourth forearm pulley 453 is coupled to the truncated arm link 307, so that the fourth forearm pulley 453 and the truncated arm link 307 entirely rotate around the wrist axis WX. In this way, the cut arm link 407 is driven by the upper arm link 302 through the double sets of forearm pulleys. In other respects, the dual sets of forearm pulleys may be coupled to the individual degrees of freedom of the drive regions 200A-200C in any suitable manner, such as independent rotation of the cutter arm link 307. Here, when the transfer arm 300 extends bi-directionally on opposite sides of the shoulder axis SX, the third or truncated arm link 307 is connected with the belt 455 (ie, it is configured at a single belt height) as described herein. Rotated 90° (eg +/-45° from the starting position), the radial extension of the transfer arm 300 is generally symmetrical on opposite sides of the shoulder axis SX. The diameter ratio between the first forearm pulley 450 and the second forearm pulley 451 is around 1:2. In other aspects, the first forearm pulley 450 and the second forearm pulley 451 may have any suitable diameter ratio. The diameter ratio between the third forearm pulley 452 and the fourth forearm pulley 453 is approximately 1:1. On the one hand, the diameter ratio between the first forearm pulley 450 and the fourth forearm pulley 453 is around 1:1, and the ratio of the rotation of the wrist around the wrist axis θ WRA to the rotation of the forearm around the forearm axis θ FA is In the vicinity of 1:2 (ie relative to extension and retraction along the extension and retraction axis R, for example with a two-degree-of-freedom drive). In other aspects, the third and fourth forearm pulleys 452, 453 may have any suitable diameter ratio. In other aspects, there may be only two forearm pulleys (such as a first forearm pulley 450 and a fourth forearm pulley 453, where the fourth forearm pulley 453 is driven by the first forearm pulley 450). The double set of forearm pulleys (for example, the first set is pulleys 450 and 451, and the second set is pulleys 452 and 453) provides at least a stiffer movement for the cutting arm link 307 (compared to using pulley 450 to directly drive pulley 453 ), and provides a single belt height using belts 454, 455 allowing the use of taller belts. The dual set of forearm pulleys also provide pulley deceleration (i.e., reduce rotational speed and increase torque) in forearm link 305, thereby reducing the height and width of cutter arm link 307 to allow end effector 310 to pass through port 521P, as in This is stated. Here, wrist joint stack height H3 is independent of (i.e., decoupled from) the lowered pulley heights (eg, the heights of pulleys 450, 451, 452), and wrist joint width 497 is independent (i.e., decoupled from) the lowered pulleys. Main radius/diameter (eg radius 496R/diameter 496D of pulley 496). Bands 454, 455, 462, and 472 may be broadly similar to U.S. Patent Nos. 5,682,795 issued on November 4, 1997, U.S. Patent Nos. 5,778,730 issued on July 14, 1998, and U.S. Patent Publications published on January 18, 2018. No. 2018/0019155 (Application No. 15/634,87), the entire disclosure of which is hereby incorporated by reference.

如圖3A和4A~4C所可見,切截臂連桿307和末端實施器310建構成致使末端實施器310的高度H1和切截臂連桿307的高度H2是在腕軸WX的堆疊高度輪廓366內,譬如腕軸WX(或腕關節)與耦合於腕軸WX的臂連桿(亦即腕連桿臂,其在一方面是第三或切截臂連桿307)分享相同的升高,如此則末端實施器310和腕軸WX之總堆疊高度H3的尺寸做成符合通過槽縫閥521(譬如埠520P)(譬如見圖4C、5A、5F)。舉例而言,腕軸WX大致包括在腕連桿臂(其在一方面是切截臂連桿307)的高度H2中。類似而言,指軸KX(或指關節)包括到腕連桿臂內並且與腕連桿臂分享相同的升高(譬如見圖4C)。參見圖4D,腕軸WX可以分享(亦即至少部分包括於)末端實施器310的寬度498,如此則末端實施器310和腕軸WX於末端實施器的直線移動中、在共同的一次(譬如邊靠邊一起)聯合通過槽縫閥521的埠521P。舉例而言,末端實施器310沿著延伸和撤回軸R延伸時,末端實施器310和腕軸WX的組合寬度499小於埠521P的寬度521W(見圖5A和5F)。As can be seen in Figures 3A and 4A-4C, the tangential arm link 307 and the end effector 310 are constructed such that the height H1 of the end effector 310 and the height H2 of the tangential arm link 307 are the stack height profile at the wrist axis WX 366, for example, the wrist axis WX (or wrist joint) shares the same elevation with the arm link coupled to the wrist axis WX (i.e., the wrist link arm, which on the one hand is the third or tangential arm link 307) , so that the total stack height H3 of the end effector 310 and the wrist axis WX is sized to fit through the slot valve 521 (eg, port 520P) (eg, see Figures 4C, 5A, 5F). For example, the wrist axis WX is generally included in the height H2 of the wrist link arm (which in one aspect is the truncated arm link 307 ). Similarly, finger axis KX (or knuckle) is included into the wrist link arm and shares the same elevation as the wrist link arm (see, eg, Figure 4C). Referring to FIG. 4D , wrist axis WX may share (i.e., be at least partially included in) the width 498 of end effector 310 such that end effector 310 and wrist axis WX move at a common time (e.g., side by side) combined through the port 521P of the slot valve 521. For example, when the end effector 310 extends along the extension and retraction axis R, the combined width 499 of the end effector 310 and wrist axis WX is less than the width 521W of the port 521P (see Figures 5A and 5F).

於一方面,切截臂連桿307包括形成槽縫307S的尖齒307T1、307T2(見圖4B和4C),其尺寸做成致使至少部分的末端實施器310可旋轉地配置於槽縫307S內(見圖4A)以實現總堆疊高度H3。於其他方面,末端實施器310可以安裝在切截臂連桿之上(見圖6A)或之下,如此以實現總堆疊高度H3。也如所可見,末端實施器310繞著指軸KX的旋轉被切截臂連桿所侷限。注意驅動個別的共同組的滑輪之個別帶454、455、462、472的分裂帶454A、454B、455A、455B、462A、462B、472A、472B位在相同的高度/升高(亦即單一帶高度),如圖4E所示範(也見於圖4D)。圖4E示範的帶455具有帶區(譬如分裂帶)455A、455B,其配置在滑輪452(和滑輪453)上的共同高度(亦即單一帶高度)以提供堆疊高度H3。帶454、455、462、472可以具有類似的排列,如此則使傳送臂300的堆疊高度最小化,相較於配置在共同滑輪上之不同高度的分裂帶而言則提供較小的轉移室高度。In one aspect, the cutter arm link 307 includes tines 307T1, 307T2 (see Figures 4B and 4C) forming a slot 307S that is sized such that at least a portion of the end effector 310 is rotatably disposed within the slot 307S. (see Figure 4A) to achieve a total stack height H3. In other aspects, the end effector 310 may be mounted above or below the cutout arm link (see Figure 6A), so as to achieve a total stack height H3. As can also be seen, the rotation of the end effector 310 about the finger axis KX is limited by the cut arm link. Note that the split belts 454A, 454B, 455A, 455B, 462A, 462B, 472A, 472B driving individual common sets of pulleys for the individual belts 454, 455, 462, 472 are at the same height/rise (i.e. a single belt height ), as demonstrated in Figure 4E (also seen in Figure 4D). The exemplary belt 455 of Figure 4E has belt regions (eg, split belts) 455A, 455B configured at a common height (ie, a single belt height) on pulley 452 (and pulley 453) to provide stack height H3. The belts 454, 455, 462, 472 may have a similar arrangement, thus minimizing the stacking height of the transfer arm 300 and providing a smaller transfer chamber height compared to split belts of different heights arranged on a common pulley. .

譬如圖3A所示範,前臂連桿305在肘軸EX和腕軸WX之間的高度漸縮。舉例而言,前臂連桿305可以具有相鄰或在肘軸EX的高度H10和相鄰或在腕軸WX的高度H11。前臂連桿305的這漸縮連同末端實施器310和切截臂連桿307的架構提供了高度H3,其允許傳送臂300符合通過槽縫閥521(譬如埠520P)(見圖5A),如上所述。上臂連桿302也可以在肩軸SX和肘軸EX之間具有漸縮高度。舉例而言,上臂連桿302可以具有相鄰或在肩軸SX的高度H12和相鄰或在肘軸EX的高度H13,如此則上臂連桿302在高度上漸縮。上臂連桿302的漸縮(譬如匹配漸縮架構)補足或相反於前臂連桿305的漸縮(譬如漸縮架構),如此則至少部分的上臂連桿302和部分的前臂連桿305共平面,因此相較於臂連桿在高度上不漸縮的傳送臂而提供傳送臂300有緊湊的整體高度H20。For example, as demonstrated in FIG. 3A , the height of the forearm link 305 is tapered between the elbow axis EX and the wrist axis WX. For example, the forearm link 305 may have a height H10 adjacent or at the elbow axis EX and a height H11 adjacent or at the wrist axis WX. This taper of forearm link 305 along with the architecture of end effector 310 and cutter arm link 307 provides a height H3 that allows transfer arm 300 to conform through slot valve 521 (such as port 520P) (see Figure 5A), as above described. The upper arm link 302 may also have a tapered height between the shoulder axis SX and the toggle axis EX. For example, the upper arm link 302 may have a height H12 adjacent or at the shoulder axis SX and a height H13 adjacent or at the toggle axis EX such that the upper arm link 302 tapers in height. The taper of the upper arm link 302 (eg, matching the tapered structure) complements or is opposite to the taper of the forearm link 305 (eg, the tapered structure), such that at least part of the upper arm link 302 and part of the forearm link 305 are coplanar. , thus providing the transfer arm 300 with a compact overall height H20 compared to a transfer arm whose arm links are not tapered in height.

圖5A~5F是使傳送臂300在處理模組590、590A的深陷式基板固持站500之間延伸的範例性順序圖,該處理模組590、590A配置在肩軸SX的相對側上。在此,傳送臂300建構成在肩軸SX的相對側上沿著延伸和撤回軸R (其可以對齊於肩軸SX,如此以徑向延伸/撤回)雙向延伸(譬如從在肩軸的一側上完全延伸、經過傳送臂的起始姿勢、到在肩軸的相對側上完全延伸,見圖5A~5F,起始姿勢則示範於圖3B和5D),而傳送臂300整個不繞著肩軸SX (譬如在θ(theta)方向)旋轉。在肩軸之相對側上沿著延伸和撤回軸R的雙向延伸是以大致連續的線性延伸來進行,其獨立於臂連桿302、305、307整個繞著肩軸SX的旋轉。隨著傳送臂300在肩軸SX的相對側上雙向延伸,指軸KX通過肩軸SX的中央上方,而臂連桿302、305、307整個不繞著肩軸SX旋轉(譬如這樣則傳送臂具有緊湊的架構)。舉例而言,也參見圖4C,為了延伸傳送臂300,驅動軸桿91401可以藉由驅動區200而維持靜止(或在相關於驅動軸桿91402的相反第二方向RT2上旋轉),同時驅動軸桿91402是在第一旋轉方向RT1旋轉,如此造成上臂連桿302在第一旋轉方向RT1的旋轉。維持驅動軸桿91401靜止(或在第二方向RT2上旋轉驅動軸桿91401)則造成前臂連桿305和上臂連桿302之間的相對旋轉。前臂連桿305和上臂連桿302之間的相對旋轉則使第一前臂滑輪450驅動第二前臂滑輪451的旋轉,因而驅動切截臂連桿307的旋轉,如圖5A~5F所依序示範。注意於起始姿勢(見圖3B),第三或切截連桿307大致對齊於(譬如一般而言重合於)前臂連桿305,如此則傳送臂300具有緊湊的架構。5A-5F are exemplary sequence diagrams for extending transfer arm 300 between recessed substrate holding stations 500 of processing modules 590, 590A disposed on opposite sides of shoulder axis SX. Here, the transfer arm 300 is configured to extend bi-directionally along an extension and retraction axis R (which may be aligned with the shoulder axis SX so as to extend/retract radially) on opposite sides of the shoulder axis SX (e.g., from one side of the shoulder axis) Fully extended on the side, through the starting position of the transfer arm, to fully extended on the opposite side of the shoulder axis, see Figures 5A to 5F (the starting position is demonstrated in Figures 3B and 5D), and the transfer arm 300 is not completely around The shoulder axis SX rotates (for example, in the θ (theta) direction). Bidirectional extension along the extension and retraction axis R on opposite sides of the shoulder axis occurs in a substantially continuous linear extension independent of rotation of the arm links 302, 305, 307 as a whole about the shoulder axis SX. With the transfer arm 300 extending bidirectionally on opposite sides of the shoulder axis SX, the finger axis KX passes above the center of the shoulder axis SX, and the arm links 302, 305, 307 do not entirely rotate about the shoulder axis SX (such that the transfer arm has a compact architecture). For example, also referring to FIG. 4C , to extend transfer arm 300 , drive shaft 91401 may be held stationary by drive zone 200 (or rotated in an opposite second direction RT2 relative to drive shaft 91402 ) while the drive shaft The rod 91402 rotates in the first rotation direction RT1, which causes the upper arm link 302 to rotate in the first rotation direction RT1. Maintaining the drive shaft 91401 stationary (or rotating the drive shaft 91401 in the second direction RT2) causes relative rotation between the forearm link 305 and the upper arm link 302. The relative rotation between the forearm link 305 and the upper arm link 302 causes the first forearm pulley 450 to drive the rotation of the second forearm pulley 451, thereby driving the rotation of the cutting arm link 307, as demonstrated in sequence in Figures 5A to 5F . Note that in the starting position (see Figure 3B), the third or cutaway link 307 is generally aligned with (eg, generally coincident with) the forearm link 305, such that the transfer arm 300 has a compact structure.

參見圖5A~5F、7、8,將描述傳送基板的範例性方法。提供了基板傳送裝置104(圖8,方塊800)。上臂連桿302、前臂連桿305、切截臂連桿307及末端實施器310的延伸或撤回是以驅動系統200(或任何驅動系統200A~200C)來實施,如此則腕軸WX延伸穿過槽縫閥521的埠521P(圖8,方塊810)。舉例而言,如圖5A~5F所示,操作驅動區200(或取決於自由度的數目,傳送臂300是由驅動區200A~200C所驅動),如在此所述,以在肩軸SX的第一側上沿著延伸和撤回軸R來延伸傳送臂300(圖7,方塊700),而拾取或放置基板S來往於深陷式基板固持站500。傳送臂300藉由驅動區200而延伸,如此則腕軸WX通過處理模組590之閘門閥251的埠521P而到處理模組590內,如圖5B所示(圖7,方塊710)。在此,切截臂連桿307繞著指軸KX旋轉,以在處理模組590裡提供傳送臂300有延伸的伸出範圍來存取至少深陷式基板固持站500。基板以任何適合的方式(例如在末端實施器310和深陷式基板固持站500之間的相對Z軸移動)而拾取或放置來往於處理模組590的深陷式基板固持站500(圖7,方塊720)。操作驅動區200,如在此所述,以沿著延伸和撤回軸R而從處理模組590撤回傳送臂300,如圖5B和5C所示(圖7,方塊730)。傳送臂300整個不繞著肩軸SX旋轉,驅動區200則可以操作成在肩軸SX的第二相對側上沿著延伸和撤回軸R來延伸傳送臂300,如圖5D和5E所示(圖7,方塊740),以拾取或放置基板到處理模組590A的深陷式基板固持站500。在此,處理模組590A配置在傳送室580之相對於處理模組590的一側上,如此則共同的延伸和撤回軸R沿著大致直線路徑而延伸穿過二處理模組590、590A的深陷式基板固持站500。傳送臂300是由驅動區200所延伸,如此則腕軸WX通過處理模組590A之閘門閥251的埠521P並且進入處理模組590A內,如圖5B所示(圖7,方塊750)。在此,再度而言,切截臂連桿307繞著指軸KX旋轉,以在處理模組590A裡提供傳送臂300有延伸的伸出範圍來存取至少深陷式基板固持站500。基板以任何適合的方式(例如末端實施器310和深陷式基板固持站500之間的相對Z軸移動)而拾取或放置來往於處理模組590A的深陷式基板固持站500(圖7,方塊760)。操作驅動區200,如在此所述,而以類似於圖5B和5C所示的方式來沿著延伸和撤回軸R從處理模組590A撤回傳送臂300(圖7,方塊770)。傳送臂300可以整個繞著肩軸SX旋轉,如此則傳送臂300沿著任何其他適合的延伸和撤回軸來延伸,例如延伸和撤回軸R1(見圖5F),其與延伸和撤回軸R成一角度,以傳送基板來往於可存取自傳送室580的任何意欲位置。Referring to Figures 5A-5F, 7, and 8, an exemplary method of transferring a substrate will be described. A substrate transfer device 104 is provided (Figure 8, block 800). The extension or withdrawal of the upper arm link 302, forearm link 305, cut arm link 307 and end effector 310 is implemented by the drive system 200 (or any drive system 200A-200C), so that the wrist axis WX extends through Port 521P of slot valve 521 (Figure 8, block 810). For example, as shown in FIGS. 5A-5F , the drive region 200 (or, depending on the number of degrees of freedom, the transfer arm 300 is driven by the drive regions 200A-200C) is operated, as described herein, to rotate at the shoulder axis SX The transport arm 300 (FIG. 7, block 700) extends along the extension and retraction axis R on a first side of the transport arm 300 while picking or placing substrates S to and from the recessed substrate holding station 500. The transfer arm 300 extends through the drive area 200 such that the wrist axis WX passes through the port 521P of the gate valve 251 of the processing module 590 into the processing module 590, as shown in Figure 5B (Figure 7, block 710). Here, the cutting arm link 307 rotates about the finger axis KX to provide an extended reach of the transfer arm 300 in the processing module 590 to access at least the recessed substrate holding station 500 . Substrates are picked or placed to and from the recessed substrate holding station 500 of the processing module 590 in any suitable manner, such as relative Z-axis movement between the end effector 310 and the recessed substrate holding station 500 ( FIG. 7 , block 720). The drive section 200 is operated, as described herein, to withdraw the transfer arm 300 from the processing module 590 along the extension and withdrawal axis R, as shown in Figures 5B and 5C (Figure 7, block 730). The transfer arm 300 does not rotate entirely about the shoulder axis SX, and the drive area 200 can be operated to extend the transfer arm 300 along the extension and retraction axis R on the second opposite side of the shoulder axis SX, as shown in Figures 5D and 5E ( 7, block 740) to pick or place a substrate into the recessed substrate holding station 500 of the processing module 590A. Here, the processing module 590A is disposed on a side of the transfer chamber 580 relative to the processing module 590, so that the common extension and retraction axis R extends along a substantially straight path through the two processing modules 590, 590A. Deep recessed substrate holding station 500. The transfer arm 300 is extended from the drive area 200 such that the wrist axis WX passes through the port 521P of the gate valve 251 of the processing module 590A and enters the processing module 590A, as shown in Figure 5B (Figure 7, block 750). Here again, the cutting arm link 307 rotates about the finger axis KX to provide an extended reach of the transfer arm 300 within the processing module 590A to access at least the recessed substrate holding station 500 . Substrates are picked or placed to and from the recessed substrate holding station 500 of the processing module 590A (FIG. 7, Block 760). The drive section 200 is operated, as described herein, to withdraw the transfer arm 300 from the processing module 590A along the extension and withdrawal axis R in a manner similar to that shown in Figures 5B and 5C (Figure 7, block 770). The transfer arm 300 may be rotated entirely about the shoulder axis SX such that the transfer arm 300 extends along any other suitable extension and retraction axis, such as the extension and retraction axis R1 (see FIG. 5F ), which is aligned with the extension and retraction axis R Angle to transfer substrates to and from any desired location accessible from the transfer chamber 580 .

如上所注意,第一腕滑輪470旋轉固定於前臂連桿305,並且切截臂連桿307和前臂連桿305之間的相對旋轉則使第一腕滑輪470驅動第二腕滑輪471的旋轉,因而驅動末端實施器310的旋轉。上臂連桿302、前臂連桿305及切截臂連桿307之間的相對旋轉使得末端實施器310定位成繞著指軸KS旋轉且沿著延伸和撤回軸,如此則末端實施器310所固持的基板S是以意欲的預定旋轉指向(譬如在深陷式基板站500不須進一步旋轉基板S來處理基板)而放置在深陷式基板站500。為了繞著θ軸來旋轉傳送臂,驅動軸桿91401、91402以大致相同速度、在相同方向上旋轉以改變末端實施器310的延伸/撤回方向。As noted above, the first wrist pulley 470 is rotationally fixed to the forearm link 305, and the relative rotation between the tangential arm link 307 and the forearm link 305 causes the first wrist pulley 470 to drive the rotation of the second wrist pulley 471, The rotation of the end effector 310 is thereby driven. Relative rotation between the upper arm link 302 , forearm link 305 and the cut arm link 307 positions the end effector 310 for rotation about the finger axis KS and along the extension and withdrawal axes such that the end effector 310 is held The substrate S is placed in the deep-recessed substrate station 500 with an intended predetermined rotation direction (for example, the substrate S does not need to be further rotated to process the substrate in the deep-recessed substrate station 500 ). To rotate the transfer arm about the theta axis, drive shafts 91401, 91402 rotate in the same direction at approximately the same speed to change the extension/retraction direction of the end effector 310.

雖然上面範例提供了二自由度的驅動系統,不過應了解第三自由度(或更多自由度)可以加到驅動區以主動驅動第一腕滑輪470,如此則末端實施器310以第三自由度而繞著指軸KX來獨立地驅動,如圖6A~6E所示範。在此,經由上臂連桿302和前臂連桿305而設有另一傳動器670(圖6B為了簡潔省略了傳動器480),其可以大致類似於傳動器475和480(然而滑輪不旋轉固定於上臂連桿302或前臂連桿305),以將第一腕滑輪470舉例而言耦合於第三驅動軸桿(例如圖2C所示範的驅動軸桿280AS,其中驅動軸桿280BS雷同於驅動軸桿91401,並且驅動軸桿280S雷同於驅動軸桿91402)。在此,用於驅動切截臂連桿307和末端實施器310的傳動器可以包括低輪廓的滑輪和帶,如2018年1月18日公布的美國專利公開案第2018/0019155號(申請案第15/634,87)所述,其揭示整個併於此以為參考。於其他方面,上臂連桿302、前臂連桿305、切截連桿307及末端實施器310中的每一者以驅動區(例如驅動區200C)的個別自由度而獨立地旋轉驅動,如上所述。Although the above example provides a two-degree-of-freedom drive system, it should be understood that a third degree of freedom (or more degrees of freedom) can be added to the drive area to actively drive the first wrist pulley 470, so that the end effector 310 can be driven with the third degree of freedom. degrees and are driven independently around the finger axis KX, as demonstrated in Figures 6A to 6E. Here, another actuator 670 is provided via the upper arm link 302 and the forearm link 305 (the actuator 480 is omitted in FIG. 6B for simplicity), which can be generally similar to the actuators 475 and 480 (however the pulley is not rotationally fixed to the Upper arm link 302 or forearm link 305) to couple the first wrist pulley 470, for example, to a third drive shaft (such as the drive shaft 280AS illustrated in Figure 2C, where the drive shaft 280BS is the same as the drive shaft) 91401, and drive shaft 280S is identical to drive shaft 91402). Here, the drive used to drive the cutter arm link 307 and the end effector 310 may include low profile pulleys and belts, as described in U.S. Patent Publication No. 2018/0019155 (Application No. 2018/0019155) published on January 18, 2018. 15/634,87), which is disclosed in its entirety and is incorporated herein by reference. In other aspects, each of upper arm link 302 , forearm link 305 , cutter link 307 and end effector 310 is independently rotationally driven with individual degrees of freedom of the drive zone (eg, drive zone 200C), as described above. narrate.

於一方面,傳送臂300可以大致類似於上面所述,然而末端實施器可以安裝在切截臂連桿307之上或之下,如此則末端實施器310的旋轉不再由切截臂連桿307所侷限。In one aspect, the transfer arm 300 may be generally similar to that described above, however the end effector may be mounted above or below the cut arm link 307 such that rotation of the end effector 310 is no longer determined by the cut arm link. 307 limitations.

應了解前面的敘述只在示範揭示實施例的諸多方面。熟於此技術者可以設計出多樣的替代選擇和修改,而不偏離揭示實施例的諸多方面。據此,揭示實施例的諸多方面打算含括落於所附申請專利範圍裡的所有此種替代選擇、修改和變異。進一步而言,相異之申請專利範圍附屬項或獨立項所引述的不同特徵並非指示無法有利地使用這些特徵的組合,此種組合仍維持在本發明之諸多方面的範圍裡。It should be understood that the foregoing description is illustrative only of various aspects of the disclosed embodiments. Those skilled in the art can devise numerous alternatives and modifications without departing from aspects of the disclosed embodiments. Accordingly, the aspects of the disclosed embodiments are intended to embrace all such alternatives, modifications, and variations that fall within the scope of the appended claims. Furthermore, the recitation of different features in dependent or independent claims of different claims does not indicate that a combination of these features cannot be used to advantage, and such combinations still remain within the scope of various aspects of the invention.

12:工具介面區 15:基板傳送裝置 18B、18i:轉移室模組 26B、26i:基板傳送裝置 30i:模組、工件站 30S1、30S2:工件支撐/擱架 56、56A:載入鎖定器模組 56S1、56S2:工件支撐/擱架 100A~100H:處理裝置 100E1、100E2:傳送室末端 100F1~100F8:傳送室小面 100S1、100S2:傳送室側面 101:大氣壓前端 102、102A~102E:真空載入鎖定器 103:真空後端 104:基板傳送裝置 105:載入埠模組 106:迷你環境 107:載入埠 108:轉移機器人 110:控制器 121、122:套桿 125A~125F:傳送室 126m1~126m4:馬達 126S1~126S4:驅動軸桿 130:處理站 130S:處理站 130T1~130T8:處理站 143:套臂 144:線性運輸器、線性滑動器 200、200A~C:驅動區 200F:框架 200F1:內部 270:Z軸驅動器 270C:運輸器 275:波紋管密封 276、277:鐵磁性流體密封 280、280’:諧波驅動馬達 280A、280A’:諧波驅動馬達 280ACS:罐密封 280AR’:轉子 280AS:驅動軸桿 280AS’:定子 280B、280B’:諧波驅動馬達 280BCS:罐密封 280BR’:轉子 280BS:驅動軸桿 280BS’:定子 280CS:罐密封 280R’:轉子 280S:驅動軸桿 280S’:定子 281:外殼 282:旋轉驅動區 290:電線 300:機器人手臂、傳送臂 302:上臂連桿 305:前臂連桿 307:第三臂連桿、切截臂連桿 307S:槽縫 307T1、307T2:尖齒 310:末端實施器 310H1、310H2:基板固持位置 366:堆疊高度輪廓 412:工件進入/離開站 416:線性傳送室 450:第一前臂滑輪 451:第二前臂滑輪 452:第三前臂滑輪 453:第四前臂滑輪 454:帶 454A、454B:分裂帶 455:帶 455A、455B:分裂帶 460:第一上臂滑輪 461:第二上臂滑輪 462:帶 462A、462B:分裂帶 470:第一腕滑輪 471:第二腕滑輪 472:帶 472A、472B:分裂帶 475:傳動器 480:傳動器 490:傳動器 497:腕關節寬度 496D:直徑 496R:半徑 498:寬度 499:組合寬度 500:深陷式基板固持站 520:內面 521:閘門(或槽縫)閥 521P:閘門閥埠 521W:寬度 580:傳送室 590、590A:處理模組 670:傳動器 700~770:傳送基板的方法 800~810:傳送基板的方法 2012:工具介面區 2050、2060、2070:介面 2080:晶圓傳送器 3018、3018A、3018I、3018J:傳送室模組 91312:Z軸驅動器 91400:驅動區 91400H:外殼 91401、91402:驅動軸桿 91403:第一馬達 91403R:轉子 91403S:定子 91404:第二馬達 91404R:轉子 91404S:定子 ATM:大氣壓力 C:卡匣、基板載體 CNX:連接 DIST:偏移距離 EX:肘軸 F:佔地面積 H1~H3、H10~H13、H20:高度 KX:指軸 L1~L4:長度 PM:處理模組 PX:滑輪軸 R、R1:延伸和撤回軸 RT1:第一旋轉方向 RT2:第二旋轉方向 S:基板 SD:擺動直徑 SV:槽縫閥 SX:肩軸 T:旋轉 TP:轉移平面 WRP:晶圓/基板休止平面 WX:腕軸 X:縱軸 Z:垂直方向 θ:繞著肩軸的旋轉 θFA:前臂繞著前臂軸的旋轉 θWRA:腕繞著腕軸的旋轉12: Tool interface area 15: Substrate transfer device 18B, 18i: Transfer chamber module 26B, 26i: Substrate transfer device 30i: Module, workpiece station 30S1, 30S2: Workpiece support/shelf 56, 56A: Loading lock module Group 56S1, 56S2: Workpiece support/shelf 100A~100H: Processing device 100E1, 100E2: Transfer chamber end 100F1~100F8: Transfer chamber facet 100S1, 100S2: Transfer chamber side 101: Atmospheric pressure front end 102, 102A~102E: Vacuum load Input lock 103: Vacuum backend 104: Substrate transfer device 105: Loading port module 106: Mini environment 107: Loading port 108: Transfer robot 110: Controller 121, 122: Set rod 125A~125F: Transfer chamber 126m1 ~126m4: Motor 126S1~126S4: Driving shaft 130: Processing station 130S: Processing station 130T1~130T8: Processing station 143: Set arm 144: Linear transporter, linear slider 200, 200A~C: Drive area 200F: Frame 200F1 : Internal 270: Z-axis drive 270C: Transporter 275: Bellows seal 276, 277: Ferrofluid seal 280, 280': Harmonic drive motor 280A, 280A': Harmonic drive motor 280ACS: Tank seal 280AR': Rotor 280AS: Drive shaft 280AS': Stator 280B, 280B': Harmonic drive motor 280BCS: Tank seal 280BR': Rotor 280BS: Drive shaft 280BS': Stator 280CS: Tank seal 280R': Rotor 280S: Drive shaft 280S' :Stator 281: Shell 282: Rotary drive area 290: Wire 300: Robot arm, transmission arm 302: Upper arm link 305: Forearm link 307: Third arm link, cut arm link 307S: Slot 307T1, 307T2 :Tine 310: End effector 310H1, 310H2: Substrate holding position 366: Stacking height profile 412: Workpiece entry/exit station 416: Linear transfer chamber 450: First forearm pulley 451: Second forearm pulley 452: Third forearm pulley 453: Fourth forearm pulley 454: Belt 454A, 454B: Split belt 455: Belt 455A, 455B: Split belt 460: First upper arm pulley 461: Second upper arm pulley 462: Belt 462A, 462B: Split belt 470: First wrist Pulley 471: Second wrist pulley 472: Belt 472A, 472B: Split belt 475: Drive 480: Drive 490: Drive 497: Wrist joint width 496D: Diameter 496R: Radius 498: Width 499: Combined width 500: Deep depression Type substrate holding station 520: inner surface 521: gate (or slot) valve 521P: gate valve port 521W: width 580: transfer chamber 590, 590A: processing module 670: actuator 700~770: method of transferring substrate 800~ 810: Method of conveying substrate 2012: Tool interface area 2050, 2060, 2070: Interface 2080: Wafer conveyor 3018, 3018A, 3018I, 3018J: Transfer chamber module 91312: Z-axis driver 91400: Drive area 91400H: Housing 91401, 91402: Drive shaft 91403: First motor 91403R: Rotor 91403S: Stator 91404: Second motor 91404R: Rotor 91404S: Stator ATM: Atmospheric pressure C: Cassette, substrate carrier CNX: Connection DIST: Offset distance EX: Toggle shaft F: Floor area H1~H3, H10~H13, H20: Height KX: Finger axis L1~L4: Length PM: Processing module PX: Pulley shaft R, R1: Extension and retraction axis RT1: First rotation direction RT2: Second direction of rotation S: Substrate SD: Swing diameter SV: Slot valve SX: Shoulder axis T: Rotation TP: Transfer plane WRP: Wafer/substrate rest plane WX: Wrist axis X: Longitudinal axis Z: Vertical direction θ: Winding Rotation of the shoulder axis θ FA : Rotation of the forearm around the forearm axis θ WRA : Rotation of the wrist around the wrist axis

以下敘述配合伴隨圖式來解釋揭示實施例的前述方面和其他特徵,其中: 圖1A~1D是依據揭示實施例的諸多方面之基板處理裝置的示意圖; 圖1E和1F是依據揭示實施例的諸多方面之圖1A~1D的部分基板處理裝置的示意圖; 圖1G~1M是依據揭示實施例的諸多方面之基板處理裝置的示意圖; 圖2A~2D是依據揭示實施例的諸多方面之部分基板傳送驅動區的示意圖; 圖3A~3D是依據揭示實施例的諸多方面之基板傳送裝置的示意圖; 圖4A~4E是依據揭示實施例的諸多方面之部分基板傳送裝置的示意圖; 圖5A~5F是依據揭示實施例的諸多方面的基板傳送裝置之延伸/撤回順序的範例性圖示; 圖6A~6E是依據揭示實施例的諸多方面之基板傳送裝置的示意圖; 圖7是依據揭示實施例的諸多方面之基板傳送裝置的範例性操作流程圖;以及 圖8是依據揭示實施例的諸多方面之範例性方法的流程圖。The following description, along with the accompanying drawings, explains the foregoing aspects and other features of the disclosed embodiments, in which: 1A-1D are schematic diagrams of a substrate processing apparatus according to various aspects of disclosed embodiments; 1E and 1F are schematic diagrams of portions of the substrate processing apparatus of FIGS. 1A-1D according to various aspects of disclosed embodiments; 1G-1M are schematic diagrams of a substrate processing apparatus according to various aspects of disclosed embodiments; 2A to 2D are schematic diagrams of portions of a substrate transfer drive area in accordance with various aspects of disclosed embodiments; 3A to 3D are schematic diagrams of a substrate transfer device according to various aspects of disclosed embodiments; 4A-4E are schematic diagrams of portions of a substrate transfer device according to various aspects of disclosed embodiments; 5A-5F are exemplary illustrations of extension/retraction sequences of a substrate transfer device in accordance with various aspects of disclosed embodiments; 6A to 6E are schematic diagrams of a substrate transfer device according to various aspects of disclosed embodiments; 7 is an exemplary operational flow diagram of a substrate transfer apparatus in accordance with aspects of the disclosed embodiments; and Figure 8 is a flowchart of an exemplary method in accordance with various aspects of the disclosed embodiments.

104:基板傳送裝置 104:Substrate transfer device

200:驅動區 200:Drive area

300:機器人手臂、傳送臂 300: Robot arm, transfer arm

302:上臂連桿 302: Upper arm link

305:前臂連桿 305: Forearm link

307:第三臂連桿、切截臂連桿 307: Third arm connecting rod, cut arm connecting rod

310:末端實施器 310: End implementer

499:組合寬度 499:Combined width

500:深陷式基板固持站 500: Deep recessed substrate holding station

520:內面 520:Inside

521:閘門(或槽縫)閥 521: Gate (or slot) valve

521P:閘門閥埠 521P: Gate valve port

521W:寬度 521W: Width

580:傳送室 580:Teleport room

590:處理模組 590: Processing module

DIST:偏移距離 DIST:offset distance

EX:肘軸 EX: elbow shaft

R:延伸和撤回軸 R: Extend and retract axis

RT1:第一旋轉方向 RT1: first rotation direction

RT2:第二旋轉方向 RT2: Second rotation direction

S:基板 S:Substrate

SX:肩軸 SX: shoulder axis

WX:腕軸 WX: wrist axis

θ:繞著肩軸的旋轉 θ: rotation around the shoulder axis

Claims (26)

一種基板處理裝置,其包括:框架;傳送裝置,其連接到該框架,該傳送裝置具有:上臂連桿;繞著肘軸而可旋轉地耦合於該上臂連桿的前臂連桿;繞著腕軸而可旋轉地耦合於該前臂連桿的至少一第三臂連桿;以及繞著指軸而可旋轉地耦合於該第三臂連桿的末端實施器;以及至少二自由度的驅動系統,其可操作地連接到該上臂連桿、該前臂連桿及該第三臂連桿中的至少一者以藉由該二自由度的驅動系統實施該末端實施器在水平面中的延伸和撤回,其中該末端實施器的高度是在由該前臂連桿及至少該第三臂連桿在該腕軸處且鄰近該腕軸的堆疊高度所界定的總堆疊高度輪廓裡,如此在該腕軸處且鄰近該腕軸的該總堆疊高度輪廓與該末端實施器至少部分重合,且該總堆疊高度輪廓的尺寸做成符合通過槽縫閥。 A substrate processing apparatus includes: a frame; a transfer device connected to the frame, the transfer device having: an upper arm link; a forearm link rotatably coupled to the upper arm link about an elbow axis; at least a third arm link rotatably coupled to the forearm link; and an end effector rotatably coupled to the third arm link about the finger axis; and a drive system with at least two degrees of freedom. , which is operably connected to at least one of the upper arm link, the forearm link and the third arm link to implement extension and retraction of the end effector in the horizontal plane through the two-degree-of-freedom drive system , wherein the height of the end effector is within a total stack height profile defined by the stack height of the forearm link and at least the third arm link at and adjacent the wrist axis, such that at the wrist axis The total stack height profile at and adjacent the wrist axis is at least partially coincident with the end effector, and the total stack height profile is sized to fit through the slot valve. 如申請專利範圍第1項的基板處理裝置,其中該第三臂連桿的長度小於該上臂連桿的長度,並且該上臂連桿的該長度小於該前臂連桿的長度。 For example, in the substrate processing device of claim 1 of the patent application, the length of the third arm link is less than the length of the upper arm link, and the length of the upper arm link is less than the length of the forearm link. 如申請專利範圍第1項的基板處理裝置,其中該末端實施器的旋轉從動於該前臂連桿的旋轉,並且該第三臂連 桿的旋轉從動於該上臂連桿的旋轉。 For example, in the substrate processing device of claim 1, the rotation of the end effector is driven by the rotation of the forearm link, and the third arm link The rotation of the rod is driven by the rotation of the upper arm link. 如申請專利範圍第1項的基板處理裝置,其中:該上臂連桿在肩軸可旋轉地耦合於該二自由度的驅動系統;該末端實施器是雙頭型末端實施器;以及該傳送裝置建構成在該肩軸的相對側上雙向延伸,而該傳送裝置整個不繞著該肩軸來旋轉。 For example, the substrate processing device of claim 1, wherein: the upper arm link is rotatably coupled to the two-degree-of-freedom drive system at the shoulder axis; the end effector is a double-headed end effector; and the transfer device Constructed to extend bidirectionally on opposite sides of the shoulder, the conveyor as a whole does not rotate about the shoulder. 如申請專利範圍第1項的基板處理裝置,其中該第三臂連桿包括槽縫,其建構成接收至少部分的該末端實施器,如此則該末端實施器在該槽縫裡可旋轉地耦合於該第三臂連桿。 The substrate processing apparatus of claim 1, wherein the third arm link includes a slot configured to receive at least part of the end effector, such that the end effector is rotatably coupled in the slot on the third arm link. 如申請專利範圍第1項的基板處理裝置,其中該末端實施器配置在該第三臂連桿之上或之下。 For example, in the substrate processing device of claim 1, the end effector is disposed above or below the third arm link. 如申請專利範圍第1項的基板處理裝置,其中:該前臂連桿是漸縮架構;以及該上臂連桿具有匹配漸縮架構,其建構成補足該前臂連桿的該漸縮架構,如此則至少部分的該上臂連桿和部分的該前臂連桿共平面。 For example, in the substrate processing device of Item 1 of the patent application, wherein: the forearm link is a tapered structure; and the upper arm link has a matching tapered structure constructed to complement the tapered structure of the forearm link, then At least a portion of the upper arm link and a portion of the forearm link are coplanar. 如申請專利範圍第1項的基板處理裝置,其中該第三 臂連桿透過配置在該前臂連桿裡的雙組前臂滑輪而從動於該上臂連桿。 For example, if the substrate processing device of item 1 of the patent scope is applied for, the third The arm link is driven by the upper arm link through the double sets of forearm pulleys arranged in the forearm link. 如申請專利範圍第1項的基板處理裝置,其中該傳送裝置的延伸和撤回軸通過該傳送裝置之旋轉肩軸的中央上方。 For example, in the substrate processing device of claim 1, the extension and retraction axes of the conveyor pass through the center of the rotation shoulder axis of the conveyor. 如申請專利範圍第1項的基板處理裝置,其中該傳送裝置建構成在該傳送裝置之肩軸的相對側上雙向延伸,其中該傳送臂的徑向延伸在該肩軸的該相對側上大致對稱。 For example, the substrate processing device of claim 1, wherein the conveying device is configured to extend bidirectionally on opposite sides of a shoulder axis of the conveying device, wherein the radial extension of the conveying arm substantially extends on the opposite sides of the shoulder axis. Symmetry. 如申請專利範圍第1項的基板處理裝置,其中該末端實施器在該傳送裝置的整個延伸和撤回範圍裡都維持對齊於延伸和撤回軸。 For example, the substrate processing apparatus of claim 1, wherein the end effector remains aligned with the extension and retraction axis throughout the entire extension and retraction range of the conveyor. 如申請專利範圍第1項的基板處理裝置,其中該第三臂連桿在該傳送裝置的起始姿勢係大致對齊於該前臂連桿。 For example, in the substrate processing device of claim 1, the third arm link is generally aligned with the forearm link in the initial posture of the conveyor. 如申請專利範圍第1項的基板處理裝置,其中該第三臂連桿是由具有單一帶高度的帶式傳動器所驅動。 For example, in the substrate processing device of claim 1, the third arm link is driven by a belt drive with a single belt height. 一種基板處理裝置,其包括:框架; 傳送裝置,其連接到該框架,該傳送裝置具有:上臂連桿;繞著肘軸而可旋轉地耦合於該上臂連桿的前臂連桿;繞著腕軸而可旋轉地耦合於該前臂連桿的至少一第三臂連桿;以及繞著指軸而可旋轉地耦合於該第三臂連桿的末端實施器;以及驅動系統,其可操作地連接到該上臂連桿、該前臂連桿及該第三臂連桿中的至少一者以實施該末端實施器的延伸和撤回,其中該傳送裝置具有伸出範圍,其為該上臂連桿、前臂連桿、第三臂連桿及末端實施器在撤回架構下對於該傳送裝置的預定擺動直徑之該傳送裝置的最大伸出範圍,該最大伸出範圍使該末端實施器、其指軸及至少部分的該第三臂連桿(包括該腕軸)延伸穿過該基板處理裝置的槽縫閥。 A substrate processing device, which includes: a frame; A transmission device connected to the frame, the transmission device having: an upper arm link; a forearm link rotatably coupled to the upper arm link about an elbow axis; and a forearm link rotatably coupled to the forearm link about a wrist axis. at least a third arm link of the rod; and an end effector rotatably coupled to the third arm link about a finger axis; and a drive system operatively connected to the upper arm link, the forearm link At least one of the rod and the third arm link is used to implement extension and retraction of the end effector, wherein the transmission device has an extension range, which is the upper arm link, the forearm link, the third arm link and The maximum extension range of the conveyor of the end effector in the retracted configuration for a predetermined swing diameter of the conveyor, the maximum extension range of the end effector, its finger shaft and at least part of the third arm link ( including the wrist shaft) extending through the slot valve of the substrate processing apparatus. 如申請專利範圍第14項的基板處理裝置,其中該驅動系統是二自由度的驅動系統。 For example, in the substrate processing device of Item 14 of the patent application, the driving system is a two-degree-of-freedom driving system. 如申請專利範圍第15項的基板處理裝置,其中該末端實施器的旋轉從動於該前臂連桿的旋轉,並且該第三臂連桿的旋轉從動於該上臂連桿的旋轉。 For example, in the substrate processing apparatus of claim 15, the rotation of the end effector is driven by the rotation of the forearm link, and the rotation of the third arm link is driven by the rotation of the upper arm link. 如申請專利範圍第14項的基板處理裝置,其中該驅動系統是三自由度的驅動系統。 For example, in the substrate processing device of Item 14 of the patent application, the driving system is a three-degree-of-freedom driving system. 如申請專利範圍第14項的基板處理裝置,其中該驅動系統是四自由度的驅動系統。 For example, in the substrate processing device of Item 14 of the patent application, the driving system is a four-degree-of-freedom driving system. 如申請專利範圍第14項的基板處理裝置,其中該第三臂連桿的長度小於該上臂連桿的長度,並且該上臂連桿的該長度小於該前臂連桿的長度。 For example, in the substrate processing device of claim 14, the length of the third arm link is less than the length of the upper arm link, and the length of the upper arm link is less than the length of the forearm link. 如申請專利範圍第14項的基板處理裝置,其中:該上臂連桿在肩軸可旋轉地耦合於該驅動系統;該末端實施器是雙頭型末端實施器;以及該傳送裝置建構成在該肩軸的相對側上雙向延伸,而該傳送裝置整個不繞著該肩軸來旋轉。 For example, the substrate processing device of claim 14, wherein: the upper arm link is rotatably coupled to the drive system at the shoulder axis; the end effector is a double-headed end effector; and the transfer device is constructed in the The shoulder axis extends bidirectionally on opposite sides, and the conveyor does not rotate entirely about the shoulder axis. 如申請專利範圍第14項的基板處理裝置,其中該第三臂連桿包括槽縫,其建構成接收至少部分的該末端實施器,如此則該末端實施器在該槽縫裡可旋轉地耦合於該第三臂連桿。 The substrate processing apparatus of claim 14, wherein the third arm link includes a slot configured to receive at least part of the end effector, such that the end effector is rotatably coupled in the slot on the third arm link. 如申請專利範圍第14項的基板處理裝置,其中該末端實施器配置在該第三臂連桿之上或之下。 For example, in the substrate processing device of claim 14, the end effector is disposed above or below the third arm link. 如申請專利範圍第14項的基板處理裝置,其中:該前臂連桿是漸縮架構;以及該上臂連桿具有匹配漸縮架構,其建構成補足該前臂 連桿的該漸縮架構,如此則至少部分的該上臂連桿和部分的該前臂連桿共平面。 For example, the substrate processing device of claim 14, wherein: the forearm link is a tapered structure; and the upper arm link has a matching tapered structure constructed to complement the forearm The tapered configuration of the link is such that at least part of the upper arm link and part of the forearm link are coplanar. 一種傳送基板的方法,其包括:提供連接到框架的傳送裝置,該傳送裝置具有:上臂連桿;繞著肘軸而可旋轉地耦合於該上臂連桿的前臂連桿;繞著腕軸而可旋轉地耦合於該前臂連桿的至少一第三臂連桿;以及繞著指軸而可旋轉地耦合於該第三臂連桿的末端實施器;以及以二自由度的驅動系統的二自由度來實施該上臂連桿、該前臂連桿、該第三臂連桿及該末端實施器在水平面中、在該傳送裝置的肩軸的相對側上的延伸或撤回並越過該肩軸的上方,如此則該腕軸延伸穿過位於一個或多個拾取和放置基板的相對側之每一者上的基板處理裝置的槽縫閥;其中該末端實施器的高度是在該腕軸的堆疊高度輪廓裡,如此則該末端實施器和腕軸之總堆疊高度的尺寸做成符合通過該槽縫閥。 A method of transporting a substrate, comprising: providing a transport device connected to a frame, the transport device having: an upper arm link; a forearm link rotatably coupled to the upper arm link about an elbow axis; at least a third arm link rotatably coupled to the forearm link; and an end effector rotatably coupled to the third arm link about a finger axis; and two drive systems with two degrees of freedom. freedom to effect extension or retraction of the upper arm link, the forearm link, the third arm link and the end effector in the horizontal plane on opposite sides of the shoulder axis of the conveyor and beyond the shoulder axis above, such that the wrist axis extends through the slot valve of a substrate processing apparatus located on each of opposite sides of one or more pick and place substrates; wherein the height of the end effector is the stack of the wrist axis height profile such that the total stack height of the end effector and wrist shaft is sized to fit through the slot valve. 如申請專利範圍第24項的方法,其中該傳送裝置具有伸出範圍,其為該上臂連桿、前臂連桿、第三臂連桿及末端實施器在撤回架構下對於該傳送裝置的預定擺動直徑之該傳送裝置的最大伸出範圍,該最大伸出範圍使該末端實施器、其指軸及至少部分的該第三臂連桿(包括該腕軸)延 伸穿過該基板處理裝置的該槽縫閥。 For example, the method of claim 24, wherein the transmission device has an extension range, which is the predetermined swing of the upper arm link, forearm link, third arm link and end effector with respect to the transmission device in the retracted structure diameter of the transmission device, the maximum extension range extends the end effector, its finger shaft and at least part of the third arm link (including the wrist shaft) The slot valve extends through the substrate processing apparatus. 如申請專利範圍第24項的方法,其進一步包括:在該傳送裝置之該肩軸的相對側上雙向延伸該上臂連桿、該前臂連桿、該第三臂連桿及該末端實施器,而該傳送裝置整個不繞著該肩軸來旋轉。 For example, the method of claim 24 further includes: bidirectionally extending the upper arm link, the forearm link, the third arm link and the end effector on opposite sides of the shoulder axis of the transmission device, The entire conveyor device does not rotate around the shoulder axis.
TW108118963A 2018-05-31 2019-05-31 Substrate transport apparatus TWI829700B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862678963P 2018-05-31 2018-05-31
US62/678,963 2018-05-31

Publications (2)

Publication Number Publication Date
TW202013569A TW202013569A (en) 2020-04-01
TWI829700B true TWI829700B (en) 2024-01-21

Family

ID=71130471

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108118963A TWI829700B (en) 2018-05-31 2019-05-31 Substrate transport apparatus

Country Status (1)

Country Link
TW (1) TWI829700B (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5064340A (en) * 1989-01-20 1991-11-12 Genmark Automation Precision arm mechanism
US20140199138A1 (en) * 2003-11-10 2014-07-17 Brooks Automation, Inc. Semiconductor wafer handling transport

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5064340A (en) * 1989-01-20 1991-11-12 Genmark Automation Precision arm mechanism
US20140199138A1 (en) * 2003-11-10 2014-07-17 Brooks Automation, Inc. Semiconductor wafer handling transport

Also Published As

Publication number Publication date
TW202013569A (en) 2020-04-01

Similar Documents

Publication Publication Date Title
JP7263641B2 (en) Substrate carrier
JP7280309B2 (en) Conveyor and processing equipment
KR20160047556A (en) Substrate transport apparatus
US11569111B2 (en) Substrate processing apparatus
US20230271792A1 (en) Substrate processing apparatus
US11894252B2 (en) Substrate transport apparatus
TWI829700B (en) Substrate transport apparatus
TW201922603A (en) Substrate transport apparatus with independent accessory feedthrough
TWI700765B (en) Method for transferring a workpiece with a transfer apparatus
TWI815869B (en) Substrate transport apparauts and method therefor