TW202401748A - 積體電路元件及製造積體電路元件的方法 - Google Patents

積體電路元件及製造積體電路元件的方法 Download PDF

Info

Publication number
TW202401748A
TW202401748A TW112119043A TW112119043A TW202401748A TW 202401748 A TW202401748 A TW 202401748A TW 112119043 A TW112119043 A TW 112119043A TW 112119043 A TW112119043 A TW 112119043A TW 202401748 A TW202401748 A TW 202401748A
Authority
TW
Taiwan
Prior art keywords
power rail
active areas
active
gate structures
gate
Prior art date
Application number
TW112119043A
Other languages
English (en)
Inventor
黃瑩
黃昌林
丁婧
孟慶超
Original Assignee
台灣積體電路製造股份有限公司
大陸商台積電(南京)有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司, 大陸商台積電(南京)有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202401748A publication Critical patent/TW202401748A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/0175Coupling arrangements; Interface arrangements
    • H03K19/0185Coupling arrangements; Interface arrangements using field effect transistors only
    • H03K19/018507Interface arrangements
    • H03K19/018521Interface arrangements of complementary type, e.g. CMOS
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Evolutionary Computation (AREA)
  • Architecture (AREA)
  • Mathematical Physics (AREA)
  • Computing Systems (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一種積體電路(integrated circuit;IC)元件包括在第一方向上延伸的第一和第二電源軌、在第一和第二電源軌之間沿第一方向延伸的第三電源軌、垂直於第一方向延伸的閘極結構、在第一和第二電源軌下面的端點之間連續地延伸的兩個末端閘極結構中的每一個、以及在末端閘極結構之間沿第一方向延伸的第一至第四多個有源區域。第一至第四多個有源區域中的每一個的有源區域在第一方向上對齊。

Description

組合功能IC單元元件、佈局及方法
積體電路(integrated circuit;IC)小型化的持續趨勢使得元件越來越小,消耗功率更少,在比早期技術更高的速度下提供更多的功能。這種小型化是通過與日益嚴格的規範相關的設計和製造創新來實現的。各種電子設計自動化(electronic design automation;EDA)工具用於生成、修改和驗證半導體元件的設計,同時確保滿足IC結構設計和製造規範。
下面的揭示內容提供了用於實現所提供主題的不同特徵的許多不同的實施例或示例。下面描述了元件、值、步驟、操作、材料或佈置等的具體示例以簡化本揭示內容。當然,這些僅是示例而不旨在進行限制。可以設想其他元件、值、操作、材料或佈置等。例如,在下面的描述中,在第二特徵之上或第二特徵上形成第一特徵可以包括第一特徵和第二特徵以直接接觸方式形成的實施例,並且還可以包括可以在第一特徵和第二特徵之間形成附加特徵使得第一特徵和第二特徵可以不直接接觸的實施例。此外,本揭示內容可以在各個示例中重複附圖標記和/或字母。這種重複是為了簡單和清楚的目的,並且其本身不表示所討論的各個實施例和/或配置之間的關係。
此外,本文可能使用了空間相關術語(例如,「之下」、「下方」、「下」、「上方」、「上」等),以易於描述如圖式中所示的一個要素或特徵與另外(一個或多個)要素或(一個或多個)特徵的關係。這些空間相關術語旨在涵蓋元件在使用或操作中除了圖式中所示方位之外的不同方位。裝置可能以其他方位定向(旋轉90度或處於其他方位),並且本文使用的空間相關描述符可以類似地進行相應解釋。
在各種實施例中,一種基於IC佈局圖的IC元件包括至少一個基於佈局單元的IC元件,其中第一部分包括被配置為主要功能電路的元件,例如位準偏移器,並且第二部分包括被配置為協助工具電路的元件,例如去耦電容器或天線二極體中的一者。與其中被配置為去耦電容器和天線二極體的單元與被配置為主要功能電路的單元分離的方法相比,減小了整個IC元件空間。
如下所述,第1圖、第2B圖和第3B圖描繪了一些實施例中的平面圖。第1圖、第2B圖和第3B圖中的每一個是元件/佈局圖,其中參考指示符代表IC元件特徵和用於至少部分地限定製造過程(例如,下文關於第4圖討論的方法400和/或與下文關於第7圖討論的IC製造系統700相關聯的IC製造流程)中的對應IC元件特徵的IC佈局特徵兩者。在一些實施例中,第1圖、第2B圖或第3B圖中的一個或多個是通過執行下面關於第5圖討論的方法500的一些或所有操作而生成的一些或所有IC佈局圖。因此,第1圖、第2B圖和第3B圖中的每一個都表示IC佈局圖和對應的IC元件兩者的平面圖。
出於說明之目的,本文中的每個圖(例如,第1圖、第2B圖和第3B圖)均被簡化。這些圖是IC結構和元件的視圖,其中包含和排除了各種特徵,以便於下面的討論。在各種實施例中,除了第1圖、第2B圖和第3B圖中描繪的特徵之外,IC結構、元件和/或佈局圖還包括與配電結構、金屬互連、接觸件、穿孔、閘極結構、源極/汲極(S/D)結構或其他電晶體元件、隔離結構等相對應的一個或多個特徵。
第1圖是根據一些實施例的IC佈局和對應的IC佈局/元件100的平面圖的圖示。除了IC佈局/元件100的頂層平面圖之外,第1圖還包括X和Y方向。
IC佈局/元件100包括區域100F以及單元/元件110、120和130。區域100F包括單元和對應的元件(未單獨示出)的佈置,這些單元和元件根據被配置為執行預定功能(例如,邏輯、計算、資料和信號處理或資料儲存等)的功能電路而具有各種尺寸和大小。在一些實施例中,單元被稱為佈局或佈局組件。區域100F的單元/元件具有矩形形狀,包括X方向的寬度和Y方向的高度(未示出)。在一些實施例中,區域100F包括與單元/元件的各種功能相對應的多個電源域,例如,一個或多個域(domain)的記憶體電源電壓位準大於包括信號處理元件的一個或多個其他域的電源電壓位準。
單元/元件110、120和130中的每一個是IC單元和對應的IC元件,這些單元和元件具有矩形形狀並且包括被配置為功能電路(也稱為第一功能電路)和去耦電容器或天線二極體中的一者(也稱為第二功能電路)兩者的特徵。在一些實施例中,單元/元件110、120或130中的一個或多個的第一功能電路是位準偏移器(level shifter):低到高位準偏移器,被配置為將信號從第一電源域轉換到第二電源域,其中第二電源域的電源電壓位準大於第一電源域的電源電壓位準;或者高到低位準偏移器,被配置為將信號從第一電源域轉換到第二電源域,其中第一電源域的電源電壓位準大於第二電源域的電源電壓位準。
在一些實施例中,單元/元件110、120或130中的一個或多個是IC單元/元件200,其包括被配置為第一功能電路和去耦電容器兩者的特徵,如下面關於第2A圖和第2B圖所討論的。在一些實施例中,單元/元件110、120或130中的一個或多個是單元/元件300,包括被配置為第一功能電路和天線二極體兩者的特徵,如下面關於第3A圖和第3B圖所討論的。
第1圖中描繪的單元/元件110、120和130的數量是為了說明之目的而提供的非限制性示例。在各種實施例中,IC佈局/元件100不包括單元/元件110、120或130中的一個或多個,或者除了單元/元件110、120和130之外還包括一個或多個單元/元件(未示出)。
第1圖中描繪的IC佈局/元件100內的單元/元件110、120和130的定位和相對尺寸是為了說明之目的而提供的非限制性示例。在各種實施例中,IC佈局/元件100包括諸如以其他方式在IC佈局/元件100內定位和/或確定尺寸的單元/元件110、120和130之類的單元/元件,例如沿著IC佈局/元件100的邊緣定位。
第2A圖是根據一些實施例的IC單元/元件200的示意圖,並且第3A圖是根據一些實施例的IC單元/元件300的示意圖。
IC單元/元件200和300中的每一個包括第一部分200P1和對應的第二部分200P2或300P2。在一些實施例中,第一部分200P1包括被配置為位準偏移器的p型金屬氧化物半導體(p-type metal oxide semiconductor;PMOS)和n型金屬氧化物半導體(n-type metal oxide semiconductor;NMOS)電晶體(未標記),也稱為位準偏移器200P1。
位準偏移器200P1的PMOS和NMOS電晶體的第一子集被配置為在包括電源電壓VDDL和電源參考電壓VSS的第一電源域中操作。第一子集包括被配置為接收輸入信號IN並生成信號Ib的反相器(inverter),以及被配置為接收信號Ib並生成信號Ibb的反相器。
位準偏移器200P1的PMOS和NMOS電晶體的第二子集被配置為在包括電源電壓VDD和電源參考電壓VSS的第二電源域中操作。電源電壓VDD的加電(power-on)電壓位準大於電源電壓VDDL的加電電壓位準。第二子集包括被配置為接收輸入信號NSLP並生成信號slp的反相器,以及被配置為接收信號slp並生成信號nslp的反相器。
第二子集還包括PMOS和NMOS電晶體的位準偏移佈置,其被配置為接收互補信號Ib和Ibb並在內部節點(未標記)上生成信號zi。位準偏移佈置通過被配置為接收信號nslp的NMOS電晶體而選擇性地耦合到電源參考電壓VSS,並且內部節點通過被配置為接收信號nslp的PMOS電晶體而選擇性地耦合到電源電壓VDD。一對反相器被配置為接收信號zi並生成輸出信號Z。
位準偏移器200P1由此被配置為在操作中、在第一電源域中接收和緩衝信號IN,並且基於信號IN在第二電源域中緩衝和生成信號Z。在一些實施例中,位準偏移器200P1被配置為接收和緩衝信號IN以及緩衝和生成信號Z作為時脈信號(clock signal)。
位準偏移器200P1由此進一步被配置為在操作中、在第二電源域中接收和緩衝信號NSLP,並且回應於信號NSLP而在第二電源域中允許和禁用信號緩衝和生成。在一些實施例中,位準偏移器200P1被配置為接收信號NSLP作為睡眠模式或低功率允許信號。
在一些實施例中,第二部分200P2,也稱為去耦電容器200P2,包括NMOS電晶體T1,其包括被配置為接收電源電壓VDD的閘極,以及被配置為接收電源參考電壓VSS的源極、汲極和基板端子(substrate terminal)中的每一個。電晶體T1由此被配置為電容元件,其包括耦合在電源電壓VDD和電源參考電壓VSS之間的閘極電容,其中在閘極處接收電源電壓VDD,在每一個其他端子處接收電源參考電壓VSS。在操作中,閘極電容起到去耦來自相鄰功能電路(例如,位準偏移器200P1)的雜訊的作用,該閘極電容被配置為接收電源電壓VDD。
在一些實施例中,電晶體T1包括並聯配置的多個NMOS電晶體,使得電晶體T1的總電容等於多個NMOS電晶體中的每一個電晶體的閘極電容之和。隨著電晶體T1的並聯電晶體的數量的增加,電晶體T1的總電容也隨之增加,使得用於去耦電源電壓VDD雜訊的能力也隨之增加。
在一些實施例中,電晶體T1的並聯電晶體的數量在從5到50的範圍內。在一些實施例中,電晶體T1的並聯電晶體的數量在從15到30的範圍內。
在一些實施例中,第二部分300P2,也稱為天線二極體300P2,包括NMOS電晶體T2,其包括被配置為接收輸入信號IN的閘極以及源極和汲極端子中的每一個,以及被配置為接收電源參考電壓VSS的基板端子。電晶體T2由此被配置為二極體元件,包括從在閘極、源極和汲極端子處接收的信號IN到在基板端子處接收的電源參考電壓VSS的正向傳導路徑。在操作中,正向傳導路徑用於將輸入處的潛在破壞性電流電平轉移到相鄰功能電路(例如,位準偏移器200P1),該正向傳導路徑被配置為接收輸入信號IN。
在一些實施例中,電晶體T2包括並聯配置的多個NMOS電晶體,使得電晶體T2的總分流能力等於多個NMOS電晶體中的每一個電晶體的分流能力之和。隨著電晶體T2的並聯電晶體的數量的增加,電晶體T2的用於從輸入信號IN轉移潛在破壞性電流電平的總電容也隨之增加。
在一些實施例中,電晶體T2的並聯電晶體的數量在從5到50的範圍內。在一些實施例中,電晶體T2的並聯電晶體的數量在從15到30的範圍內。
第2B圖是根據一些實施例的IC單元/元件200的平面圖的圖示,以及第3B圖是根據一些實施例的IC單元/元件300的平面圖的圖示。第2B圖和第3B圖中描繪的實施例是為了說明之目的而提供的各個IC單元/元件200和300的非限制性示例。IC單元/元件200和/或300的其他配置,例如,包括除了位準偏移器之外的功能電路或者包括更少或更多數量的並聯電晶體,都在本揭示內容的範圍內。
IC單元/元件200和300中的每一個均包括位於半導體晶圓(未標記)中的n井N1-N3(也可稱為n井區域/結構)、位於半導體晶圓中的沿X方向延伸的多個有源區域AA1至AA4(也可稱為有源分區),沿Y方向延伸的閘極區域/結構G1-G4中的每一個的實例,沿X方向延伸的電源軌(power rail)VSS和VDD,以及沿Y方向延伸的過孔區域/結構V0和金屬區域/段M1的實例(為了清楚起見標記了單個實例)。金屬區域/段M1的一個實例被配置為接收電源電壓VDDL,金屬區域/段M1的一個實例被配置為接收輸入信號IN,以及金屬區域/段M1的一個實例被配置為傳送輸出信號Z。多個有源區域AA1包括沿X方向排列的有源區域A1-A5(也可稱為有源分區),並且多個有源區域AA2-AA4包括為了清楚起見而未標記的有源區域(或有源分區)。
IC單元/元件200還包括沿X方向延伸的過孔區域/結構VG和金屬區域/段M02的實例,並且IC單元/元件300還包括沿X方向延伸的過孔區域/結構VD和金屬區域/段M03的實例。
如下所述,IC單元/元件200和300中的每一個的特徵的第一部分對應於部分200P1,IC單元/元件200的特徵的第二部分對應於部分200P2,並且IC單元/元件300的特徵的第二部分對應於部分300P2。
井/區域,例如n井N1-N3,是IC佈局圖中與IC元件相對應的區域,例如,IC單元/元件200或300,包括在製造過程中作為限定井的一部分,即適用於形成一個或多個IC元件(例如,IC佈局/元件100至300)的半導體晶圓的連續部分,例如矽(Si)晶圓或磊晶Si層。在各種實施例中,井是基於包括一種或多種受體摻雜劑(例如,硼(B)或鋁(Al))的半導體部分的p井,或者是基於包括一種或多種施主摻雜劑(例如,磷(P)或砷(As))的半導體部分的n井。在本文描述的實施例中,IC單元/元件200和300中的每一個都包括n井N1-N3。在各種實施例中,IC單元/元件200和/或300包括除了n井N1-N3中的一個或多個之外的一個或多個p井,或代替n井N1-N3中的一個或多個而包括一個或多個p井,包括除了n井N1-N3之外的一個或多個n井,和/或不包括n井N1-N3中的一個或多個。
n井N2對應於第一電源域,並且n井N1和N3中的每一個對應於第二電源域。根據與基於第一和第二電源域的電源電壓位準的閂鎖(latch-up)防止相對應的製造過程的最小間隔規則,n井N2在X方向上與n井N1和N3分開一個或多個距離。
有源區域/分區,例如多個有源區域AA1-AA4中的一有源區域,是包括在製造過程中作為直接在半導體晶圓中或在n井N1-N3之一中限定有源區域的一部分的IC佈局圖中的一區域,也稱為氧化物擴散或限定(oxide diffusion;OD),其中形成一個或多個IC元件特徵,例如源極/汲極結構(未示出)。在一些實施例中,有源區域是平面電晶體、鰭式場效應電晶體(FinFET)或環繞式閘極(GAA)電晶體的n型或p型有源區域。在各種實施例中,有源區域(結構)包括一種或多種半導體材料,例如矽(Si)、矽鍺(SiGe)或碳化矽(SiC)等、受體或施體摻雜劑材料、或其他合適的材料。
在一些實施例中,有源區域是包括在製造過程中的作為限定奈米片結構的一部分的IC佈局圖中的區域,作例如,具有n型或p型摻雜的一種或多種半導體材料的一層或多層的連續體積。在各種實施例中,單獨的奈米片層包括給定半導體材料的單個單層或多個單層。
多個有源區域AA1和AA4中的每一個包括與電源軌VSS的實例相鄰的n型有源區域/分區,並且多個有源區域AA1和AA4中的每一個包括位於對應的n井N1-N3中的、與電源軌VDD相鄰的p型有源區域/分區。
閘極區域/結構,例如閘極區域/結構G1-G4,是包括在製造過程中的作為限定閘極結構的一部分的IC佈局圖中的區域。閘極結構是包括一個或多個導電段的體積,例如閘極電極,包括基本上被一種或多種絕緣材料包圍的一種或多種導電材料,例如多晶矽、銅(Cu)、鋁(Al)、鎢(W)、鈷(Co)、釕(Ru)或一種或多種其他金屬或其他合適的材料,一個或多個導電段由此被配置為控制提供給相鄰閘極介電層的電壓。
介電層,例如閘極介電層,是包括一種或多種絕緣材料(例如,二氧化矽、氮化矽(Si 3N 4))和/或一種或多種其他合適的材料(例如,k值小於3.8的低k材料或k值大於3.8或7.0的高k材料,例如氧化鋁(Al 2O 3)、氧化鉿(HfO 2)、五氧化二鉭(Ta 2O 5)或氧化鈦(TiO 2))的體積,其適於在IC結構元件之間提供高電阻,即,高於預定閾值的阻力位準(resistance level),阻力位準為對應於基於電阻對電路性能的影響的一個或多個容限程度(tolerance level)。
在一些實施例中,閘極區域/結構G1-G4具有沿Y方向根據切割閘極區域CP1-CP5(為了清楚起見在第2B圖和第3B圖中未示出邊界)的配置,切割閘極區域CP1-CP5也稱為切割多晶矽區域CP1-CP5。切割閘極區域是包括在製造過程中的作為限定給定閘極結構的閘極電極中的不連續點的一部分的IC佈局圖中的區域,從而使閘極電極的相應相鄰部分彼此電隔離。
切割閘極區域CP1和CP5中的每一個在X方向上連續地延伸跨越每個IC單元/元件200和300的寬度,使得閘極區域/結構G1-G4中的每一個的端點在Y方向上與電源軌VSS的實例重疊或者位於電源軌VSS的實例下方。
切割閘極區域CP2-CP4中的每一個包括在X方向上延伸跨過每個IC單元/元件200和300的寬度的多個部分,使得閘極區域/結構G1-G4的實例在Y方向上具有從零到三個不連續點。閘極區域/結構G1的每個實例具有與切割閘極區域CP2-CP4的部分相對應的零個不連續點,並且包括在電源軌VSS的實例之間在Y方向上延伸的單個閘極電極。閘極區域/結構G2的每個實例具有與切斷閘極區域CP2-CP4之一的部分相對應的一個不連續點,並且包括在電源軌VSS的實例之間在Y方向上延伸的總共兩個閘極電極部分。閘極區域/結構G3的每個實例具有與切斷閘極區域CP2-CP4中的兩個的部分相對應的兩個不連續點,並且包括在電源軌VSS的實例之間在Y方向上延伸的總共三個閘極電極部分。閘極區域/結構G4的每個實例具有與三個切割閘極區域CP2-CP4的部分相對應的三個不連續點,並且包括在電源軌VSS的實例之間在Y方向上延伸的總共四個閘極電極部分。
切割閘極區域CP1-CP5的數量和位置是出於說明之目的而提供的非限制性示例。在各種實施例中,IC單元/元件200或300中的一者或兩者包括閘極區域/結構,其具有基於少於或多於五個切割閘極區域和/或基於除了第2B圖和第3B圖中所描繪的位置以外的位置處的切割閘極區域的部分。
金屬區域/段(也可稱為導電段),例如金屬區域/段M02、M03或M1或者電源軌VSS或VDD,是包括在製造過程中的作為限定金屬段結構的一部分的IC佈局圖中的區域,該金屬段結構在製造過程中的給定金屬層中包括一種或多種導電材料。在各種實施例中,電源軌VSS和VDD中的每一個對應於製造過程中的第一金屬層,金屬區域/段M02和M03對應於製造過程中的第一金屬層,和/或金屬區域/段M1對應於製造過程中的第二金屬層。
過孔(via)區域/結構,例如過孔區域/結構VD、VG或V0,是包括在製造過程中的作為限定過孔結構的一部分的IC佈局圖中的區域,該過孔結構包括一種或多種被配置為在上面的導電結構(例如,電源軌或其他金屬段)和下面的導電結構之間提供電連接的導電材料。在過孔區域/結構VD的情況下,下面的結構對應於S/D結構,在過孔區域/結構VG的情況下,下面的結構對應於閘極電極,以及在過孔區域/結構V0的情況下,下面的結構對應於第一金屬層區域/段,例如金屬區域/段M02或M03。對第3B圖中的過孔區域/結構VD的描繪被認為包括為了清楚起見而未單獨描繪的下面的S/D結構。
部分200P1包括n井N1-N3、多個有源區域AA1中的有源區域A1和A5、多個有源區域/分區AA2-AA4中的每一個、閘極區域/結構G1-G4的位於部分200P2或300P2的邊界外部的部分、金屬區域/段M1和過孔區域/結構V0的實例,以及為了清楚起見而未描繪的附加特徵。各種特徵被配置為根據上面討論的第2A圖和第3A圖的示意圖佈置的多個PMOS和NMOS電晶體(未標記),使得部分200P1包括功能電路,例如位準偏移器。
第2B圖和第3B圖中描繪的與電源電壓VDDL、輸入信號IN和輸出信號Z相對應的部分200P1的金屬區域/段M1的實例的位置和配置是為了說明之目的而提供的非限制性示例。在各種實施例中,部分200P1包括與電源電壓VDDL、輸入信號IN或輸出信號Z中的一個或多個相對應的、根據第2A圖和第3A圖的示意圖以其他方式配置的金屬區域/段M1的實例。
部分200P1的水準邊界對應於閘極區域/結構G1-G4的末端實例,每個末端實例是在電源軌VSS的實例之間沿Y方向連續地延伸的閘極區域/結構G1的實例。多個有源區域AA1-AA4中的每一個在閘極區域/結構G1-G4的末端實例之間沿X方向延伸,末端實例由此限定部分200P1以及IC單元/元件200和300中的每一個在X方向上的寬度(未標記)。
基於n井N1-N3的最小間距規則和寬度,部分200P1和IC單元/元件200和300具有足夠大的寬度,使得IC單元/元件200和300中的每一個的部分特徵在第2A圖和第3A圖的位準偏移器中未使用,並且至少一些未使用的特徵對應於IC單元/元件200的部分200P2或IC單元/元件300的部分300P2。
根據第2A圖的示意圖配置的IC單元/元件200的部分200P2包括多個有源區域AA1中的有源區域/分區A2-A4、閘極區域/結構G1-G4的位於部分200P2的邊界內的部分、金屬區域/段M02、過孔區域/結構VG的實例,如下所述。
部分200P2包括在金屬區域/段M02和被配置為傳送電源電壓VDD(例如,電源軌VDD)的金屬區域/段之間的電連接(為了清楚起見未示出)。過孔區域/結構VG的每個實例位於金屬區域/段M02和閘極區域/結構G1-G4的下面的閘極結構部分之間並被配置為電連接金屬區域/段M02和閘極區域/結構G1-G4的下面的閘極結構部分。基於切割閘極區域CP2,每個對應的閘極結構部分在Y方向上與閘極區域/結構G1-G4之包括在部分200P1中的部分的閘極結構部分對齊並且電隔離。
部分200P2包括一個或多個電連接(為了清楚起見未示出),此一個或多個電連接從基板部分和有源區域A2-A4與對應的閘極結構部分相鄰的S/D結構(為了清楚起見未示出)到被配置為傳送電源參考電壓VSS(例如,電源軌VSS的實例)的一個或多個金屬區域/段。
部分200P2的特徵由此被佈置為電晶體T1,其包括並聯配置為去耦電容器的多個NMOS電晶體。在第2B圖所示的實施例中,電晶體T1包括總共十七個並聯電晶體。在一些實施例中,電晶體T1包括少於或多於十七個並聯電晶體,如上面關於第2A圖所討論的。
根據第3A圖的示意圖配置的IC單元/元件300的部分300P2包括多個有源區域AA1中的有源區域/分區A2-A4、閘極區域/結構G1-G4之位於部分300P2的邊界內的部分、金屬區域/段M03、過孔區域/結構VD的實例,如下所述。
部分300P2包括金屬區域/段的實例,其被配置為傳送通過過孔區域/結構V0的實例電連接到金屬區域/段M03的輸入信號IN。過孔區域/結構VD的每個實例位於金屬區域/段M03和與閘極區域/結構G1-G4的一部分相鄰的下面的S/D結構之間並被配置為電連接金屬區域/段M03和與閘極區域/結構G1-G4的一部分相鄰的下面的S/D結構。基於切割閘極區域CP2,每個對應的閘極結構部分在Y方向上與閘極區域/結構G1-G4之包括在部分200P1中的部分的閘極結構部分對齊並且電隔離。在一些實施例中,部分300P2包括過孔區域/結構VG(未示出)的實例,其位於金屬區域/段M03(或另一個並聯且類似配置的金屬區域/段-未示出)和對應的閘極結構部分之間並被配置為電連接金屬區域/段M03和對應的閘極結構部分。
部分300P2包括從與對應的S/D結構相鄰的基板部分到被配置為傳送電源參考電壓VSS的一個或多個金屬區域/段(例如,電源軌VSS的實例)的一個或多個電連接(為了清楚起見未示出)。
部分300P2的特徵由此被佈置為電晶體T2,其包括並聯配置為天線二極體的多個NMOS電晶體。在第3B圖所示的實施例中,電晶體T2包括總共十五個並聯電晶體。在一些實施例中,電晶體T1包括少於或多於15個並聯電晶體,如上面關於第3A圖所討論的。
IC單元/元件200和300中的每一個因此包括部分200P1,包括被配置為主要功能電路的特徵,例如,位準偏移器;以及部分200P2或300P2,包括被配置為協助工具電路的特徵,例如,去耦電容器T1或天線二極體T2。與配置為去耦電容器和天線二極體的單元與配置為主要功能電路的單元分開的方法相比,整體IC元件空間因此減少。
在其中單元/元件包括去耦電容器的一些實施例中,例如包括去耦電容器T1的單元/元件200,與其他方法相比,元件空間減少了23%,而電源電壓VDD洩漏的增加被限制為0.5%,總功率增加被限制為2.5%,延遲增加被限制為0.6%,並且其他元件性能指標沒有受到顯著影響。在其中單元/元件包括天線二極體的一些實施例中,例如包括天線二極體T2的單元/元件300,與其他方法相比,元件空間減少了25%,而其他元件性能指標沒有受到顯著影響。
第4圖是根據一些實施例的製造IC元件的方法400的流程圖。可操作方法400以形成上面關於第1圖討論的IC佈局/元件100,和/或上面關於第2A圖至第3B圖討論的IC單元/元件200和/或300。
在一些實施例中,方法400的操作以第4圖中描繪的順序執行。在一些實施例中,方法400的操作以不同於第4圖中描繪的順序的順序來執行。在一些實施例中,在方法400的操作之前、期間和/或之後執行一個或多個附加操作。在一些實施例中,執行方法400的一些或所有操作包括執行如下文關於IC製造系統700和第7圖所討論的一個或多個操作。
在一些實施例中,在操作410,形成沿第一方向延伸的第一至第四多個有源區域。在一些實施例中,形成第一至第四多個有源區域包括形成以上關於第1圖至第3B圖討論的多個有源區域AA1-AA4。
在一些實施例中,形成第一至第四多個有源區域包括在一個或多個n井中形成第二和第三多個有源區域,例如上面關於第1圖至第3B圖討論的n井N1-N3。
在一些實施例中,形成第一至第四多個有源區域包括在半導體晶圓的與第一至第四多個有源區域相對應的區域中執行一個或多個佈植製程,由此對於一個或多個給定摻雜劑實現預定的摻雜濃度和類型,如上文關於第2B圖和第3B圖所討論的。
在一些實施例中,形成第一至第四多個有源區域包括例如通過執行一個或多個佈植製程和/或一個或多個沉積製程,在第一至第四多個有源區域中的一些或所有有源區域中和/或上形成多個S/D結構。
在一些實施例中,在操作420,建構在第二方向上延伸的多個閘極結構,由此第一至第四多個有源區域中的有源區域在多個閘極結構的末端閘極結構之間對齊。在一些實施例中,建構多個閘極結構包括建構以上關於第1圖至第3B圖討論的閘極區域/結構G1-G4。
在一些實施例中,建構多個閘極結構包括執行多個製造操作,例如微影、擴散、沉積、蝕刻、平坦化或如關於第2B圖和第3B圖所討論的適用於建構多個閘極結構的其他操作中的一種或多種。
在一些實施例中,在操作430,通過用第一電源軌覆蓋第一和第二末端閘極結構中的每一個的第一端點並且用第二電源軌覆蓋第一和第二末端閘極結構中的每一個的第二端點來形成在第一方向上延伸的第一至第三電源軌和導電段。
在一些實施例中,形成第一至第三電源軌包括形成上面關於第2B圖和第3B圖討論的電源軌VSS和電源軌VDD的實例。在一些實施例中,形成在第一方向上延伸的導電段包括形成上面關於第2B圖討論的金屬區域/段M02或上面關於第3B圖討論的金屬區域/段M03。
在一些實施例中,形成金屬段,例如第一至第三電源軌或導電段,包括執行多個製造操作,這些操作包括沉積並圖案化一個或多個光致抗蝕劑層、執行一個或多個蝕刻製程,以及執行一個或多個沉積製程,其中一種或多種導電材料被配置為形成連續的低電阻結構。
第一至第三電源軌、多個閘極結構的第一部分以及第一至第四多個有源區域的第一部分被配置為功能電路,並且導電段、多個閘極結構的第二部分以及第一至第四多個有源區域的第二部分被配置為去耦電容器或天線二極體中的一者。
在一些實施例中,第一至第三電源軌、多個閘極結構的第一部分以及第一至第四多個有源區域的第一部分被配置為功能電路,包括位準偏移器,例如上面關於第2A圖至第3B圖討論的部分200P1。
在一些實施例中,多個閘極結構和第一至第四多個有源區域的第二部分以及導電段被配置為去耦電容器,包括上文關於第2B圖討論的去耦電容器200P2。
在一些實施例中,形成第一至第三電源軌和導電段包括通過以下方式來配置去耦電容器:在多個閘極結構的第二部分中的閘極結構和導電段之間建構過孔,以及在導電段和第三電源軌之間形成電連接,例如建構過孔區域/結構VG並形成到金屬區域/段M02的電連接,如上面關於第2B圖所討論的。
在一些實施例中,形成第一至第三電源軌和導電段包括通過以下方式將多個閘極結構和第一至第四多個有源區域的第二部分以及導電段配置為天線二極體:配置天線二極體300P2,如上文關於第3B圖所討論的。
在一些實施例中,配置天線二極體包括在第一多個有源區域的第二部分中的有源區域中的S/D結構和導電段之間建構過孔,並在導電段和位準偏移器的信號節點之間形成電連接,例如,建構過孔區域/結構VD並形成到金屬區域/段M03的電連接,如上文關於第3B圖所討論的。
在一些實施例中,第一多個有源區域的第二部分中的有源區域在第一多個有源區域的第一部分中的有源區域之間對齊,例如,有源區域A2-A4在有源區域A1和A5之間對齊,如上面關於第2B圖和第3B圖所討論的。
在一些實施例中,多個閘極結構包括在多個閘極結構的第一部分中的閘極結構和多個閘極結構的第二部分中的閘極結構之間的不連續點,例如根據切割閘極區域CP1-CP5的閘極區域/結構G1-G4中的不連續點,如上面關於第2B圖和第3B圖所討論的。
在一些實施例中,去耦電容器或天線二極體中的一者和功能電路被配置為通過執行多個製造操作,例如微影、擴散、沉積、蝕刻、平坦化或其他適合於在半導體晶圓中建構多個IC元件的操作中的一種或多種,建構多個IC元件的一部分,例如電晶體、邏輯閘、記憶體單元、互連結構和/或其他合適的元件。
通過執行方法400的一些或所有操作,來製造IC元件,其中第一部分包括被配置為主要功能電路的特徵,例如位準偏移器,並且第二部分包括被配置為去耦電容器或天線二極體的特徵,從而獲得上面關於IC佈局/元件100至300所討論的益處。
根據一些實施例,第5圖是生成IC佈局圖的方法500的流程圖,例如上面關於第1圖討論的IC佈局/元件100和/或上面關於第2A圖至第3B圖討論的單元200和/或300。
在一些實施例中,生成IC佈局圖包括生成與基於所生成的IC佈局圖而製造的IC元件(例如,上文關於第1圖至第3B圖討論的IC佈局/元件100至300)相對應的IC佈局圖。
在一些實施例中,方法500的一些或全部由電腦的處理器來執行,例如IC佈局圖生成系統600的處理器602,如下面關於第6圖所討論的。
方法500的一些或全部操作能夠作為在設計公司(例如,下面關於第7圖討論的設計公司720)中執行的設計程式的一部分來執行。
在一些實施例中,方法500的操作以第5圖中描繪的順序來執行。在一些實施例中,方法500的操作同時和/或以不同於第5圖中描繪的順序的順序來執行。在一些實施例中,在執行方法500的一個或多個操作之前、之間、期間和/或之後執行一個或多個操作。
在一些實施例中,在操作510,從儲存裝置獲得儲存單元的IC佈局圖,儲存單元包括功能電路,例如位準偏移器。
在一些實施例中,從儲存裝置獲得儲存單元的IC佈局圖包括從IC佈局圖生成系統600的元件庫(cell library)607中獲得儲存單元的IC佈局圖,如下面關於第6圖所討論的。
在操作520,單元的第一至第四多個有源區域和多個閘極區域的第一部分被佈置為功能電路,並且第一至第四多個有源區域和多個閘極區域的第二部分被佈置為去耦電容器或天線二極體中的一者。在各種實施例中,將第一部分佈置為功能電路包括保留從儲存裝置獲得的儲存單元的佈置、修改儲存單元的佈置、或在不從儲存裝置獲得儲存單元的情況下執行佈置。
將單元的第一至第四多個有源區域和多個閘極區域的第一部分佈置為功能電路,以及將第一至第四多個有源區域和多個閘極區域的第二部分佈置為去耦電容器或天線二極體中的一者包括將第一至第四多個有源區域AA1-AA4和多個閘極區域/結構G1-G4的第一部分以及電源軌VSS和VDD佈置為部分200P1,以及將第一至第四多個有源區域AA1-AA4和多個閘極區域/結構G1-G4的第二部分以及金屬區域/段M02和過孔區域/結構VG佈置為部分200P2,如上面關於第2B圖所討論的,或者將第一至第四多個有源區域AA1-AA4和多個閘極區域/結構G1-G4的第二部分以及金屬區域/段M03和過孔區域/結構VD佈置為部分300P2,如上面關於第3B圖所討論的。
在一些實施例中,在操作530,將IC佈局圖儲存在儲存裝置中。在各種實施例中,將IC佈局圖儲存在儲存裝置中包括將IC佈局圖儲存在非揮發性、電腦可讀記憶體或單元庫(例如,資料庫)中、和/或包括通過網路來儲存IC佈局圖。在一些實施例中,將IC佈局圖儲存在儲存裝置中包括將IC佈局圖儲存在元件庫607中、或通過IC佈局圖生成系統600的網路614來儲存IC佈局圖,如下面關於第6圖所討論的。
在一些實施例中,在操作540,基於IC佈局圖來執行一個或多個製造操作。在一些實施例中,執行一個或多個製造操作包括基於IC佈局圖來執行一次或多次蝕刻曝光(lithographic exposure)。基於IC佈局圖來執行一個或多個製造操作,例如,一次或多次蝕刻曝光,在上面關於第4圖和下面關於第7圖進行討論。
通過執行方法500的一些或所有操作,生成與IC元件相對應的IC佈局圖,其中第一部分包括被配置為主要功能電路的特徵,例如位準偏移器,並且第二部分包括被配置為去耦電容器或天線二極體的特徵,從而獲得上面關於IC佈局/元件100至300所討論的益處。
第6圖是根據一些實施例的IC佈局圖生成系統600的方塊圖。根據一些實施例,本文描述的根據一個或多個實施例來設計IC佈局圖的方法例如使用IC佈局圖生成系統600是可實施的。
在一些實施例中,IC佈局圖生成系統600是一般的計算設備,包括硬體處理器602和非暫態電腦可讀取儲存介質604。儲存介質604被編碼有(即,儲存)電腦程式代碼606(即,一組可執行指令)。硬體處理器602對電腦程式代碼606的執行(至少部分)代表實施方法的部分或全部的EDA工具,例如,上面關於第5圖描述的生成IC佈局圖的方法500和/或上面關於第7圖描述的IC製造系統700(下文中,提到的過程和/或方法)。
處理器602通過匯流排608電性耦合到電腦可讀取儲存介質604。處理器602還通過匯流排608電性耦合到I/O介面610。網路介面612還通過匯流排608電性連接到處理器602。網路介面612連接到網路614,使得處理器602和電腦可讀取儲存介質604能夠通過網路614連接到外部元件。處理器602被配置為執行編碼在電腦可讀取儲存介質604中的電腦程式代碼606,以使IC佈局圖生成系統600可用於執行部分或全部所述過程和/或方法。在一個或多個實施例中,處理器602是中央處理單元(CPU)、多處理器、分散式處理系統、專用積體電路(application specific integrated circuit;ASIC)和/或合適的處理單元。
在一個或多個實施例中,電腦可讀取儲存介質604是電子、磁性、光學、電磁、紅外和/或半導體系統(或者裝置或元件)。例如,電腦可讀取儲存介質604包括半導體或固態記憶體、磁帶、可移動電腦磁片、動態隨機存取記憶體(RAM)、唯讀記憶體(ROM)、剛性磁片、和/或光碟。在使用光碟的一個或多個實施例中,電腦可讀取儲存介質604包括光碟唯讀記憶體(CD-ROM)、光碟讀取/寫入(CD-R/W)和/或數位多功能光碟(DVD)。
在一個或多個實施例中,電腦可讀取儲存介質604儲存電腦程式代碼606,該電腦程式代碼606被配置為使得IC佈局圖生成系統600(其中這種執行(至少部分)代表EDA工具)可用於執行部分或全部所述過程和/或方法。在一個或多個實施例中,電腦可讀取儲存介質604還儲存有利於執行部分或全部所述過程和/或方法的資訊。在一個或多個實施例中,電腦可讀取儲存介質604儲存單元的元件庫607,包括如本文所揭示的此類單元,例如上面關於第1圖至第5圖討論的單元/元件110-130、200和300。
IC佈局圖生成系統600包括I/O介面610。I/O介面610耦合到外部電路。在一個或多個實施例中,I/O介面610包括鍵盤、小鍵盤、滑鼠、軌跡球、軌跡板、觸控式螢幕和/或游標方向鍵,以用於向處理器602傳送資訊和命令。
IC佈局圖生成系統600還包括耦合到處理器602的網路介面612。網路介面612允許IC佈局圖生成系統600與一個或多個其他電腦系統所連接的網路614通信。網路介面612包括:無線網路介面,例如藍牙、WIFI、WIMAX、GPRS或WCDMA;或有線網路介面,例如乙太網、USB或IEEE-1364。在一個或多個實施例中,在兩個或更多個IC佈局圖生成系統600中實施部分或全部所述過程和/或方法。
IC佈局圖生成系統600被配置為通過I/O介面610來接收資訊。通過I/O介面610接收的資訊包括以下項中的一項或多項:指令、資料、設計規則、標準單元庫和/或其他供處理器602處理的參數。資訊通過匯流排608傳送到處理器602。IC佈局圖生成系統600被配置為通過I/O介面610來接收與UI相關的資訊。該資訊作為使用者介面(user interface;UI)642儲存在電腦可讀介質(儲存介質604)中。
在一些實施例中,部分或全部所述過程和/或方法被實現為供處理器執行的獨立軟體應用。在一些實施例中,部分或全部所述過程和/或方法被實現為作為附加軟體應用的一部分的軟體應用。在一些實施例中,部分或全部所述過程和/或方法被實現為軟體應用的外掛程式。在一些實施例中,至少一個所述過程和/或方法被實現為作為EDA工具的一部分的軟體應用。在一些實施例中,部分或全部所述過程和/或方法被實現為由IC佈局圖生成系統600使用的軟體應用。在一些實施例中,使用可從CADENCE DESIGN SYSTEMS公司獲得的諸如VIRTUOSO®之類的工具或另一合適的佈局生成工具來生成包括標準單元的佈局圖。
在一些實施例中,這些過程被實現為儲存在非暫態電腦可讀記錄媒體中的程式的功能。非暫態電腦可讀記錄媒體的示例包括但不限於外部/可移動和/或內部/內置的儲存裝置或記憶體單元,例如以下項中的一項或多項:光碟(例如,DVD)、磁片(例如,硬碟)、半導體記憶體(例如,ROM、RAM)、記憶體卡等。
第7圖是根據一些實施例的IC製造系統700以及與其相關聯的IC製造流程的方塊圖。在一些實施例中,基於IC佈局圖,使用IC製造系統700來製造以下項中的至少一項:(A)一個或多個半導體遮罩或(B)半導體積體電路的層中的至少一個元件。
在第7圖中,IC製造系統700包括在與製造IC元件760有關的設計、開發、以及製造週期和/或服務中彼此交互的實體,例如,設計公司720、遮罩公司730以及IC生產商(製造者/製造商)750。IC製造系統700中的實體通過通信網路進行連接。在一些實施例中,通信網路是單個網路。在一些實施例中,通信網路是各種不同的網路,例如,內聯網和互聯網。該通信網路包括有線和/或無線通訊通道。每個實體與一個或多個其他實體交互,並且向一個或多個其他實體提供服務和/或從一個或多個其他實體接收服務。在一些實施例中,設計公司720、遮罩公司730和IC生產商750中的兩者或更多者由單個較大公司擁有。在一些實施例中,設計公司720、遮罩公司730和IC生產商750中的兩者或更多者共存於一公共設施中並使用公共資源。
設計公司(或設計團隊)720生成IC設計佈局圖722。IC設計佈局圖722包括各種幾何圖案,例如上面關於第1圖至第5圖討論的單元/元件110-130、200和/或300、和/或IC佈局/元件100。幾何圖案對應於構成要製造的IC元件760的各個元件的金屬、氧化物或半導體層的圖案。各個層進行組合以形成各種IC特徵。例如,IC設計佈局圖722的一部分包括要形成在半導體基板(例如,矽晶圓)以及設置在半導體基板上的各個材料層中的各種IC特徵,例如,有源區域、閘極電極、源極和汲極、層間互連的金屬線或過孔以及用於接合焊墊的開口。設計公司720實現了適當設計程式以形成IC設計佈局圖722。設計程式包括邏輯設計、物理設計或佈局和佈線中的一個或多個。IC設計佈局圖722呈現在具有幾何圖案資訊的一個或多個資料檔案中。例如,IC設計佈局圖722可以用GDSII檔案格式或DFII檔案格式來表示。
遮罩公司730包括資料準備732和遮罩製造744。遮罩公司730使用IC設計佈局圖722來製造一個或多個遮罩745,以用於根據IC設計佈局圖722來製造IC元件760的各個層。遮罩公司730執行遮罩資料準備732,其中IC設計佈局圖722被轉換為代表性資料檔案(representative data file;RDF)。遮罩資料準備732向遮罩製造744提供RDF。遮罩製造744包括遮罩寫入器。遮罩寫入器將RDF轉換為基板上的圖像,例如,遮罩(刻線(reticle))745或半導體晶圓753。IC設計佈局圖722由遮罩資料準備732操縱,以符合遮罩寫入器的特定特性和/或IC生產商750的要求。在第7圖中,遮罩資料準備732和遮罩製造744被示出為單獨的元素。在一些實施例中,遮罩資料準備732和遮罩製造744可以被統稱為遮罩資料準備。
在一些實施例中,遮罩資料準備732包括光學鄰近校正(optical proximity correction;OPC),其使用微影增強技術來補償圖像誤差,例如,可能由繞射、干涉以及其他製程效果等引起的那些誤差。OPC調整IC設計佈局圖722。在一些實施例中,遮罩資料準備732還包括解析度增強技術(resolution enhancement technique;RET),例如,離軸照明(off-axis illumination)、亞解析度輔助特徵(sub-resolution assist feature)、相移遮罩、其他合適的技術等或前述項的組合。在一些實施例中,還使用了將OPC視為逆成像問題的逆向微影技術(inverse lithography technology;ILT)。
在一些實施例中,遮罩資料準備732包括遮罩規則檢查器(mask rule checker;MRC),其利用一組遮罩創建規則來檢查已經在OPC中進行處理的IC設計佈局圖722,該組遮罩創建規則包含某些幾何和/或連線性限制以確保足夠的餘量,以解釋半導體製造製程的可變性等。在一些實施例中,MRC修改IC設計佈局圖722以補償遮罩製造744期間的限制,其可以撤銷OPC所執行的部分修改以便滿足遮罩創建規則。
在一些實施例中,遮罩資料準備732包括微影製程檢查(lithography process checking;LPC),其模擬將由IC生產商750實施以製造IC元件760的處理。LPC基於IC設計佈局圖722來模擬此處理,以創建經模擬製造的元件,例如,IC元件760。LPC模擬中的製程參數可以包括與IC製造週期的各種製程相關聯的參數、與用於製造IC的工具相關聯的參數、和/或製造製程的其他方面。LPC考慮了各種因素,例如,航空圖像對比度、焦點景深(depth of focus;DOF)、遮罩誤差增強因數(mask error enhancement factor ;MEEF)、其他合適的因素等或前述項的組合。在一些實施例中,在由LPC創建了經模擬製造的元件之後,如果經模擬元件的形狀不足以滿足設計規則,則重複OPC和/或MRC以進一步改進IC設計佈局圖722。
應當理解,出於清楚的目的,對遮罩資料準備732的上述描述進行了簡化。在一些實施例中,資料準備732包括諸如邏輯操作(logic operation;LOP)之類的附加特徵,以根據製造規則來修改IC設計佈局圖722。此外,在資料準備732期間應用於IC設計佈局圖722的製程可以以各種不同的順序執行。
在遮罩資料準備732之後和遮罩製造744期間,基於經修改的IC設計佈局圖722來製造遮罩745或一組遮罩745。在一些實施例中,遮罩製造744包括基於IC設計佈局圖722來執行一次或多次蝕刻曝光。在一些實施例中,基於經修改的IC設計佈局圖722,電子束(e-beam)或多個電子束的機制用於在遮罩(光罩或刻線)745上形成圖案。遮罩745可以以各種技術形成。在一些實施例中,使用二進位技術形成遮罩745。在一些實施例中,遮罩圖案包括不透明區域和透明區域。用於曝光已經塗覆在晶圓上的圖像敏感材料層(例如,光致抗蝕劑)的輻射束,例如紫外(ultraviolet;UV)或EUV束,被不透明區域阻擋並傳輸到透明區域。在一個示例中,遮罩745的二元遮罩版本包括透明基板(例如,熔融石英)和塗覆在二元遮罩的不透明區域中的不透明材料(例如,鉻)。在另一示例中,使用相移技術形成遮罩745。在遮罩745的相移遮罩(phase shift mask;PSM)版本中,形成在相移遮罩上的圖案中的各種特徵被配置為具有適當的相位差以增強解析度和成像品質。在各種示例中,相移遮罩可以是衰減的PSM或交替的PSM。由遮罩製造744生成的(一個或多個)遮罩被用於各種製程。例如,在離子佈植製程中使用這樣的(一個或多個)遮罩,以在半導體晶圓753中形成各種摻雜區域,在蝕刻製程中使用這樣的(一個或多個)遮罩,以在半導體晶圓753中形成各種蝕刻區域,和/或在其他合適的製程中使用這樣的(一個或多個)遮罩。
IC生產商750是包括用於製造各種不同的IC產品的一個或多個製造設施的IC製造企業。在一些實施例中,IC生產商750是半導體代工廠。例如,可以存在用於多個IC產品的前端製造的製造設施(前段制程(front-end-of-line;FEOL)製造),而第二製造設施可以提供用於IC產品的互連和封裝的後端製造(後段制程(back-end-of-line;BEOL)製造),並且第三製造設施可以為代工廠企業提供其他服務。
IC生產商750包括晶圓製造工具752,晶圓製造工具752被配置為在半導體晶圓753上執行各種製造操作,從而根據(一個或多個)遮罩(例如,遮罩745)來製造IC元件760。在各種實施例中,製造工具752包括以下項中的一項或多項:晶圓步進器、離子注入機、光阻塗佈機、製程腔室(例如,CVD室或LPCVD爐)、CMP系統、等離子體蝕刻系統、晶圓清潔系統、或如本文所討論的能夠執行一個或多個合適的製造製程的其他製造設備。
IC生產商750使用由遮罩公司730製造的(一個或多個)遮罩745來製造IC元件760。因此,IC生產商750至少間接地使用IC設計佈局圖722來製造IC元件760。在一些實施例中,半導體晶圓753由IC生產商750使用(一個或多個)遮罩745製造以形成IC元件760。在一些實施例中,IC製造包括至少間接地基於IC設計佈局圖722執行一次或多次蝕刻曝光。半導體晶圓753包括矽基板或具有形成在其上的材料層的其他適當基板。半導體晶圓753還包括各種摻雜區域、介電特徵、多級(multilevel)互連等(在隨後的製造步驟中形成)中的一者或多者。
關於IC製造系統(例如,第7圖的IC製造系統700)以及與之相關聯的IC製造流程的細節在例如以下專利文獻中找到:2016年2月9日授權的美國專利No.9,256,709;2015年10月1日公開的美國預授權公開No.20150278429;2014年2月6日公開的美國預授權公開No.20140040838;以及2007年8月21日授權的美國專利No.7,260,442,這些專利文獻中的每一個的全部內容通過引用併入本文。
在一些實施例中,一種IC元件包括:在第一方向上延伸的第一電源軌和第二電源軌,其中,第一電源軌和第二電源軌中的每一個被配置為傳送電源參考電壓,在第一電源軌和第二電源軌之間沿第一方向延伸的第三電源軌,其中,第三電源軌被配置為傳送電源電壓,在垂直於第一方向的第二方向上延伸的多個閘極結構,其中,多個閘極結構中的第一末端閘極結構和第二末端閘極結構中的每一個從第一電源軌下面的第一端點連續地延伸到第二電源軌下面的第二端點,以及在多個閘極結構中的第一末端閘極結構和第二末端閘極結構之間沿第一方向延伸的第一多個有源區域至第四多個有源區域,第一多個有源區域至第四多個有源區域中的每一個的有源區域在第一方向上對齊。多個閘極結構和第一多個有源區域至第四多個有源區域的第一部分被配置為功能電路,並且多個閘極結構和第一多個有源區域至第四多個有源區域的第二部分被配置為去耦電容器或天線二極體中的一者。
在一些實施例中,一種IC元件包括:在第一方向上延伸的多個閘極結構,其中,多個閘極結構中的第一末端閘極結構和第二末端閘極結構中的每一個從第一端點連續地延伸到第二端點,在垂直於第一方向的第二方向上延伸的第一電源軌和第二電源軌,其中,第一電源軌和第二電源軌中的每一個被配置為傳送電源參考電壓,第一電源軌覆蓋多個閘極結構中的第一末端閘極結構和第二末端閘極結構中的每一個的第一端點,並且第二電源軌覆蓋多個閘極結構中的第一末端閘極結構和第二末端閘極結構中的每一個的第二端點,在第一電源軌和第二電源軌之間沿第二方向延伸的第三電源軌,其中,第三電源軌被配置為傳送電源電壓,以及在多個閘極結構中的第一末端閘極結構和第二末端閘極結構之間沿第二方向延伸的第一多個有源區域至第四多個有源區域,第一多個有源區域至第四多個有源區域中的每一個的有源區域在第二方向上對齊。多個閘極結構、第一多個有源區域中的有源區域的第一子集的第一部分以及第二多個有源區域至第四多個有源區域中的每一個的整體被配置為位準偏移器,並且多個閘極結構和第一多個有源區域中的有源區域的第二子集的第二部分被配置為去耦電容器或天線二極體中的一者。
在一些實施例中,一種製造IC元件的方法包括:在半導體晶圓中,形成在第一方向上延伸的第一多個有源區域至第四多個有源區域,建構在垂直於第一方向的第二方向上延伸的多個閘極結構,其中,建構多個閘極結構包括建構從第一端點連續地延伸到第二端點的第一末端閘極結構和第二末端閘極結構中的每一個,由此第一多個有源區域至第四多個有源區域中的每一個的有源區域在第一末端閘極結構和第二末端閘極結構之間沿第一方向對齊,並且在金屬層中,通過以下方式形成在第一方向上延伸的第一電源軌至第三電源軌和導電段:用第一電源軌覆蓋第一末端閘極結構和第二末端閘極結構中的每一個的第一端點,用第二電源軌覆蓋第一末端閘極結構和第二末端閘極結構中的每一個的第二端點,在第一電源軌和第二電源軌之間延伸第三電源軌,以及在第一電源軌和第三電源軌之間延伸導電段。第一電源軌至第三電源軌、多個閘極結構的第一部分、第一多個有源區域的第一部分以及第二多個有源區域至第四多個有源區域的整體被配置為位準偏移器,並且導電段、多個閘極結構的第二部分和第一多個有源區域的第二部分被配置為去耦電容器或天線二極體中的一者。
本領域普通技術人員將容易看到,所揭示的實施例中的一個或多個實現了上述一個或多個優點。在閱讀上述說明書之後,普通技術人員將能夠實現如本文廣泛揭示的各種變化、等同物的替換和各種其他實施例。因此,此處授予的保護旨在僅由所附申請專利範圍及其等同物中包含的定義來限定。
100:IC佈局/元件 100F:區域 110:單元/元件 120:單元/元件 130:單元/元件 200:單元/元件 200P1:部分、位準偏移器 200P2:部分、去耦電容器 300:單元/元件 300P2:部分、天線二極體 400:方法 410,420,430:操作 500:方法 510,520,530,540:操作 600:IC佈局圖生成系統 602:處理器 604:儲存介質 606:電腦程式代碼 607:元件庫 608:匯流排 610:I/O介面 612:網路介面 614:網路 642:使用者介面 700:系統 720:設計公司 722:IC設計佈局圖 730:遮罩公司 732:資料準備 744:遮罩製造 745:遮罩 750:生產商 752:製造工具 753:晶圓 760:IC元件 slp,nslp,NSLP:信號 VDD,VDDL:電源電壓、電源軌 VSS:電源參考電壓、電源軌 Ib,Ibb:信號 zi:信號 IN:信號 Z:信號 T1:電晶體、去耦電容器 T2:電晶體、天線二極體 A1,A2,A3,A4,A5:有源區域 AA1,AA2,AA3,AA4:有源區域 CP1,CP2,CP3,CP4,CP5:區域 G1,G2,G3,G4:閘極區域/結構 N1,N2,N3:n井 M1,M02,M03:金屬區域/段 VD,VG,V0:過孔區域/結構 X,Y:方向
在結合附圖閱讀時,可以通過下面的具體實施方式來最佳地理解本揭示內容的各個態樣。應當注意,根據該行業的標準慣例,各種特徵不是按比例繪製的。事實上,為了討論的清楚起見,各種特徵的尺寸可能被任意地增大或減小。 第1圖是根據一些實施例的IC佈局和對應的IC元件的平面圖的圖示。 第2A圖是根據一些實施例的IC單元和對應的IC元件的示意圖。 第2B圖是根據一些實施例的IC單元和對應的IC元件的平面圖的圖示。 第3A圖是根據一些實施例的IC單元和對應的IC元件的示意圖。 第3B圖是根據一些實施例的IC單元和對應的IC元件的平面圖的圖示。 第4圖是根據一些實施例的製造IC元件的方法的流程圖。 第5圖是根據一些實施例的生成IC佈局圖的方法的流程圖。 第6圖是根據一些實施例的IC佈局圖生成系統的方塊圖。 第7圖是根據一些實施例的IC製造系統以及與其相關聯的IC製造流程的方塊圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:單元/元件
200P1:部分、位準偏移器
200P2:部分、去耦電容器
VSS:電源參考電壓、電源軌
VDD,VDDL:電源電壓、電源軌
IN:信號
Z:信號
T1:電晶體、去耦電容器
A1,A2,A3,A4,A5:有源區域
AA1,AA2,AA3,AA4:有源區域
CP1,CP2,CP3,CP4,CP5:切割閘極區域、切割多晶矽區域
G1,G2,G3,G4:閘極區域/結構
N1,N2,N3:n井
M1,M02:金屬區域/段
VG,V0:過孔區域/結構
X,Y:方向

Claims (20)

  1. 一種積體電路元件,包括: 一第一電源軌和一第二電源軌,在一第一方向上延伸,其中,該第一電源軌和該第二電源軌中的每一個被配置為傳送一電源參考電壓; 一第三電源軌,在該第一電源軌和該第二電源軌之間沿該第一方向延伸,其中,該第三電源軌被配置為傳送一電源電壓; 複數個閘極結構,在垂直於該第一方向的一第二方向上延伸,其中,該些閘極結構中的一第一末端閘極結構和一第二末端閘極結構中的每一個從該第一電源軌下面的一第一端點連續地延伸到該第二電源軌下面的一第二端點;以及 一第一多個有源區域至一第四多個有源區域,在該些閘極結構中的該第一末端閘極結構和該第二末端閘極結構之間沿該第一方向延伸,該第一多個有源區域至該第四多個有源區域中的每一個的複數個有源區域在該第一方向上對齊, 其中, 該些閘極結構和該第一多個有源區域至該第四多個有源區域的一第一部分被配置為一功能電路,並且 該些閘極結構和該第一多個有源區域至該第四多個有源區域的一第二部分被配置為一去耦電容器或一天線二極體中的一者。
  2. 如請求項1所述之積體電路元件,其中該些閘極結構和該第一多個有源區域至該第四多個有源區域的該第一部分包括該第一多個有源區域中的該些有源區域的一第一子集和該第二多個有源區域至該第四多個有源區域中的每一個的整體,並且 該些閘極結構和該第一多個有源區域至該第四多個有源區域的該第二部分包括該第一多個有源區域中的該些有源區域的一第二子集。
  3. 如請求項2所述之積體電路元件,其中該第一多個有源區域中的該些有源區域的該第一子集包括一第一有源區域和一第二有源區域,並且 該第一多個有源區域中的該些有源區域的該第二子集包括位於該第一有源區域和該第二有源區域之間的一第三有源區域。
  4. 如請求項2所述之積體電路元件,還包括一第一n井至一第三n井,其中該第二多個有源區域和該第三多個有源區域中的每一個包括位於該第一n井至該第三n井中的每一個中的至少一個有源區域。
  5. 如請求項1所述之積體電路元件,其中該些閘極結構和該第一多個有源區域至該第四多個有源區域的該第一部分包括一第一多個閘極結構部分,並且 該些閘極結構和該第一多個有源區域至該第四多個有源區域的該第二部分包括一第二多個閘極結構部分,該第二多個閘極結構部分在該第二方向上與該第一多個閘極結構部分對齊,並且與該第一多個閘極結構部分電隔離。
  6. 如請求項1所述之積體電路元件,其中該些閘極結構和該第一多個有源區域至該第四多個有源區域的該第二部分被配置為該去耦電容器,該去耦電容器包括: 一導電段,在該第一電源軌和該第三電源軌之間沿該第一方向延伸,其中,該導電段被配置為傳送該電源電壓;以及 複數個過孔結構,被配置為將該導電段耦合到該第二部分的相應的該些閘極結構。
  7. 如請求項1所述之積體電路元件,其中該些閘極結構和該第一多個有源區域至該第四多個有源區域的該第二部分被配置為該天線二極體,該天線二極體包括: 一導電段,在該第一電源軌和該第三電源軌之間沿該第一方向延伸,其中,該導電段被配置為傳送該功能電路的一輸入信號;以及 複數個過孔結構,被配置為將該導電段耦合到該第一多個有源區域中的一個或多個有源區域中的相應的複數個源極/汲極結構。
  8. 如請求項1所述之積體電路元件,其中該功能電路包括位準偏移器。
  9. 一種積體電路元件,包括: 複數個閘極結構,在第一方向上延伸,其中,該些閘極結構中的一第一末端閘極結構和一第二末端閘極結構中的每一個從一第一端點連續地延伸到一第二端點; 一第一電源軌和一第二電源軌,在垂直於該第一方向的一第二方向上延伸,其中, 該第一電源軌和該第二電源軌中的每一個被配置為傳送一電源參考電壓, 該第一電源軌覆蓋該些閘極結構中的該第一末端閘極結構和該第二末端閘極結構中的每一個的該第一端點,並且 該第二電源軌覆蓋該些閘極結構中的該第一末端閘極結構和該第二末端閘極結構中的每一個的該第二端點; 一第三電源軌,在該第一電源軌和該第二電源軌之間沿該第二方向延伸,其中,該第三電源軌被配置為傳送一電源電壓;以及 一第一多個有源區域至一第四多個有源區域,在該些閘極結構中的該第一末端閘極結構和該第二末端閘極結構之間沿該第二方向延伸,該第一多個有源區域至該第四多個有源區域中的每一個的複數個有源區域在該第二方向上對齊, 其中, 該些閘極結構、該第一多個有源區域中的該些有源區域的一第一子集的一第一部分以及該第二多個有源區域至該第四多個有源區域中的每一個的整體被配置為一位準偏移器,並且 該些閘極結構和該第一多個有源區域中的該些有源區域的一第二子集的一第二部分被配置為一去耦電容器或一天線二極體中的一者。
  10. 如請求項9所述之積體電路元件,其中該第一多個有源區域中的該些有源區域的該第二子集位於該第一多個有源區域中的該些有源區域的該第一子集中的一第一有源區域和一第二有源區域之間。
  11. 如請求項9所述之積體電路元件,其中該些閘極結構和該第一多個有源區域中的該些有源區域的該第二子集的該第二部分被配置為該去耦電容器,該去耦電容器包括: 一導電段,在該第一電源軌和該第三電源軌之間沿該第二方向延伸,其中,該導電段耦合到該第三電源軌;以及 複數個過孔結構,被配置為將該導電段耦合到該第二部分的相應的該些閘極結構。
  12. 如請求項11所述之積體電路元件,其中該第二部分的相應的該些閘極結構中的每一個閘極結構: 覆蓋該第一多個有源區域中的該些有源區域的該第二子集中的一有源區域,並且 在該第一方向上與該些閘極結構的該第一部分中的一閘極結構對齊並且與該些閘極結構的該第一部分中的該閘極結構電隔離。
  13. 如請求項9所述之積體電路元件,其中該些閘極結構和該第一多個有源區域中的該些有源區域的該第二子集的該第二部分被配置為該天線二極體,該天線二極體包括: 一導電段,在該第一電源軌和該第三電源軌之間沿該第二方向延伸,其中,該導電段耦合到該位準偏移器的一輸入引腳;以及 複數個過孔結構,被配置為將該導電段耦合到該第一多個有源區域中的該些有源區域的該第二子集中的一個或多個有源區域中的相應的複數個源極/汲極結構。
  14. 如請求項13所述之積體電路元件,其中該些源極/汲極結構與該第二部分的相應的該些閘極結構相鄰,並且 每個相應的該閘極結構在該第一方向上與該些閘極結構的該第一部分中的一閘極結構對齊並且與該些閘極結構的該第一部分中的該閘極結構電隔離。
  15. 如請求項9所述之積體電路元件,其中, 該些閘極結構是第一多個閘極結構, 該位準偏移器是一第一位準偏移器, 該去耦電容器或該天線二極體中的一者是該去耦電容器或該天線二極體中的第一個, 該積體電路元件還包括一第二多個閘極結構以及一第五多個有源區域至一第八多個有源區域, 該第二多個閘極結構、該第五多個有源區域中的複數個有源區域的一第一子集的一第一部分以及該第六多個有源區域至該第八多個有源區域中的每一個的整體被配置為一第二位準偏移器,並且 該第二多個閘極結構和該第五多個有源區域中的複數個有源區域的一第二子集的一第二部分被配置為該去耦電容器或該天線二極體中的第二個。
  16. 一種製造積體電路元件的方法,包括: 在一半導體晶圓中,形成在一第一方向上延伸的一第一多個有源區域至一第四多個有源區域, 建構在垂直於該第一方向的一第二方向上延伸的複數個個閘極結構,其中,建構該些閘極結構包括: 建構從一第一端點連續地延伸到一第二端點的一第一末端閘極結構和一第二末端閘極結構中的每一個,由此該第一多個有源區域至該第四多個有源區域中的每一個的複數個有源區域在該第一末端閘極結構和該第二末端閘極結構之間沿該第一方向對齊;並且 在一金屬層中,通過以下方式形成在該第一方向上延伸的一第一電源軌至一第三電源軌和一導電段:用該第一電源軌覆蓋該第一末端閘極結構和該第二末端閘極結構中的每一個的該第一端點,用該第二電源軌覆蓋該第一末端閘極結構和該第二末端閘極結構中的每一個的該第二端點,在該第一電源軌和該第二電源軌之間延伸該第三電源軌,以及在該第一電源軌和該第三電源軌之間延伸該導電段, 其中, 該第一電源軌至該第三電源軌、該些閘極結構的一第一部分、該第一多個有源區域的一第一部分以及該第二多個有源區域至該第四多個有源區域的一整體被配置為一位準偏移器,並且 該導電段、該些閘極結構的一第二部分和該第一多個有源區域的一第二部分被配置為一去耦電容器或一天線二極體中的一者。
  17. 如請求項16所述之方法,其中該第一多個有源區域的該第二部分中的一有源區域在該第一多個有源區域的該第一部分中的該些有源區域之間對齊。
  18. 如請求項16所述之方法,其中該些閘極結構包括該些閘極結構的該第一部分中的該些閘極結構與該些閘極結構的該第二部分中的該些閘極結構之間的複數個不連續點。
  19. 如請求項16所述之方法,其中形成該第一電源軌至該第三電源軌和該導電段包括通過以下方式來配置該去耦電容器: 在該些閘極結構的該第二部分中的該些閘極結構和該導電段之間建構複數個過孔;以及 在該導電段和該第三電源軌之間形成一電連接。
  20. 如請求項16所述之方法,其中形成該第一電源軌至該第三電源軌和該導電段包括通過以下方式來配置該天線二極體: 在該第一多個有源區域的該第二部分中的該些有源區域中的複數個源極/汲極結構和該導電段之間建構複數個過孔;以及 在該導電段和該位準偏移器的一信號節點之間形成一電連接。
TW112119043A 2022-05-27 2023-05-23 積體電路元件及製造積體電路元件的方法 TW202401748A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN202210585532.0A CN116913859A (zh) 2022-05-27 2022-05-27 组合功能ic单元器件、布局和方法
CN202210585532.0 2022-05-27

Publications (1)

Publication Number Publication Date
TW202401748A true TW202401748A (zh) 2024-01-01

Family

ID=88242446

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112119043A TW202401748A (zh) 2022-05-27 2023-05-23 積體電路元件及製造積體電路元件的方法

Country Status (3)

Country Link
US (2) US11784646B1 (zh)
CN (1) CN116913859A (zh)
TW (1) TW202401748A (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US9465906B2 (en) 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing
US10380315B2 (en) * 2016-09-15 2019-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of forming an integrated circuit

Also Published As

Publication number Publication date
CN116913859A (zh) 2023-10-20
US11784646B1 (en) 2023-10-10
US20240030921A1 (en) 2024-01-25

Similar Documents

Publication Publication Date Title
US11688731B2 (en) Integrated circuit device and method
US20220384274A1 (en) Method and system for manufacturing integrated circuit device
US20240088129A1 (en) Integrated circuit device
KR102459561B1 (ko) 집적 회로 디바이스 및 방법
US20240022252A1 (en) Level shifting circuit manufacturing method
US20230261002A1 (en) Ic logic device, layout, system, and method
US20230067734A1 (en) Integrated circuit device, method and system
US20210343744A1 (en) Integrated circuit, system and method of forming the same
US11784646B1 (en) Combined function IC cell device, layout, and method
US11699015B2 (en) Circuit arrangements having reduced dependency on layout environment
US11843382B2 (en) Level shifter circuit and method of operating the same
US11671084B2 (en) Decoupling capacitor circuits
US11855070B2 (en) Semiconductor device, method of and system for manufacturing semiconductor device
US20230420369A1 (en) Integrated circuit device and manufacturing method
US20230387011A1 (en) First metal structure, layout, and method
US20230290781A1 (en) Semiconductor device with common deep n-well for different voltage domains and method of forming same
US20230022333A1 (en) Integrated circuit and method of forming the same
US20230386998A1 (en) Source/drain isolation structure, layout, and method
US20220367460A1 (en) Hybrid cell-based device, layout, and method