TW202349439A - 用於基板處理系統的rf總成 - Google Patents

用於基板處理系統的rf總成 Download PDF

Info

Publication number
TW202349439A
TW202349439A TW112105746A TW112105746A TW202349439A TW 202349439 A TW202349439 A TW 202349439A TW 112105746 A TW112105746 A TW 112105746A TW 112105746 A TW112105746 A TW 112105746A TW 202349439 A TW202349439 A TW 202349439A
Authority
TW
Taiwan
Prior art keywords
radio frequency
inductor
strip
connector
capacitor
Prior art date
Application number
TW112105746A
Other languages
English (en)
Inventor
麥高 班傑明 瓦斯奎斯
大衛 弗倫奇
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202349439A publication Critical patent/TW202349439A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F17/00Fixed inductances of the signal type 
    • H01F17/02Fixed inductances of the signal type  without magnetic core
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F27/00Details of transformers or inductances, in general
    • H01F27/28Coils; Windings; Conductive connections
    • H01F27/2847Sheets; Strips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Transmitters (AREA)

Abstract

一種用於基板處理系統的射頻匹配電路之電感帶,包括第一端和第二端,其中該第一端和該第二端之各者包括相應的一連接器接線片,配置以連接至一相應電容器的一終端、一電感線圈,設置在該第一端和該第二端之間、及一中間部分,設置在該電感線圈與該第一端和該第二端之其中一者之間。該中間部分包含平面的一連接板,該連接板配置以將該電感帶耦合至一射頻外殼的一表面,該射頻外殼容納該射頻匹配電路。

Description

用於基板處理系統的RF總成
本揭露係關於用於基板處理系統的射頻(RF)總成。
[共同申請案之交互參照]本申請案主張美國臨時專利申請案第63/313,295號(申請於2022年2月24日)的優先權,該申請案之整體揭露內容乃藉由參考文獻方式合併於此。
此處所提供之先前技術說明係為了大體上介紹本揭露內容之背景。在此先前技術章節所敘述之範圍內之本案列名之發明人的成果、以及在申請時不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本揭露內容之先前技術。
基板處理系統或工具使用於在基板(例如半導體晶圓)上執行例如膜沉積和蝕刻的處理。例如,可執行沉積以使用化學氣相沉積(CVD)、電漿增強CVD(PECVD)、原子層沉積(ALD)、電漿增強ALD(PEALD) 、和/或其他沉積處理而沉積導電膜、介電膜、或其他類型之膜。在沉積期間,該基板係配置在基板支撐件上,並且可在一或更多處理步驟期間將一或更多前驅物氣體供應至處理腔室。在PECVD或PEALD處理中,電漿使用於在沉積期間活化在處理腔室內的化學反應。
若干處理腔室包含複數的站。每個站可包含一基板支撐件和一噴淋頭。配置機器人以將基板從一站傳送到另一站。
一種用於基板處理系統的射頻匹配電路之電感帶,包括第一端和第二端,該第一端和該第二端之各者包括相應的一連接器接線片,配置以連接至一相應電容器的一終端、一電感線圈,設置在該第一端和該第二端之間、及一中間部分,設置在該電感線圈與該第一端和該第二端之其中一者之間。該中間部分包含平面的一連接板,該連接板配置以將該電感帶耦合至一射頻外殼的一表面,該射頻外殼容納該射頻匹配電路。
在其他特徵中,該電感帶由銅組成。該第一端、該第二端、該電感線圈、及該中間部分由單一片之導電材料所形成。該電感帶在該第一端和該第二端之間具有至少一方向變化。該電感帶包括一水平部分和一垂直部分。該電感線圈和該中間部分位於該電感帶的該水平部分中。該電感線圈實質上位於由該中間部分限定的一平面下方。該中間部分包括限定在該連接板中的至少一開口。該至少一開口包括兩個細長的槽孔。
在其他特徵中,射頻匹配電路包含該電感帶,且更包含第一電容器和第二電容器。該電感帶耦合在該第一電容器和該第二電容器之間。該第一電容器具有第一位向且該第二電容器具有與該第一位向不同的第二位向。該第二位向垂直於該第一位向。該電感帶的一水平部分耦合至該第一電容器,且該電感帶的一垂直部分耦合至該第二電容器。一支撐棒,耦合至該中間部分和該表面。一電感元件、一電阻元件、一電容元件、和一絕緣元件之至少一者,耦合至在該支撐棒的一位置處之該中間部分。該表面為該射頻外殼的一頂板之下表面。
一種射頻外殼包含該射頻匹配電路及一頂板。該電感帶的該中間部分藉由一支撐棒耦合至該頂板之下表面。該射頻外殼更包含複數的該射頻匹配電路,其中該等射頻匹配電路之各者配置為將一射頻信號輸出至安裝在該頂板之上表面上的相應之一射頻連接器總成。該射頻連接器總成包括一直角連接器和部分地包圍該直角連接器的一連接器支架。該連接器支架包括耦合至該頂板之該上表面的一安裝基座、及配置為將該直角連接器保持在該連接器支架內的一連接器外殼。
一種用於基板處理系統的射頻外殼,該射頻外殼包括一頂板及安裝在該頂板之下表面複數射頻匹配電路。該複數射頻匹配電路之各者包括第一電容器和第二電容器,及耦合在該第一電容器與該第二電容器之相應終端之間的一電感帶。該電感帶包括一電感線圈和一中間部分。該中間部分包括配置成將該電感帶耦合至該頂板之該下表面的一平面連接板。一絕緣支撐棒,其將該電感帶的該中間部分耦合至該頂板之該下表面。複數射頻連接器總成,安裝在該頂板之一上表面上並耦合至該射頻匹配電路之相應者。該等射頻連接器總成之各者包括一直角連接器和部分地包圍該直角連接器的一連接器支架。
透過實施方式、申請專利範圍及圖式,本揭露內容之其它應用領域將變得顯而易見。實施方式及特定範例僅用於說明之目的,其用意不在於限制揭示內容之範圍。
基板處理系統可包含一或更多射頻(RF)總成,該等總成包含RF產生器和相關聯的構件,例如調節和/或匹配電路或總成、封裝RF濾波器的濾波器模組(例如,濾波器盒)、匹配和/或調節電路等。在若干範例中,RF總成構件可配置於處理工具的壁部上或附近和/或與處理工具的壁部整合。例如,RF總成構件鄰近處理工具的第一表面(例如,頂表面或上表面)或第二表面(例如,底表面或下表面)而設置。
在若干範例中,用於複數處理站(例如,四站處理工具中的四個處理站)的RF總成構件容納在處理工具下方的RF外殼中。RF總成構件之間的連接(例如,用於RF輸出連接的纜線)穿過RF外殼的頂板或蓋以連接到處理站的對應輸入。
在依據本揭露的基板處理系統和方法中,用於RF總成的RF連接器總成被配置為在RF產生器和耦合到相應處理站的RF纜線之間提供安全介面。例如,RF連接器總成包含安裝在RF外殼的頂板上的連接器支架。連接器支架配置為容納直角連接器,該直角連接器將RF纜線耦合到RF產生器。連接器可包括一或更多切口,該等切口被配置為容納直角連接器和/或RF纜線連接器。透過此方式,連接器支架減輕了RF纜線上的壓力並改進了連接器佈局。
在若干範例中,RF連接器總成耦合到RF外殼內的RF匹配電路。RF匹配電路包括耦合在RF匹配電路的電容器之間的電感帶。該電感帶包含位於電感器(例如,電感帶的電感線圈)和電容器之一者之間的平面中間部分。該中間部分被配置為提供剛性附接點以將電感帶固定附接至RF外殼(例如,RF外殼的頂板)。在一範例中,中間部分使用剛性絕緣體結構附接到RF外殼的頂板,例如包括非晶熱塑性聚醚醯亞胺(PEI)材料的絕緣棒。
圖1顯示包括複數站102-1、102-2、102-3、和102-4(統稱為站102)的基板處理系統或工具100的平面圖。雖然僅作為範例而示出四個站102,但處理腔室100可包括任何數量的站。站102分別包括台座104-1、104-2、104-3、和104-4(統稱為台座104)。在若干範例中,台座104被配置成由相應的台座升降總成來移動(例如,升高和降低)。機器人106配置在主軸108上以在站102之間傳送基板。通常位於處理腔室100外部的控制器110(並且因此以虛線顯示)控制機器人106和台座升降總成。
RF外殼114設置在基板處理工具100下方。RF外殼114容納用於站102中的相應站之RF總成構件(例如,高頻(HF)電源和相關構件,未於圖1顯示)。RF總成構件之間的連接(例如,用於RF輸出連接的纜線)通過RF外殼114的頂板118佈線以連接到站102的對應輸入(例如,RF輸入)。根據本公開的RF外殼114包括安裝在頂板118上的RF連接器總成和連接器支架,如下面更詳細地描述的。
圖2A和2B顯示RF外殼200和頂板204的簡化範例。僅作為範例,RF外殼200為八角形。或者,RF外殼200可具有任何其他形狀。RF外殼200容納RF總成208(例如,示意性地示出)和相關構件,例如,RF產生器、調節或匹配以及濾波器電路。例如,RF總成208可實現匹配電路,該匹配電路被配置為控制提供給站102的RF電壓。匹配電路可包括可變或固定阻抗、電容等。
在若干範例中,RF總成208經由安裝在頂板204上的相應連接器總成212向站102輸出RF信號。例如,信號從RF總成208通過頂板204(例如,使用相應的纜線)佈線到連接器總成212,連接器總成212又連接到站102的RF輸入端。例如,對於四站處理工具,四個連接器總成212(212-1、212-2、212-3、212-4)如圖所示安裝在頂板204上。
根據本揭露的連接器總成 212定向為優化纜線佈線,縮短連接器總成212和站102之間的纜線長度,並減輕纜線連接上的應力。一或更多連接器總成212定向為從RF外殼200的中心y軸旋轉大約45度(例如,在40和50度之間),其中連接器端指向相對於RF外殼200的中心之向外的方向。如圖所示,三個連接器總成212(例如,212-1、212-2、和212-3)相對於y軸具有相同的位向(例如,45度)並且指向外(即,向外朝向RF外殼200最近的外邊緣)。相反地,連接器總成212-4相對於y軸具有不同的位向(例如,15度)。此外,連接器總成212-4指向內部(即,向內並遠離RF外殼200最近的外邊緣) 。
在圖3A、3B、和3C中更詳細地示出根據本揭露的例示RF連接器總成300。例如,RF連接器總成300包括安裝在RF外殼200的頂板204上的連接器支架304。連接器支架304可由焊接金屬板構成。在一範例中,連接器支架304包括具有突片312的安裝基座308。連接器支架304用穿過突片312插入的螺釘316(例如,自鉚金屬板螺釘)固定到頂板204。
連接器支架304還包含連接器外殼320,連接器外殼320耦合(例如,焊接)到安裝基座308或與其一體成形。連接器外殼320被配置為將直角連接器324(例如,RF輸出連接器)保持、對齊、和支撐在連接器支架304內。例如,直角連接器324包括從頂板204向上延伸的垂直部分328和從垂直部分328向外延伸的水平部分332。垂直部分328垂直於頂板204。水平部分332垂直於垂直部分328並平行於頂板204。僅作為範例,垂直部分328包括安裝法蘭336,用於將直角連接器緊固至頂板204。
連接器外殼320可包括設置在直角連接器324的水平部分332下方的支撐板340。作為範例,支撐板340具有配置成容納水平部分332的凸緣344(例如,切口)。在若干範例中,支撐板340是可移除的(即,可從連接器外殼320的主體移除)。以此種方式,在移除支撐板340的情況下,連接器支架304可被移除和/或更換而不需移除及斷開相應的RF纜線346。相反地,在安裝了支撐板340的情況下,連接器支架304被保持在直角連接器324和RF纜線346上。
連接器支架304可包括一或更多切口,該等切口被配置為容納和/或便於接近直角連接器324(例如,用於連接和斷開RF纜線)。例如,連接器外殼320的上表面或壁部可包括第一切口348(例如,凸切口),其被配置為允許接近直角連接器324的水平部分332。相反,第二切口352(例如,矩形切口)限定在連接器外殼320和安裝基座308之間的連接器支架304的後側壁中,該第二切口352被配置以容納直角連接器324的外角356。外角356對應於垂直部分328和水平部分332之間的介面或接合處。換言之,直角連接器324的外角356可部分地延伸穿過第二切口352。切口348和352有助於在已安裝直角連接器324的情況下安裝和移除連接器支架304。
在若干範例中,連接器支架304包含連接感測器360。例如,連接感測器360是設置在安裝基座308上的矩形磁感測器。連接感測器360配置為在頂板204的相反側上與在RF外殼200內的相應感測器364接口(例如,磁通訊)。例如,感測器364被配置為檢測連接感測器360的存在或不存在。以此方式,感測器364可產生指示連接器支架304是否被安裝的信號。
現在參照圖4A和4B,例示RF總成400(例如,容納在RF外殼200內的RF總成)包括一或更多RF產生器404和RF匹配電路408。儘管只示出一個RF產生器404,但RF總成400可包括複數RF產生器(例如,每個對應於不同的站102)。RF產生器404配置為通過RF匹配電路408生成RF電壓並將其輸出到站102中的相應者。
如圖4B所示,每個RF匹配電路408包括相應的匹配網路412,該匹配網路412配置為調節從RF產生器404接收的RF電壓。匹配網路412配置有針對特定站、處理等而調節的阻抗。匹配網路412可配置為具有固定和/或可變的阻抗。例如,匹配網路412可包括配置為提供期望阻抗的複數構件。
在一範例中,匹配網路412包括RF輸入節點416(即,從對應的RF產生器404接收RF電壓)、包括電容器420和424以及提供在電容器420和424之間的電感器428之電感器-電容器網路、以及RF輸出節點432。例如,RF輸出節點432耦合到直角連接器324。電容器420和424之一或二者可為可調電容器。
根據本揭露的匹配網路412包括耦合在電容器420和424之間的電感帶436,如下面所更詳細描述的。電感帶436包括電感器428和設置在電感器428與電容器420和424之一者之間的中間部分440。中間部分440使用剛性結構附接到RF外殼200的頂板204(例如,顯示為接地終端),例如包括非晶熱塑性聚醚醯亞胺(PEI)材料的絕緣棒,示意性地顯示在444處。
在圖5A和5B示出在RF匹配電路中耦合在電容器504和508之間的例示電感帶500。電容器504和508中之一或兩者可為可調的。電感帶5​​00包括電感線圈512和設置在電感線圈512與電容器504和508之一者之間的平面中間部分516。平面中間部分516至少部分是平面的。例如,雖然示出在電感線圈512和電容器508之間,但是在其他實施例中,中間部分516可以設置在電感線圈512和電容器504之間。電感帶500經由相應的終端520耦合到電容器504和508。例如,電感帶500包括連接器接線片522(例如,在電感帶500的相應的第一和第二端上),其被配置成連接到終端520。在一範例中,終端520延伸穿過連接器接線片522中的開口。
電感帶500是剛性的(即,電感帶500不是柔性纜線)。例如,電感帶500是由剛性導電板(例如,銅板)形成的單一整體件。中間部分516由連接板組成,該連接板提供剛性連接點以將電感帶500固定連接到RF外殼200(例如,頂板204的下表面524,在圖5A和圖5B中倒置顯示)。雖然顯示為大致上矩形,但中間部分516可具有其他合適的形狀(例如,梯形、多邊形、三角形、菱形、圓形等)。如圖所示,電感線圈512基本上(例如,80%或更多)位於由中間部分516限定的平面下方。
在一範例中,中間部分516使用剛性支撐結構(例如,絕緣支撐棒528,例如包括非晶熱塑性聚醚醯亞胺(PEI)材料的絕緣棒)附接到頂板204的下表面524。中間部分516可比電感線圈512、連接部分530等寬,以提供足夠的表面積用於連接到支撐棒528。例如,電感帶500從電感線圈512和連接部分530向外張開以限定中間部分516。支撐棒528使用緊固件532(例如,黃銅支架)耦合到中間部分516並耦合到頂板204的下表面524。支撐棒528防止電感帶500在操作過程中移動(例如,因無意地接觸電感帶500而引起的移動、因電感帶500的溫度波動引起的移動和/或由其他構件引起的熱膨脹等)。
儘管顯示為只連接到支撐棒528,但在其他範例中,中間部分516可連接到RF外殼200內的一或更多其他構件。由於支撐棒528為電感帶500提供剛性結構支撐,因此中間部分516可配置為支撐多個其他機械和/或機電連接。作為一範例,另一(例如,第二)電感帶可在中間部分516處耦合到電感帶500。例如,第二電感帶的一端可耦合到相同的緊固件532或不同的緊固件。可耦合到中間部分516的其他例示組件包括(但不限於)電感元件、電阻元件、電容元件和絕緣元件。
如圖5A所示,電感帶500通常是線性的(例如,在水平方向上)。例如,電容器504和508可具有相同的垂直位向(例如,垂直於頂板204)和高度,並且電感帶500可直接耦合在相應的終端520之間。在其他配置中,電容器504和508可不具有相同的位向和/或高度。例如,如圖5B所示,電感帶500可被配置為耦合到垂直配置的電容器504和水平配置(即,垂直於電容器504)的電容器508。
在此範例中,電感帶500具有至少一個彎曲或方向變化並且包含水平部分536和垂直部分540。水平部分536包含電感線圈512和中間部分516。在其他範例中,電感帶500具有二或更多方向變化。例如,雖然在圖5B中顯示為大致上線性的,但在其他範例中,水平部分536可具有至少一個方向變化。在一範例中,水平部分536在電容器504和電感線圈512之間具有第一方向變化,且在電感線圈512和中間部分516之間具有第二方向變化。在不同的配置中,電感線圈512和中間部分516可兩者皆位於垂直部分540中、電感線圈512和中間部分516之其中一者可位於水平部分516中而另一者位於垂直部分540中等等。在任何配置中,電感帶500包括中間部分516,中間部分516被配置成為支撐棒528提供固定附接點。
如圖5C所示,中間部分516包含開口,例如槽孔544(例如,細長槽孔),配置為接收緊固件532。槽孔544的長度大於緊固件532的直徑。因此,槽孔544被配置為適應電感帶500相對於支撐棒528的一些移動。換言之,如果電容器504和508、電感帶500、支撐棒528等之任一者的位置由於熱膨脹或其他變化而稍微偏移,槽544適應此偏移而不將移動傳遞到其他構件。
前述的實施方式在本質上僅為說明性的,且並非意旨對本揭露、其應用、或使用進行限制。本揭露內容的廣義教示得以各種形式而實施。因此,雖然本揭露內容包括特定範例,惟本揭露內容的真實範圍應當不因此而受限,原因在於在對圖式、說明書、及下列申請專利範圍進行研讀後,其他的修正將變得顯而易知。應理解,在不變更本揭露內容之原理的情況下,一方法中的一或更多的步驟得以不同順序(或同時地)執行。此外,雖然係將各實施例在上方描述成具有某些特徵,但可將對於本揭露內容之任何實施例所描述的任一或更多這些特徵實施在、及/或組合至任何其他實施例的特徵,即使該組合並未明確地描述。換言之,所描述的實施例並非為彼此互斥的,且一或更多實施例彼此的置換仍在本揭露內容的範圍內。
複數元件之間(例如,在模組、電路元件、半導體膜層之間…等)的空間與功能性關係使用諸多用語來描述,包括「連接」、「接合」、「耦合」、「相鄰」、「在…旁」、「在…的頂部」、「在…之上」、「在…之下」、以及「配置」。除非明確描述為「直接」,否則在上述揭露內容中描述第一與第二元件之間的關係時,該關係可為在第一和第二元件之間不存在其他中間元件的直接關係,亦可為一或更多中間元件存在(不論空間上或功能上)於第一和第二元件之間的非直接關係。如本文所用,片語「A、B及C其中至少一者」應解釋為表示使用非排他邏輯「或(OR)」之邏輯(「A或B或C」),而不應解釋為表示「至少一A、至少一B、及至少一C」。
在有些實施例中,控制器為系統的一部分,該系統可為上述範例之一部分。此系統可包含半導體處理設備,包括一或更多處理工具、一或更多腔室、一或更多處理平台、和/或特定處理構件(晶圓基座、氣體流動系統等)。可將這些系統與電子元件進行整合以在處理半導體晶圓或基板之前、期間、及之後控制它們的操作。所述電子元件可被稱為「控制器」,其可控制一或更多系統的各種構件或子部件。取決於處理需求和/或系統類型,可將控制器進行編程以控制本文所揭露之任何處理,包括處理氣體的輸送、溫度設定(例如,加熱和/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、定位與操作設定、與特定系統連接或接合的一工具及其他運送工具及/或負載鎖室的晶圓運送進出。
廣義而言,可將控制器定義成具有各種積體電路、邏輯、記憶體、和/或軟體的電子元件,其接收指令、發送指令、控制操作、啟用清潔操作、啟用終點測量等。所述積體電路可包括以韌體形式儲存程序指令的晶片、數位訊號處理器(DSPs)、定義為特殊應用積體電路(ASICs)的晶片、和/或執行程式指令(例如,軟體)的一或更多微處理器或微控制器。程式指令可為以各種獨立設定(或程式檔案)形式而與控制器通訊的指令,而定義出用於在半導體晶圓上、或針對半導體晶圓、或對系統執行特定處理的操作參數。在一些實施例中,操作參數可為製程工程師所定義的配方之一部分,以在將一或更多膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、和/或晶圓的晶粒之製造期間完成一或更多的處理步驟。
在有些實施例中,控制器可為電腦的一部分或耦合至電腦,該電腦係與系統整合、耦合至所述系統、或以網路連接到系統、或是其組合。例如,控制器可位於「雲端」中、或晶圓廠主電腦系統的全部或一部分中,其可允許晶圓處理的遠端存取。電腦可對系統進行遠端存取,以監控製造操作的當前進展、檢視過去製造操作的歷史、由複數製造操作檢視趨勢或性能指標、改變當前處理的參數、設定處理步驟以依循當前處理、或開始新處理。在一些範例中,遠端電腦(例如,伺服器)可通過網路向系統提供處理配方,該網路可包括區域網路或網際網路。遠端電腦可包括使用者介面,而能夠對參數和/或設定進行輸入或編程,所述參數和/或設定則接著從遠端電腦通訊至系統。在一些範例中,控制器接收數據形式的指令,該指令係指明一或更多操作期間待執行的各處理步驟所用之參數。應理解,可將所述參數特定於待執行的處理之類型以及控制器所設置以與之接合或控制的工具之類型。因此,如上所述,控制器可例如藉由包括一或更多離散控制器而進行分佈,其中所述離散控制器係彼此以網路連接且朝向共同的目的而作業,例如此處所述的處理和控制。為此目的所分佈的控制器之示例係位於腔室上的一或更多積體電路,其與遠端設置(例如,位於平台層或作為遠端電腦的一部分)的一或更多積體電路通訊,且結合以控制腔室上之處理。
不具限制地,例示系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-沖洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜角邊緣蝕刻腔室或模組、物理氣相沉積 (PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、以及可能有關於或使用於半導體晶圓之加工及/或製造中的任何其他半導體處理系統。
如前所述,取決於工具待執行的一或更多處理步驟,控制器可通訊至一或多其他工具電路或模組、其他工具構件、群集式工具、其他工具介面、相鄰工具、鄰近工具、遍布於工廠的工具、主電腦、另一控制器、或用於材料傳送中的工具,該等工具將晶圓的容器來回傳送於半導體生產工廠中的工具位置和/或裝載埠。
100:基板處理系統/工具/處理腔室 102:站 102-1:站 102-2:站 102-3:站 102-4:站 104:台座 104-1:台座 104-2:台座 104-3:台座 104-4:台座 106:機器人 108:主軸 110:控制器 114:RF外殼 118:頂板 200:RF外殼 204:頂板 208:RF總成 212:連接器總成 212-1:連接器總成 212-2:連接器總成 212-3:連接器總成 212-4:連接器總成 300:RF連接器總成 304:連接器支架 308:安裝基座 312:突片 316:螺釘 320:外殼 324:直角連接器 328:垂直部分 332:水平部分 336:安裝法蘭 340:支撐板 344:凸緣 346:纜線 348:第一切口 352:第二切口 356:外角 360:連接感測器 364:感測器 400:RF總成 404:RF產生器 408:RF匹配電路 412:匹配網路 416:RF輸入節點 420:電容器 424:電容器 428:電感器 432:RF輸出節點 436:電感帶 440:中間部分 500:電感帶 504:電容器 508:電容器 512:電感線圈 516:中間部分 520:終端 522:連接器接線片 524:下表面 528:支撐棒 530:連接部分 532:緊固件 536:水平部分 540:垂直部分 544:槽孔
由實施方式及隨附圖式,將能更完整地理解本揭露內容,其中:
圖1顯示基板處理工具的範例,其包含具有用於處理基板的台座之複數站和用於容納用於複數站的RF總成之RF外殼;
圖2A顯示圖1的RF外殼之等距視圖;
圖2B顯示RF外殼的頂板之平面圖;
圖3A和3B顯示用於直角RF輸出連接器的例示連接器支架;
圖3C顯示例示RF連接器總成,包括連接器支架和直角RF輸出連接器;
圖4A是例示RF產生器總成的功能方塊圖;
圖4B是RF匹配電路的例示匹配網路;
圖5A和5B顯示用於RF匹配電路的例示電感帶;
圖5C顯示圖5A和5B的電感帶的例示中間部分;
在圖式中,元件符號可能重複使用,以標示類似和/或相同的元件。
100:基板處理系統/工具/處理腔室
102-1:站
102-2:站
102-3:站
102-4:站
104-1:台座
104-2:台座
104-3:台座
104-4:台座
106:機器人
108:主軸
110:控制器
114:RF外殼
118:頂板

Claims (21)

  1. 一種用於基板處理系統的射頻匹配電路之電感帶,該電感帶包括: 第一端和第二端,其中該第一端和該第二端之各者包括相應的一連接器接線片,配置以連接至一相應電容器的一終端; 一電感線圈,設置在該第一端和該第二端之間;及 一中間部分,設置在(i)該電感線圈與(ii)該第一端和該第二端之其中一者之間,其中該中間部分包含平面的一連接板,該連接板配置以將該電感帶耦合至一射頻外殼的一表面,該射頻外殼容納該射頻匹配電路。
  2. 如請求項1之電感帶,其中該電感帶由銅組成。
  3. 如請求項1之電感帶,其中該第一端、該第二端、該電感線圈、及該中間部分由單一片之導電材料所形成。
  4. 如請求項1之電感帶,其中該電感帶在該第一端和該第二端之間具有至少一方向變化。
  5. 如請求項4之電感帶,其中該電感帶包括一水平部分和一垂直部分。
  6. 如請求項5之電感帶,其中該電感線圈和該中間部分位於該電感帶的該水平部分中。
  7. 如請求項6之電感帶,其中該電感線圈實質上位於由該中間部分限定的一平面下方。
  8. 如請求項1之電感帶,其中該中間部分包括限定在該連接板中的至少一開口。
  9. 如請求項8之電感帶,其中該至少一開口包括兩個細長的槽孔。
  10. 一種射頻匹配電路,包含如請求項1之電感帶且更包含第一電容器和第二電容器,其中該電感帶耦合在該第一電容器和該第二電容器之間。
  11. 如請求項10之射頻匹配電路,其中該第一電容器具有第一位向且該第二電容器具有與該第一位向不同的第二位向。
  12. 如請求項11之射頻匹配電路,其中該第二位向垂直於該第一位向。
  13. 如請求項12之射頻匹配電路,其中該電感帶的一水平部分耦合至該第一電容器,且該電感帶的一垂直部分耦合至該第二電容器。
  14. 如請求項10之射頻匹配電路,更包括耦合至該中間部分和該表面的一支撐棒。
  15. 如請求項14之射頻匹配電路,其中該表面為該射頻外殼的一頂板之下表面。
  16. 如請求項14之射頻匹配電路,更包含一電感元件、一電阻元件、一電容元件、和一絕緣元件之至少一者,耦合至在該支撐棒的一位置處之該中間部分。
  17. 一種射頻外殼,包含如請求項10之射頻匹配電路及一頂板,其中該電感帶的該中間部分藉由一支撐棒耦合至該頂板之下表面。
  18. 如請求項17之射頻外殼,更包含複數的該射頻匹配電路,其中該等射頻匹配電路之各者配置為將一射頻信號輸出至安裝在該頂板之上表面上的相應之一射頻連接器總成。
  19. 如請求項18之射頻外殼,其中該射頻連接器總成包括一直角連接器和部分地包圍該直角連接器的一連接器支架。
  20. 如請求項19之射頻外殼,其中該連接器支架包括耦合至該頂板之該上表面的一安裝基座、及配置為將該直角連接器保持在該連接器支架內的一連接器外殼。
  21. 一種用於基板處理系統的射頻外殼,該射頻外殼包括: 一頂板; 複數射頻匹配電路,安裝在該頂板之下表面,該複數射頻匹配電路之各者包括 第一電容器和第二電容器,及 耦合在該第一電容器與該第二電容器之相應終端之間的一電感帶,該電感帶包括一電感線圈和一中間部分,其中該中間部分包括配置成將該電感帶耦合至該頂板之該下表面的一平面連接板; 一絕緣支撐棒,其將該電感帶的該中間部分耦合至該頂板之該下表面;及 複數射頻連接器總成,安裝在該頂板之一上表面上並耦合至該射頻匹配電路之相應者,其中該等射頻連接器總成之各者包括一直角連接器和部分地包圍該直角連接器的一連接器支架。
TW112105746A 2022-02-24 2023-02-17 用於基板處理系統的rf總成 TW202349439A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263313295P 2022-02-24 2022-02-24
US63/313,295 2022-02-24

Publications (1)

Publication Number Publication Date
TW202349439A true TW202349439A (zh) 2023-12-16

Family

ID=87766608

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112105746A TW202349439A (zh) 2022-02-24 2023-02-17 用於基板處理系統的rf總成

Country Status (2)

Country Link
TW (1) TW202349439A (zh)
WO (1) WO2023163854A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005294335A (ja) * 2004-03-31 2005-10-20 Tamura Seisakusho Co Ltd コイルの絶縁構造
KR100809764B1 (ko) * 2005-08-05 2008-03-04 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드 아시아 진공 처리 챔버의 rf 매칭 네트워크와 그 구성 방법
US10923322B2 (en) * 2017-06-14 2021-02-16 Lam Research Corporation Articulated direct-mount inductor and associated systems and methods
EP3711079B1 (en) * 2017-11-17 2023-07-05 Evatec AG Rf power delivery to vacuum plasma processing
US10693433B2 (en) * 2018-05-17 2020-06-23 Lam Research Corporation Electrostatic chuck filter box and mounting bracket

Also Published As

Publication number Publication date
WO2023163854A1 (en) 2023-08-31

Similar Documents

Publication Publication Date Title
US20030087488A1 (en) Inductively coupled plasma source for improved process uniformity
KR20200010035A (ko) 변조된 주파수 또는 혼변조 주파수를 생성하기 위한 rf 생성기
KR20210149894A (ko) 듀얼 주파수, 직접 구동 유도 결합 플라즈마 소스
TW202131361A (zh) 包含變壓器及/或變壓器耦合組合器的射頻分配電路
TW201933473A (zh) 受電漿加熱之窗口的多區域冷卻
CN107426837A (zh) 层压加热器与加热器电压输入之间的连接
US20220110230A1 (en) Showerhead shroud
US20230290611A1 (en) Distributed plasma source array
US20240105429A1 (en) High power cable for heated components in rf environment
TW202349439A (zh) 用於基板處理系統的rf總成
US20230113683A1 (en) Rf reference measuring circuit for a direct drive system supplying power to generate plasma in a substrate processing system
US20230071933A1 (en) Planar multi-layer radio frequency filters including stacked coils with structural capacitance
KR102575561B1 (ko) 플라즈마 반응기에서 기생 컴포넌트들의 션트 소거를 제공하는 시스템들 및 방법들
CN114761616A (zh) 具有集成式rf滤波器的衬底支撑件
US20220319810A1 (en) Filter box for a substrate processing system
US20220230850A1 (en) Voltage and current probe
CN112514044A (zh) 用于衬底处理系统的具有介电窗的蜂窝式喷射器
KR20240074898A (ko) 플라즈마 가열된 윈도우의 멀티-존 (multi-zone) 냉각