TW202344705A - 藉由含矽反應物輔助的低溫鉬沉積 - Google Patents
藉由含矽反應物輔助的低溫鉬沉積 Download PDFInfo
- Publication number
- TW202344705A TW202344705A TW111147082A TW111147082A TW202344705A TW 202344705 A TW202344705 A TW 202344705A TW 111147082 A TW111147082 A TW 111147082A TW 111147082 A TW111147082 A TW 111147082A TW 202344705 A TW202344705 A TW 202344705A
- Authority
- TW
- Taiwan
- Prior art keywords
- optionally substituted
- group
- molybdenum
- silicon
- aliphatic
- Prior art date
Links
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 title claims abstract description 368
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 title claims abstract description 332
- 229910052710 silicon Inorganic materials 0.000 title claims abstract description 292
- 239000010703 silicon Substances 0.000 title claims abstract description 284
- 229910052750 molybdenum Inorganic materials 0.000 title claims abstract description 282
- 239000011733 molybdenum Substances 0.000 title claims abstract description 279
- 239000000376 reactant Substances 0.000 title claims abstract description 245
- 230000008021 deposition Effects 0.000 title abstract description 83
- 239000002243 precursor Substances 0.000 claims abstract description 337
- 239000000758 substrate Substances 0.000 claims abstract description 156
- 239000004065 semiconductor Substances 0.000 claims abstract description 108
- 239000003638 chemical reducing agent Substances 0.000 claims abstract description 77
- 229910052751 metal Inorganic materials 0.000 claims abstract description 65
- 239000002184 metal Substances 0.000 claims abstract description 63
- -1 tetrachlorosilane Disilane Chemical compound 0.000 claims description 301
- 125000000217 alkyl group Chemical group 0.000 claims description 217
- 238000000034 method Methods 0.000 claims description 85
- 229910052739 hydrogen Inorganic materials 0.000 claims description 83
- 150000001412 amines Chemical class 0.000 claims description 80
- 238000012545 processing Methods 0.000 claims description 74
- 239000001257 hydrogen Substances 0.000 claims description 72
- 150000002431 hydrogen Chemical class 0.000 claims description 45
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical group [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 35
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 claims description 31
- 239000000463 material Substances 0.000 claims description 29
- 229910021344 molybdenum silicide Inorganic materials 0.000 claims description 27
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 26
- YXTPWUNVHCYOSP-UHFFFAOYSA-N bis($l^{2}-silanylidene)molybdenum Chemical compound [Si]=[Mo]=[Si] YXTPWUNVHCYOSP-UHFFFAOYSA-N 0.000 claims description 26
- 229910052736 halogen Inorganic materials 0.000 claims description 26
- 150000002367 halogens Chemical class 0.000 claims description 25
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 21
- 229910000077 silane Inorganic materials 0.000 claims description 20
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 claims description 17
- 230000003647 oxidation Effects 0.000 claims description 16
- 238000007254 oxidation reaction Methods 0.000 claims description 16
- 229910021332 silicide Inorganic materials 0.000 claims description 8
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims description 8
- 238000011049 filling Methods 0.000 claims description 5
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 4
- YNQLUTRBYVCPMQ-UHFFFAOYSA-N Ethylbenzene Chemical compound CCC1=CC=CC=C1 YNQLUTRBYVCPMQ-UHFFFAOYSA-N 0.000 claims description 4
- FXMNVBZEWMANSQ-UHFFFAOYSA-N chloro(silyl)silane Chemical compound [SiH3][SiH2]Cl FXMNVBZEWMANSQ-UHFFFAOYSA-N 0.000 claims description 4
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical compound Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 claims description 4
- FXOCTISBMXDWGP-UHFFFAOYSA-N dichloro(silyl)silane Chemical compound [SiH3][SiH](Cl)Cl FXOCTISBMXDWGP-UHFFFAOYSA-N 0.000 claims description 4
- VEYJKODKHGEDMC-UHFFFAOYSA-N dichloro(trichlorosilyl)silicon Chemical compound Cl[Si](Cl)[Si](Cl)(Cl)Cl VEYJKODKHGEDMC-UHFFFAOYSA-N 0.000 claims description 4
- FDNAPBUWERUEDA-UHFFFAOYSA-N silicon tetrachloride Chemical compound Cl[Si](Cl)(Cl)Cl FDNAPBUWERUEDA-UHFFFAOYSA-N 0.000 claims description 4
- 150000003573 thiols Chemical class 0.000 claims description 4
- KPFWGLUVXPQOHO-UHFFFAOYSA-N trichloro(silyl)silane Chemical compound [SiH3][Si](Cl)(Cl)Cl KPFWGLUVXPQOHO-UHFFFAOYSA-N 0.000 claims description 4
- LXEXBJXDGVGRAR-UHFFFAOYSA-N trichloro(trichlorosilyl)silane Chemical compound Cl[Si](Cl)(Cl)[Si](Cl)(Cl)Cl LXEXBJXDGVGRAR-UHFFFAOYSA-N 0.000 claims description 4
- ZDHXKXAHOVTTAH-UHFFFAOYSA-N trichlorosilane Chemical compound Cl[SiH](Cl)Cl ZDHXKXAHOVTTAH-UHFFFAOYSA-N 0.000 claims description 4
- 239000005052 trichlorosilane Substances 0.000 claims description 4
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 claims description 3
- 239000005046 Chlorosilane Substances 0.000 claims description 2
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 claims description 2
- 229910021529 ammonia Inorganic materials 0.000 claims description 2
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 claims description 2
- RWSOTUBLDIXVET-UHFFFAOYSA-M hydrosulfide Chemical compound [SH-] RWSOTUBLDIXVET-UHFFFAOYSA-M 0.000 claims description 2
- 230000009467 reduction Effects 0.000 abstract description 11
- 239000003153 chemical reaction reagent Substances 0.000 abstract description 2
- 125000003118 aryl group Chemical group 0.000 description 296
- 125000001931 aliphatic group Chemical group 0.000 description 219
- 125000001072 heteroaryl group Chemical group 0.000 description 191
- 239000000126 substance Substances 0.000 description 136
- 238000000151 deposition Methods 0.000 description 102
- 125000004469 siloxy group Chemical group [SiH3]O* 0.000 description 86
- 125000000623 heterocyclic group Chemical group 0.000 description 85
- 239000003446 ligand Substances 0.000 description 85
- 125000000547 substituted alkyl group Chemical group 0.000 description 85
- 229910003849 O-Si Inorganic materials 0.000 description 82
- 229910003872 O—Si Inorganic materials 0.000 description 82
- 125000001181 organosilyl group Chemical group [SiH3]* 0.000 description 68
- 125000004433 nitrogen atom Chemical group N* 0.000 description 65
- 125000005017 substituted alkenyl group Chemical group 0.000 description 59
- 125000003107 substituted aryl group Chemical group 0.000 description 56
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 55
- 125000004426 substituted alkynyl group Chemical group 0.000 description 52
- 125000004093 cyano group Chemical group *C#N 0.000 description 50
- 239000012948 isocyanate Substances 0.000 description 50
- 150000002513 isocyanates Chemical class 0.000 description 50
- 125000003277 amino group Chemical group 0.000 description 47
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 46
- 150000001875 compounds Chemical class 0.000 description 46
- 229910052760 oxygen Inorganic materials 0.000 description 44
- 125000005842 heteroatom Chemical group 0.000 description 42
- 229910052757 nitrogen Inorganic materials 0.000 description 41
- 239000001301 oxygen Substances 0.000 description 41
- 125000003545 alkoxy group Chemical group 0.000 description 36
- 125000003709 fluoroalkyl group Chemical group 0.000 description 36
- 125000001261 isocyanato group Chemical group *N=C=O 0.000 description 34
- 125000001424 substituent group Chemical group 0.000 description 33
- 229910052799 carbon Inorganic materials 0.000 description 32
- 229910052717 sulfur Inorganic materials 0.000 description 31
- 125000004104 aryloxy group Chemical group 0.000 description 30
- 125000001820 oxy group Chemical group [*:1]O[*:2] 0.000 description 30
- 239000000460 chlorine Substances 0.000 description 28
- DHIGSAXSUWQAEI-UHFFFAOYSA-N hydrazine azide Chemical compound NNN=[N+]=[N-] DHIGSAXSUWQAEI-UHFFFAOYSA-N 0.000 description 28
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 28
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 27
- 125000002947 alkylene group Chemical group 0.000 description 27
- 239000011593 sulfur Substances 0.000 description 27
- 125000004432 carbon atom Chemical group C* 0.000 description 26
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 25
- 125000003710 aryl alkyl group Chemical group 0.000 description 24
- 229910017052 cobalt Inorganic materials 0.000 description 23
- 239000010941 cobalt Substances 0.000 description 23
- 239000013110 organic ligand Substances 0.000 description 23
- 125000003342 alkenyl group Chemical group 0.000 description 22
- 125000004453 alkoxycarbonyl group Chemical group 0.000 description 22
- 125000002877 alkyl aryl group Chemical group 0.000 description 22
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 21
- 230000007935 neutral effect Effects 0.000 description 21
- 229920001296 polysiloxane Polymers 0.000 description 21
- 125000001841 imino group Chemical group [H]N=* 0.000 description 20
- 150000003839 salts Chemical class 0.000 description 20
- 239000010408 film Substances 0.000 description 19
- IVRMZWNICZWHMI-UHFFFAOYSA-N azide group Chemical group [N-]=[N+]=[N-] IVRMZWNICZWHMI-UHFFFAOYSA-N 0.000 description 18
- 238000006243 chemical reaction Methods 0.000 description 18
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 18
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 description 17
- 125000005647 linker group Chemical group 0.000 description 17
- 125000004213 tert-butoxy group Chemical group [H]C([H])([H])C(O*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 17
- 230000008569 process Effects 0.000 description 16
- 239000007858 starting material Substances 0.000 description 16
- 125000005415 substituted alkoxy group Chemical group 0.000 description 16
- 150000003568 thioethers Chemical class 0.000 description 16
- 229910008045 Si-Si Inorganic materials 0.000 description 15
- 229910006411 Si—Si Inorganic materials 0.000 description 15
- 229910052801 chlorine Inorganic materials 0.000 description 15
- 125000004122 cyclic group Chemical group 0.000 description 15
- 125000005370 alkoxysilyl group Chemical group 0.000 description 14
- 238000000231 atomic layer deposition Methods 0.000 description 14
- 239000002585 base Substances 0.000 description 14
- 229960001690 etomidate Drugs 0.000 description 14
- 125000004474 heteroalkylene group Chemical group 0.000 description 14
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 14
- 239000005078 molybdenum compound Substances 0.000 description 14
- 150000002752 molybdenum compounds Chemical class 0.000 description 14
- 125000004123 n-propyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])* 0.000 description 14
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 14
- 229910052721 tungsten Inorganic materials 0.000 description 14
- 239000010937 tungsten Substances 0.000 description 14
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 13
- 125000000304 alkynyl group Chemical group 0.000 description 13
- 150000001721 carbon Chemical group 0.000 description 13
- 238000005229 chemical vapour deposition Methods 0.000 description 13
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 13
- NPUKDXXFDDZOKR-LLVKDONJSA-N etomidate Chemical compound CCOC(=O)C1=CN=CN1[C@H](C)C1=CC=CC=C1 NPUKDXXFDDZOKR-LLVKDONJSA-N 0.000 description 13
- 229910052731 fluorine Inorganic materials 0.000 description 13
- 229910052707 ruthenium Inorganic materials 0.000 description 13
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical class [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 13
- WYURNTSHIVDZCO-UHFFFAOYSA-N Tetrahydrofuran Chemical compound C1CCOC1 WYURNTSHIVDZCO-UHFFFAOYSA-N 0.000 description 12
- 125000004076 pyridyl group Chemical group 0.000 description 12
- 229910052794 bromium Inorganic materials 0.000 description 11
- 125000000753 cycloalkyl group Chemical group 0.000 description 11
- 150000002430 hydrocarbons Chemical group 0.000 description 11
- 238000006722 reduction reaction Methods 0.000 description 11
- 125000003172 aldehyde group Chemical group 0.000 description 10
- 125000000129 anionic group Chemical group 0.000 description 10
- MAHNFPMIPQKPPI-UHFFFAOYSA-N disulfur Chemical class S=S MAHNFPMIPQKPPI-UHFFFAOYSA-N 0.000 description 10
- 125000000959 isobutyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])* 0.000 description 10
- 238000001465 metallisation Methods 0.000 description 10
- 125000004108 n-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 10
- 125000004169 (C1-C6) alkyl group Chemical group 0.000 description 9
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 9
- 125000002355 alkine group Chemical group 0.000 description 9
- 125000000732 arylene group Chemical group 0.000 description 9
- 125000000524 functional group Chemical group 0.000 description 9
- 125000004404 heteroalkyl group Chemical group 0.000 description 9
- 229910052740 iodine Inorganic materials 0.000 description 9
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 9
- 229910052698 phosphorus Inorganic materials 0.000 description 9
- 150000007944 thiolates Chemical class 0.000 description 9
- 125000006552 (C3-C8) cycloalkyl group Chemical group 0.000 description 8
- 241000208340 Araliaceae Species 0.000 description 8
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 8
- 235000005035 Panax pseudoginseng ssp. pseudoginseng Nutrition 0.000 description 8
- 235000003140 Panax quinquefolius Nutrition 0.000 description 8
- 125000005024 alkenyl aryl group Chemical group 0.000 description 8
- 125000005025 alkynylaryl group Chemical group 0.000 description 8
- 150000001768 cations Chemical class 0.000 description 8
- 230000008878 coupling Effects 0.000 description 8
- 238000010168 coupling process Methods 0.000 description 8
- 238000005859 coupling reaction Methods 0.000 description 8
- 235000008434 ginseng Nutrition 0.000 description 8
- 125000005843 halogen group Chemical group 0.000 description 8
- RAXXELZNTBOGNW-UHFFFAOYSA-N imidazole Natural products C1=CNC=N1 RAXXELZNTBOGNW-UHFFFAOYSA-N 0.000 description 8
- 239000011574 phosphorus Substances 0.000 description 8
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 7
- 239000004215 Carbon black (E152) Substances 0.000 description 7
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 7
- 125000004183 alkoxy alkyl group Chemical group 0.000 description 7
- 125000004419 alkynylene group Chemical group 0.000 description 7
- 239000011737 fluorine Substances 0.000 description 7
- 229930195733 hydrocarbon Natural products 0.000 description 7
- 125000003253 isopropoxy group Chemical group [H]C([H])([H])C([H])(O*)C([H])([H])[H] 0.000 description 7
- 229910052744 lithium Inorganic materials 0.000 description 7
- 238000012986 modification Methods 0.000 description 7
- 230000004048 modification Effects 0.000 description 7
- 150000004756 silanes Chemical class 0.000 description 7
- SNYNNFDVNITLRQ-UHFFFAOYSA-N 2,2,4,4,6,6,8-heptamethyl-1,3,5,7,2,4,6,8$l^{3}-tetraoxatetrasilocane Chemical compound C[Si]1O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 SNYNNFDVNITLRQ-UHFFFAOYSA-N 0.000 description 6
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 6
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 6
- WHXSMMKQMYFTQS-UHFFFAOYSA-N Lithium Chemical compound [Li] WHXSMMKQMYFTQS-UHFFFAOYSA-N 0.000 description 6
- OBNDGIHQAIXEAO-UHFFFAOYSA-N [O].[Si] Chemical group [O].[Si] OBNDGIHQAIXEAO-UHFFFAOYSA-N 0.000 description 6
- 125000004647 alkyl sulfenyl group Chemical group 0.000 description 6
- OBFQBDOLCADBTP-UHFFFAOYSA-N aminosilicon Chemical group [Si]N OBFQBDOLCADBTP-UHFFFAOYSA-N 0.000 description 6
- 125000005018 aryl alkenyl group Chemical group 0.000 description 6
- 125000005161 aryl oxy carbonyl group Chemical group 0.000 description 6
- 229910052796 boron Inorganic materials 0.000 description 6
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 6
- 238000005137 deposition process Methods 0.000 description 6
- 150000004820 halides Chemical class 0.000 description 6
- 125000002883 imidazolyl group Chemical group 0.000 description 6
- 150000002466 imines Chemical class 0.000 description 6
- 239000011630 iodine Substances 0.000 description 6
- 238000002156 mixing Methods 0.000 description 6
- 125000001147 pentyl group Chemical group C(CCCC)* 0.000 description 6
- 125000005010 perfluoroalkyl group Chemical group 0.000 description 6
- 125000003386 piperidinyl group Chemical group 0.000 description 6
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 6
- 125000000714 pyrimidinyl group Chemical group 0.000 description 6
- 125000000168 pyrrolyl group Chemical group 0.000 description 6
- 150000005838 radical anions Chemical class 0.000 description 6
- 229920006395 saturated elastomer Polymers 0.000 description 6
- 229910052711 selenium Inorganic materials 0.000 description 6
- 239000011669 selenium Substances 0.000 description 6
- 239000002904 solvent Substances 0.000 description 6
- WZJUBBHODHNQPW-UHFFFAOYSA-N 2,4,6,8-tetramethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O1 WZJUBBHODHNQPW-UHFFFAOYSA-N 0.000 description 5
- 125000003903 2-propenyl group Chemical group [H]C([*])([H])C([H])=C([H])[H] 0.000 description 5
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 5
- XFXPMWWXUTWYJX-UHFFFAOYSA-N Cyanide Chemical compound N#[C-] XFXPMWWXUTWYJX-UHFFFAOYSA-N 0.000 description 5
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 5
- OLGABUSXQFOJIU-UHFFFAOYSA-N O=C=NOC#N Chemical compound O=C=NOC#N OLGABUSXQFOJIU-UHFFFAOYSA-N 0.000 description 5
- BUGBHKTXTAQXES-UHFFFAOYSA-N Selenium Chemical compound [Se] BUGBHKTXTAQXES-UHFFFAOYSA-N 0.000 description 5
- 125000003282 alkyl amino group Chemical group 0.000 description 5
- 150000001343 alkyl silanes Chemical class 0.000 description 5
- 125000005103 alkyl silyl group Chemical group 0.000 description 5
- 125000004390 alkyl sulfonyl group Chemical group 0.000 description 5
- 150000001408 amides Chemical class 0.000 description 5
- 125000004103 aminoalkyl group Chemical group 0.000 description 5
- 125000004429 atom Chemical group 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 5
- 125000003178 carboxy group Chemical group [H]OC(*)=O 0.000 description 5
- 125000000113 cyclohexyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 5
- 239000007789 gas Substances 0.000 description 5
- 125000001188 haloalkyl group Chemical group 0.000 description 5
- 125000000879 imine group Chemical group 0.000 description 5
- 125000005298 iminyl group Chemical group 0.000 description 5
- 239000011261 inert gas Substances 0.000 description 5
- 239000000203 mixture Substances 0.000 description 5
- 125000004430 oxygen atom Chemical group O* 0.000 description 5
- 125000002924 primary amino group Chemical group [H]N([H])* 0.000 description 5
- 150000003217 pyrazoles Chemical class 0.000 description 5
- JUJWROOIHBZHMG-UHFFFAOYSA-N pyridine Substances C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 description 5
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 description 5
- 150000003254 radicals Chemical group 0.000 description 5
- 125000003808 silyl group Chemical group [H][Si]([H])([H])[*] 0.000 description 5
- UHUUYVZLXJHWDV-UHFFFAOYSA-N trimethyl(methylsilyloxy)silane Chemical compound C[SiH2]O[Si](C)(C)C UHUUYVZLXJHWDV-UHFFFAOYSA-N 0.000 description 5
- 125000000391 vinyl group Chemical group [H]C([*])=C([H])[H] 0.000 description 5
- 229920002554 vinyl polymer Polymers 0.000 description 5
- 235000012431 wafers Nutrition 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- XTHFKEDIFFGKHM-UHFFFAOYSA-N Dimethoxyethane Chemical compound COCCOC XTHFKEDIFFGKHM-UHFFFAOYSA-N 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 4
- GJWAPAVRQYYSTK-UHFFFAOYSA-N [(dimethyl-$l^{3}-silanyl)amino]-dimethylsilicon Chemical compound C[Si](C)N[Si](C)C GJWAPAVRQYYSTK-UHFFFAOYSA-N 0.000 description 4
- 125000002252 acyl group Chemical group 0.000 description 4
- 150000001335 aliphatic alkanes Chemical class 0.000 description 4
- 150000001336 alkenes Chemical class 0.000 description 4
- 125000004450 alkenylene group Chemical group 0.000 description 4
- 150000001345 alkine derivatives Chemical class 0.000 description 4
- 125000004687 alkyl sulfinyl alkyl group Chemical group 0.000 description 4
- 150000001450 anions Chemical class 0.000 description 4
- 125000002102 aryl alkyloxo group Chemical group 0.000 description 4
- 125000005708 carbonyloxy group Chemical group [*:2]OC([*:1])=O 0.000 description 4
- 125000001559 cyclopropyl group Chemical group [H]C1([H])C([H])([H])C1([H])* 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 4
- XUKFPAQLGOOCNJ-UHFFFAOYSA-N dimethyl(trimethylsilyloxy)silicon Chemical compound C[Si](C)O[Si](C)(C)C XUKFPAQLGOOCNJ-UHFFFAOYSA-N 0.000 description 4
- 125000001153 fluoro group Chemical group F* 0.000 description 4
- 125000002541 furyl group Chemical group 0.000 description 4
- 150000002357 guanidines Chemical class 0.000 description 4
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 4
- XMBWDFGMSWQBCA-UHFFFAOYSA-N hydrogen iodide Chemical compound I XMBWDFGMSWQBCA-UHFFFAOYSA-N 0.000 description 4
- 125000002768 hydroxyalkyl group Chemical group 0.000 description 4
- 239000012535 impurity Substances 0.000 description 4
- 125000001041 indolyl group Chemical group 0.000 description 4
- 125000001786 isothiazolyl group Chemical group 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 150000002739 metals Chemical class 0.000 description 4
- 125000004971 nitroalkyl group Chemical group 0.000 description 4
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 4
- 125000005740 oxycarbonyl group Chemical group [*:1]OC([*:2])=O 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- 238000010926 purge Methods 0.000 description 4
- 125000003226 pyrazolyl group Chemical group 0.000 description 4
- 229910052814 silicon oxide Inorganic materials 0.000 description 4
- 125000005346 substituted cycloalkyl group Chemical group 0.000 description 4
- 238000006467 substitution reaction Methods 0.000 description 4
- YLQBMQCUIZJEEH-UHFFFAOYSA-N tetrahydrofuran Natural products C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 description 4
- 125000004001 thioalkyl group Chemical group 0.000 description 4
- 238000011282 treatment Methods 0.000 description 4
- 125000001425 triazolyl group Chemical group 0.000 description 4
- AQRLNPVMDITEJU-UHFFFAOYSA-N triethylsilane Chemical compound CC[SiH](CC)CC AQRLNPVMDITEJU-UHFFFAOYSA-N 0.000 description 4
- VEDJZFSRVVQBIL-UHFFFAOYSA-N trisilane Chemical compound [SiH3][SiH2][SiH3] VEDJZFSRVVQBIL-UHFFFAOYSA-N 0.000 description 4
- KAESVJOAVNADME-UHFFFAOYSA-N 1H-pyrrole Natural products C=1C=CNC=1 KAESVJOAVNADME-UHFFFAOYSA-N 0.000 description 3
- NJBMZYSKLWQXLJ-UHFFFAOYSA-N 3,4-dihydro-2h-pyrrol-5-amine Chemical group NC1=NCCC1 NJBMZYSKLWQXLJ-UHFFFAOYSA-N 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical compound [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 description 3
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 description 3
- YMWUJEATGCHHMB-UHFFFAOYSA-N Dichloromethane Chemical compound ClCCl YMWUJEATGCHHMB-UHFFFAOYSA-N 0.000 description 3
- QMMFVYPAHWMCMS-UHFFFAOYSA-N Dimethyl sulfide Chemical compound CSC QMMFVYPAHWMCMS-UHFFFAOYSA-N 0.000 description 3
- ZRALSGWEFCBTJO-UHFFFAOYSA-N Guanidine Chemical compound NC(N)=N ZRALSGWEFCBTJO-UHFFFAOYSA-N 0.000 description 3
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 3
- 239000002879 Lewis base Substances 0.000 description 3
- AFVFQIVMOAPDHO-UHFFFAOYSA-N Methanesulfonic acid Chemical compound CS(O)(=O)=O AFVFQIVMOAPDHO-UHFFFAOYSA-N 0.000 description 3
- KWYHDKDOAIKMQN-UHFFFAOYSA-N N,N,N',N'-tetramethylethylenediamine Chemical compound CN(C)CCN(C)C KWYHDKDOAIKMQN-UHFFFAOYSA-N 0.000 description 3
- WJDNXDURVAFUJT-UHFFFAOYSA-N N-diethylsilylpropan-2-amine Chemical compound CC[SiH](CC)NC(C)C WJDNXDURVAFUJT-UHFFFAOYSA-N 0.000 description 3
- 229920001774 Perfluoroether Polymers 0.000 description 3
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Natural products P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 description 3
- 229910008051 Si-OH Inorganic materials 0.000 description 3
- 229910002808 Si–O–Si Inorganic materials 0.000 description 3
- 229910006358 Si—OH Inorganic materials 0.000 description 3
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 3
- ZMANZCXQSJIPKH-UHFFFAOYSA-N Triethylamine Chemical compound CCN(CC)CC ZMANZCXQSJIPKH-UHFFFAOYSA-N 0.000 description 3
- 229910052783 alkali metal Inorganic materials 0.000 description 3
- 125000004688 alkyl sulfonyl alkyl group Chemical group 0.000 description 3
- 150000001540 azides Chemical class 0.000 description 3
- 125000002802 azinoyl group Chemical group [O-][N+]([H])([H])[*] 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- YXMVRBZGTJFMLH-UHFFFAOYSA-N butylsilane Chemical group CCCC[SiH3] YXMVRBZGTJFMLH-UHFFFAOYSA-N 0.000 description 3
- 238000004364 calculation method Methods 0.000 description 3
- 125000002091 cationic group Chemical group 0.000 description 3
- 150000001805 chlorine compounds Chemical class 0.000 description 3
- 125000000000 cycloalkoxy group Chemical group 0.000 description 3
- 125000001511 cyclopentyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 3
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical group C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 3
- USIUVYZYUHIAEV-UHFFFAOYSA-N diphenyl ether Chemical compound C=1C=CC=CC=1OC1=CC=CC=C1 USIUVYZYUHIAEV-UHFFFAOYSA-N 0.000 description 3
- KPUWHANPEXNPJT-UHFFFAOYSA-N disiloxane Chemical compound [SiH3]O[SiH3] KPUWHANPEXNPJT-UHFFFAOYSA-N 0.000 description 3
- 125000005303 dithiazolyl group Chemical group S1SNC(=C1)* 0.000 description 3
- 125000000031 ethylamino group Chemical group [H]C([H])([H])C([H])([H])N([H])[*] 0.000 description 3
- NEXSMEBSBIABKL-UHFFFAOYSA-N hexamethyldisilane Chemical compound C[Si](C)(C)[Si](C)(C)C NEXSMEBSBIABKL-UHFFFAOYSA-N 0.000 description 3
- IQPQWNKOIGAROB-UHFFFAOYSA-N isocyanate group Chemical group [N-]=C=O IQPQWNKOIGAROB-UHFFFAOYSA-N 0.000 description 3
- 150000007527 lewis bases Chemical class 0.000 description 3
- VLKZOEOYAKHREP-UHFFFAOYSA-N n-Hexane Chemical compound CCCCCC VLKZOEOYAKHREP-UHFFFAOYSA-N 0.000 description 3
- AHJCYBLQMDWLOC-UHFFFAOYSA-N n-methyl-n-silylmethanamine Chemical compound CN(C)[SiH3] AHJCYBLQMDWLOC-UHFFFAOYSA-N 0.000 description 3
- 125000000449 nitro group Chemical group [O-][N+](*)=O 0.000 description 3
- 238000000059 patterning Methods 0.000 description 3
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 3
- 125000000719 pyrrolidinyl group Chemical group 0.000 description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 3
- 229910010271 silicon carbide Inorganic materials 0.000 description 3
- 239000007787 solid Substances 0.000 description 3
- YOUIDGQAIILFBW-UHFFFAOYSA-J tetrachlorotungsten Chemical compound Cl[W](Cl)(Cl)Cl YOUIDGQAIILFBW-UHFFFAOYSA-J 0.000 description 3
- 125000003718 tetrahydrofuranyl group Chemical group 0.000 description 3
- 125000001984 thiazolidinyl group Chemical group 0.000 description 3
- VYFXMIAQVGXIIN-UHFFFAOYSA-N trichloro(chlorosilyl)silane Chemical compound Cl[SiH2][Si](Cl)(Cl)Cl VYFXMIAQVGXIIN-UHFFFAOYSA-N 0.000 description 3
- VOSJXMPCFODQAR-UHFFFAOYSA-N trisilylamine group Chemical group [SiH3]N([SiH3])[SiH3] VOSJXMPCFODQAR-UHFFFAOYSA-N 0.000 description 3
- 230000008016 vaporization Effects 0.000 description 3
- 125000004738 (C1-C6) alkyl sulfinyl group Chemical group 0.000 description 2
- 125000004739 (C1-C6) alkylsulfonyl group Chemical group 0.000 description 2
- 125000006621 (C3-C8) cycloalkyl-(C1-C6) alkyl group Chemical group 0.000 description 2
- BFUUNISZCKASER-UHFFFAOYSA-N 1-N,1-N,1-N',1-N'-tetra(propan-2-yl)-3-silylprop-2-ene-1,1-diamine Chemical compound C(C)(C)N(C(C)C)C(N(C(C)C)C(C)C)C=C[SiH3] BFUUNISZCKASER-UHFFFAOYSA-N 0.000 description 2
- VWJYWUZXVWYSPL-UHFFFAOYSA-N 2-[amino(propan-2-yl)silyl]propane Chemical compound CC(C)[SiH](N)C(C)C VWJYWUZXVWYSPL-UHFFFAOYSA-N 0.000 description 2
- CIWBSHSKHKDKBQ-JLAZNSOCSA-N Ascorbic acid Chemical compound OC[C@H](O)[C@H]1OC(=O)C(O)=C1O CIWBSHSKHKDKBQ-JLAZNSOCSA-N 0.000 description 2
- CPELXLSAUQHCOX-UHFFFAOYSA-M Bromide Chemical compound [Br-] CPELXLSAUQHCOX-UHFFFAOYSA-M 0.000 description 2
- 125000000882 C2-C6 alkenyl group Chemical group 0.000 description 2
- 125000003601 C2-C6 alkynyl group Chemical group 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical group O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- HEDRZPFGACZZDS-UHFFFAOYSA-N Chloroform Chemical compound ClC(Cl)Cl HEDRZPFGACZZDS-UHFFFAOYSA-N 0.000 description 2
- XMSXQFUHVRWGNA-UHFFFAOYSA-N Decamethylcyclopentasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 XMSXQFUHVRWGNA-UHFFFAOYSA-N 0.000 description 2
- BWGNESOTFCXPMA-UHFFFAOYSA-N Dihydrogen disulfide Chemical compound SS BWGNESOTFCXPMA-UHFFFAOYSA-N 0.000 description 2
- ROSDSFDQCJNGOL-UHFFFAOYSA-N Dimethylamine Chemical compound CNC ROSDSFDQCJNGOL-UHFFFAOYSA-N 0.000 description 2
- QUSNBJAOOMFDIB-UHFFFAOYSA-N Ethylamine Chemical compound CCN QUSNBJAOOMFDIB-UHFFFAOYSA-N 0.000 description 2
- PIICEJLVQHRZGT-UHFFFAOYSA-N Ethylenediamine Chemical compound NCCN PIICEJLVQHRZGT-UHFFFAOYSA-N 0.000 description 2
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 2
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 2
- FEWJPZIEWOKRBE-JCYAYHJZSA-L L-tartrate(2-) Chemical compound [O-]C(=O)[C@H](O)[C@@H](O)C([O-])=O FEWJPZIEWOKRBE-JCYAYHJZSA-L 0.000 description 2
- BAVYZALUXZFZLV-UHFFFAOYSA-N Methylamine Chemical compound NC BAVYZALUXZFZLV-UHFFFAOYSA-N 0.000 description 2
- IMNFDUFMRHMDMM-UHFFFAOYSA-N N-Heptane Chemical compound CCCCCCC IMNFDUFMRHMDMM-UHFFFAOYSA-N 0.000 description 2
- HMZAHUXNOFKKFN-UHFFFAOYSA-N N-[bis(2-methylbutan-2-yloxy)silyl]methanamine Chemical compound CCC(C)(C)O[SiH](NC)OC(C)(C)CC HMZAHUXNOFKKFN-UHFFFAOYSA-N 0.000 description 2
- NXMQZKGLAIJHLR-UHFFFAOYSA-N N-dimethylsilylbutan-1-amine Chemical group C(CCC)N[SiH](C)C NXMQZKGLAIJHLR-UHFFFAOYSA-N 0.000 description 2
- UFWIBTONFRDIAS-UHFFFAOYSA-N Naphthalene Chemical compound C1=CC=CC2=CC=CC=C21 UFWIBTONFRDIAS-UHFFFAOYSA-N 0.000 description 2
- 229910002656 O–Si–O Inorganic materials 0.000 description 2
- OFBQJSOFQDEBGM-UHFFFAOYSA-N Pentane Chemical compound CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 description 2
- NQRYJNQNLNOLGT-UHFFFAOYSA-O Piperidinium(1+) Chemical class C1CC[NH2+]CC1 NQRYJNQNLNOLGT-UHFFFAOYSA-O 0.000 description 2
- 229910018557 Si O Inorganic materials 0.000 description 2
- 229910007991 Si-N Inorganic materials 0.000 description 2
- 229910008072 Si-N-Si Inorganic materials 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 229910020175 SiOH Inorganic materials 0.000 description 2
- 229910006294 Si—N Inorganic materials 0.000 description 2
- YTEISYFNYGDBRV-UHFFFAOYSA-N [(dimethyl-$l^{3}-silanyl)oxy-dimethylsilyl]oxy-dimethylsilicon Chemical compound C[Si](C)O[Si](C)(C)O[Si](C)C YTEISYFNYGDBRV-UHFFFAOYSA-N 0.000 description 2
- APDDLLVYBXGBRF-UHFFFAOYSA-N [diethyl-(triethylsilylamino)silyl]ethane Chemical compound CC[Si](CC)(CC)N[Si](CC)(CC)CC APDDLLVYBXGBRF-UHFFFAOYSA-N 0.000 description 2
- OWIDZKFYDXDEBY-UHFFFAOYSA-N [ethyl(methyl)amino]silicon Chemical compound CCN(C)[Si] OWIDZKFYDXDEBY-UHFFFAOYSA-N 0.000 description 2
- 150000001340 alkali metals Chemical class 0.000 description 2
- 125000004644 alkyl sulfinyl group Chemical group 0.000 description 2
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- 125000002344 aminooxy group Chemical group [H]N([H])O[*] 0.000 description 2
- 229920013822 aminosilicone Polymers 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 125000005015 aryl alkynyl group Chemical group 0.000 description 2
- 125000005418 aryl aryl group Chemical group 0.000 description 2
- LNENVNGQOUBOIX-UHFFFAOYSA-N azidosilane Chemical compound [SiH3]N=[N+]=[N-] LNENVNGQOUBOIX-UHFFFAOYSA-N 0.000 description 2
- SIKJAQJRHWYJAI-UHFFFAOYSA-N benzopyrrole Natural products C1=CC=C2NC=CC2=C1 SIKJAQJRHWYJAI-UHFFFAOYSA-N 0.000 description 2
- 125000001164 benzothiazolyl group Chemical group S1C(=NC2=C1C=CC=C2)* 0.000 description 2
- 125000004196 benzothienyl group Chemical group S1C(=CC2=C1C=CC=C2)* 0.000 description 2
- 125000001797 benzyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])* 0.000 description 2
- 125000002529 biphenylenyl group Chemical group C1(=CC=CC=2C3=CC=CC=C3C12)* 0.000 description 2
- GZUXJHMPEANEGY-UHFFFAOYSA-N bromomethane Chemical compound BrC GZUXJHMPEANEGY-UHFFFAOYSA-N 0.000 description 2
- 125000004106 butoxy group Chemical group [*]OC([H])([H])C([H])([H])C(C([H])([H])[H])([H])[H] 0.000 description 2
- 125000002837 carbocyclic group Chemical group 0.000 description 2
- 239000011203 carbon fibre reinforced carbon Substances 0.000 description 2
- 239000003054 catalyst Substances 0.000 description 2
- 229910052798 chalcogen Inorganic materials 0.000 description 2
- 150000001787 chalcogens Chemical group 0.000 description 2
- MVPPADPHJFYWMZ-UHFFFAOYSA-N chlorobenzene Chemical compound ClC1=CC=CC=C1 MVPPADPHJFYWMZ-UHFFFAOYSA-N 0.000 description 2
- 125000000392 cycloalkenyl group Chemical group 0.000 description 2
- 125000005112 cycloalkylalkoxy group Chemical group 0.000 description 2
- 125000001995 cyclobutyl group Chemical group [H]C1([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 2
- 125000000058 cyclopentadienyl group Chemical group C1(=CC=CC1)* 0.000 description 2
- VVKJJEAEVBNODX-UHFFFAOYSA-N diethoxy-di(propan-2-yl)silane Chemical compound CCO[Si](C(C)C)(C(C)C)OCC VVKJJEAEVBNODX-UHFFFAOYSA-N 0.000 description 2
- ZXPDYFSTVHQQOI-UHFFFAOYSA-N diethoxysilane Chemical compound CCO[SiH2]OCC ZXPDYFSTVHQQOI-UHFFFAOYSA-N 0.000 description 2
- LJSQFQKUNVCTIA-UHFFFAOYSA-N diethyl sulfide Chemical compound CCSCC LJSQFQKUNVCTIA-UHFFFAOYSA-N 0.000 description 2
- UCXUKTLCVSGCNR-UHFFFAOYSA-N diethylsilane Chemical group CC[SiH2]CC UCXUKTLCVSGCNR-UHFFFAOYSA-N 0.000 description 2
- YYLGKUPAFFKGRQ-UHFFFAOYSA-N dimethyldiethoxysilane Chemical compound CCO[Si](C)(C)OCC YYLGKUPAFFKGRQ-UHFFFAOYSA-N 0.000 description 2
- FHQRQPAFALORSL-UHFFFAOYSA-N dimethylsilyl(trimethyl)silane Chemical compound C[SiH](C)[Si](C)(C)C FHQRQPAFALORSL-UHFFFAOYSA-N 0.000 description 2
- ZGHDMISTQPRNRG-UHFFFAOYSA-N dimolybdenum Chemical compound [Mo]#[Mo] ZGHDMISTQPRNRG-UHFFFAOYSA-N 0.000 description 2
- ZUOUZKKEUPVFJK-UHFFFAOYSA-N diphenyl Chemical compound C1=CC=CC=C1C1=CC=CC=C1 ZUOUZKKEUPVFJK-UHFFFAOYSA-N 0.000 description 2
- MOTZDAYCYVMXPC-UHFFFAOYSA-N dodecyl hydrogen sulfate Chemical class CCCCCCCCCCCCOS(O)(=O)=O MOTZDAYCYVMXPC-UHFFFAOYSA-N 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- ZSWFCLXCOIISFI-UHFFFAOYSA-N endo-cyclopentadiene Natural products C1C=CC=C1 ZSWFCLXCOIISFI-UHFFFAOYSA-N 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 125000005844 heterocyclyloxy group Chemical group 0.000 description 2
- 125000004051 hexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 2
- 125000000717 hydrazino group Chemical group [H]N([*])N([H])[H] 0.000 description 2
- PZOUSPYUWWUPPK-UHFFFAOYSA-N indole Natural products CC1=CC=CC2=C1C=CN2 PZOUSPYUWWUPPK-UHFFFAOYSA-N 0.000 description 2
- RKJUIXBNRJVNHR-UHFFFAOYSA-N indolenine Natural products C1=CC=C2CC=NC2=C1 RKJUIXBNRJVNHR-UHFFFAOYSA-N 0.000 description 2
- 150000002527 isonitriles Chemical class 0.000 description 2
- 125000001972 isopentyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])C([H])([H])* 0.000 description 2
- 150000002576 ketones Chemical class 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- SWGZAKPJNWCPRY-UHFFFAOYSA-N methyl-bis(trimethylsilyloxy)silicon Chemical compound C[Si](C)(C)O[Si](C)O[Si](C)(C)C SWGZAKPJNWCPRY-UHFFFAOYSA-N 0.000 description 2
- 125000000250 methylamino group Chemical group [H]N(*)C([H])([H])[H] 0.000 description 2
- 150000002751 molybdenum Chemical class 0.000 description 2
- UMOJXGMTIHBUTN-UHFFFAOYSA-N molybdenum(5+) Chemical compound [Mo+5] UMOJXGMTIHBUTN-UHFFFAOYSA-N 0.000 description 2
- OWKFQWAGPHVFRF-UHFFFAOYSA-N n-(diethylaminosilyl)-n-ethylethanamine Chemical compound CCN(CC)[SiH2]N(CC)CC OWKFQWAGPHVFRF-UHFFFAOYSA-N 0.000 description 2
- LREMVJGWYSKMSG-UHFFFAOYSA-N n-[(tert-butylamino)-dimethylsilyl]-2-methylpropan-2-amine Chemical compound CC(C)(C)N[Si](C)(C)NC(C)(C)C LREMVJGWYSKMSG-UHFFFAOYSA-N 0.000 description 2
- UNOQITWAUFOMKI-UHFFFAOYSA-N n-[dimethyl-(propan-2-ylamino)silyl]propan-2-amine Chemical compound CC(C)N[Si](C)(C)NC(C)C UNOQITWAUFOMKI-UHFFFAOYSA-N 0.000 description 2
- GEZQRKBBRMOCSP-UHFFFAOYSA-N n-propan-2-yl-n-trimethylsilylpropan-2-amine Chemical compound CC(C)N(C(C)C)[Si](C)(C)C GEZQRKBBRMOCSP-UHFFFAOYSA-N 0.000 description 2
- WJBNXEUDLVCWBD-UHFFFAOYSA-N n-trimethylsilylbutan-1-amine Chemical compound CCCCN[Si](C)(C)C WJBNXEUDLVCWBD-UHFFFAOYSA-N 0.000 description 2
- 125000001624 naphthyl group Chemical group 0.000 description 2
- 150000002825 nitriles Chemical class 0.000 description 2
- 230000006911 nucleation Effects 0.000 description 2
- 238000010899 nucleation Methods 0.000 description 2
- 150000007524 organic acids Chemical class 0.000 description 2
- 125000002971 oxazolyl group Chemical group 0.000 description 2
- 238000006464 oxidative addition reaction Methods 0.000 description 2
- 229910000073 phosphorus hydride Inorganic materials 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229920000548 poly(silane) polymer Polymers 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 125000005493 quinolyl group Chemical group 0.000 description 2
- 238000011946 reduction process Methods 0.000 description 2
- 230000002000 scavenging effect Effects 0.000 description 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Inorganic materials [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- DVHMVRMYGHTALQ-UHFFFAOYSA-N silylhydrazine Chemical compound NN[SiH3] DVHMVRMYGHTALQ-UHFFFAOYSA-N 0.000 description 2
- 159000000000 sodium salts Chemical class 0.000 description 2
- 238000001179 sorption measurement Methods 0.000 description 2
- 125000005156 substituted alkylene group Chemical group 0.000 description 2
- BDHFUVZGWQCTTF-UHFFFAOYSA-M sulfonate Chemical compound [O-]S(=O)=O BDHFUVZGWQCTTF-UHFFFAOYSA-M 0.000 description 2
- 125000000472 sulfonyl group Chemical group *S(*)(=O)=O 0.000 description 2
- 125000004434 sulfur atom Chemical group 0.000 description 2
- 229940095064 tartrate Drugs 0.000 description 2
- YBRBMKDOPFTVDT-UHFFFAOYSA-N tert-butylamine Chemical compound CC(C)(C)N YBRBMKDOPFTVDT-UHFFFAOYSA-N 0.000 description 2
- QIMILRIEUVPAMG-UHFFFAOYSA-N tert-butylsilyl carbamate Chemical compound C(N)(O[SiH2]C(C)(C)C)=O QIMILRIEUVPAMG-UHFFFAOYSA-N 0.000 description 2
- RWRDLPDLKQPQOW-UHFFFAOYSA-N tetrahydropyrrole Natural products C1CCNC1 RWRDLPDLKQPQOW-UHFFFAOYSA-N 0.000 description 2
- ZFXYFBGIUFBOJW-UHFFFAOYSA-N theophylline Chemical compound O=C1N(C)C(=O)N(C)C2=C1NC=N2 ZFXYFBGIUFBOJW-UHFFFAOYSA-N 0.000 description 2
- VZCYOOQTPOCHFL-UHFFFAOYSA-N trans-butenedioic acid Natural products OC(=O)C=CC(O)=O VZCYOOQTPOCHFL-UHFFFAOYSA-N 0.000 description 2
- WLADIVUISABQHN-UHFFFAOYSA-N trimethyl(piperidin-1-yl)silane Chemical compound C[Si](C)(C)N1CCCCC1 WLADIVUISABQHN-UHFFFAOYSA-N 0.000 description 2
- GETQZCLCWQTVFV-UHFFFAOYSA-N trimethylamine Chemical compound CN(C)C GETQZCLCWQTVFV-UHFFFAOYSA-N 0.000 description 2
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 2
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 description 2
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 2
- 229910021342 tungsten silicide Inorganic materials 0.000 description 2
- 238000009834 vaporization Methods 0.000 description 2
- LSPHULWDVZXLIL-UHFFFAOYSA-N (+/-)-Camphoric acid Chemical compound CC1(C)C(C(O)=O)CCC1(C)C(O)=O LSPHULWDVZXLIL-UHFFFAOYSA-N 0.000 description 1
- NWTSLSLJGSSMTO-UHFFFAOYSA-N (3,5-dimethylpiperidin-1-yl)-silylsilane Chemical compound CC1CC(C)CN([SiH2][SiH3])C1 NWTSLSLJGSSMTO-UHFFFAOYSA-N 0.000 description 1
- 125000000171 (C1-C6) haloalkyl group Chemical group 0.000 description 1
- 125000006585 (C6-C10) arylene group Chemical group 0.000 description 1
- POILWHVDKZOXJZ-ARJAWSKDSA-M (z)-4-oxopent-2-en-2-olate Chemical compound C\C([O-])=C\C(C)=O POILWHVDKZOXJZ-ARJAWSKDSA-M 0.000 description 1
- FASACKQUUCTDAW-UHFFFAOYSA-N 1,2,3,4,5-pentamethylcyclopenta-1,3-diene;ruthenium(2+) Chemical compound [Ru+2].CC=1C(C)=C(C)[C-](C)C=1C.CC=1C(C)=C(C)[C-](C)C=1C FASACKQUUCTDAW-UHFFFAOYSA-N 0.000 description 1
- JYEUMXHLPRZUAT-UHFFFAOYSA-N 1,2,3-triazine Chemical group C1=CN=NN=C1 JYEUMXHLPRZUAT-UHFFFAOYSA-N 0.000 description 1
- GOYDNIKZWGIXJT-UHFFFAOYSA-N 1,2-difluorobenzene Chemical compound FC1=CC=CC=C1F GOYDNIKZWGIXJT-UHFFFAOYSA-N 0.000 description 1
- OCJBOOLMMGQPQU-UHFFFAOYSA-N 1,4-dichlorobenzene Chemical compound ClC1=CC=C(Cl)C=C1 OCJBOOLMMGQPQU-UHFFFAOYSA-N 0.000 description 1
- VSKBQBWCVQGBDS-UHFFFAOYSA-N 1-N,1-N'-di(propan-2-yl)-3-silylprop-2-ene-1,1-diamine Chemical compound C(C)(C)NC(NC(C)C)C=C[SiH3] VSKBQBWCVQGBDS-UHFFFAOYSA-N 0.000 description 1
- FKILQBLJPXJVFV-UHFFFAOYSA-N 1-N,1-N'-diethyl-1-N,1-N'-dimethyl-3-silylprop-2-ene-1,1-diamine Chemical compound C(C)N(C)C(N(CC)C)C=C[SiH3] FKILQBLJPXJVFV-UHFFFAOYSA-N 0.000 description 1
- FGDGAYJQUFBEHU-UHFFFAOYSA-N 1-N,1-N,1-N',1-N'-tetraethyl-3-silylprop-2-ene-1,1-diamine Chemical compound C(C)N(CC)C(N(CC)CC)C=C[SiH3] FGDGAYJQUFBEHU-UHFFFAOYSA-N 0.000 description 1
- 125000004973 1-butenyl group Chemical group C(=CCC)* 0.000 description 1
- BCHXFGQPSOXSTC-UHFFFAOYSA-N 1-dimethylsilylethyl(dimethyl)silane Chemical compound C[SiH](C)C(C)[SiH](C)C BCHXFGQPSOXSTC-UHFFFAOYSA-N 0.000 description 1
- CDRQOYRPWJULJN-UHFFFAOYSA-N 1-naphthalen-1-ylethanol Chemical compound C1=CC=C2C(C(O)C)=CC=CC2=C1 CDRQOYRPWJULJN-UHFFFAOYSA-N 0.000 description 1
- 125000000530 1-propynyl group Chemical group [H]C([H])([H])C#C* 0.000 description 1
- YIYIKPUZDCDEOK-UHFFFAOYSA-N 1-tert-butyl-2-[(2-tert-butylhydrazinyl)-diethylsilyl]hydrazine Chemical compound CC(C)(C)NN[Si](CC)(CC)NNC(C)(C)C YIYIKPUZDCDEOK-UHFFFAOYSA-N 0.000 description 1
- AXWGSYGVWLIZOX-UHFFFAOYSA-N 1H-imidazole trimethylsilane Chemical compound N1C=NC=C1.C[SiH](C)C AXWGSYGVWLIZOX-UHFFFAOYSA-N 0.000 description 1
- FIADVASZMLCQIF-UHFFFAOYSA-N 2,2,4,4,6,6,8,8-octamethyl-1,3,5,7,2,4,6,8-tetrazatetrasilocane Chemical compound C[Si]1(C)N[Si](C)(C)N[Si](C)(C)N[Si](C)(C)N1 FIADVASZMLCQIF-UHFFFAOYSA-N 0.000 description 1
- WGGNJZRNHUJNEM-UHFFFAOYSA-N 2,2,4,4,6,6-hexamethyl-1,3,5,2,4,6-triazatrisilinane Chemical compound C[Si]1(C)N[Si](C)(C)N[Si](C)(C)N1 WGGNJZRNHUJNEM-UHFFFAOYSA-N 0.000 description 1
- UVHTZZNYDIWTCQ-UHFFFAOYSA-N 2-[amino(butan-2-yl)silyl]butane Chemical compound C(C)(CC)[SiH](N)C(C)CC UVHTZZNYDIWTCQ-UHFFFAOYSA-N 0.000 description 1
- LZESIEOFIUDUIN-UHFFFAOYSA-N 2-[amino(tert-butyl)silyl]-2-methylpropane Chemical compound CC(C)(C)[SiH](N)C(C)(C)C LZESIEOFIUDUIN-UHFFFAOYSA-N 0.000 description 1
- MSWZFWKMSRAUBD-IVMDWMLBSA-N 2-amino-2-deoxy-D-glucopyranose Chemical compound N[C@H]1C(O)O[C@H](CO)[C@@H](O)[C@@H]1O MSWZFWKMSRAUBD-IVMDWMLBSA-N 0.000 description 1
- GRWKNBPOGBTZMN-UHFFFAOYSA-N 2-benzyl-3-phenylpropane-1,2-diamine Chemical compound C=1C=CC=CC=1CC(N)(CN)CC1=CC=CC=C1 GRWKNBPOGBTZMN-UHFFFAOYSA-N 0.000 description 1
- 125000004974 2-butenyl group Chemical group C(C=CC)* 0.000 description 1
- CMWSRWTXVQLHNX-UHFFFAOYSA-N 2-methyl-1-(2-methylpropylsulfanyl)propane Chemical compound CC(C)CSCC(C)C CMWSRWTXVQLHNX-UHFFFAOYSA-N 0.000 description 1
- VUGMARFZKDASCX-UHFFFAOYSA-N 2-methyl-N-silylpropan-2-amine Chemical compound CC(C)(C)N[SiH3] VUGMARFZKDASCX-UHFFFAOYSA-N 0.000 description 1
- GELMWIVBBPAMIO-UHFFFAOYSA-N 2-methylbutan-2-amine Chemical compound CCC(C)(C)N GELMWIVBBPAMIO-UHFFFAOYSA-N 0.000 description 1
- JWUJQDFVADABEY-UHFFFAOYSA-N 2-methyltetrahydrofuran Chemical compound CC1CCCO1 JWUJQDFVADABEY-UHFFFAOYSA-N 0.000 description 1
- 229940080296 2-naphthalenesulfonate Drugs 0.000 description 1
- RSEBUVRVKCANEP-UHFFFAOYSA-N 2-pyrroline Chemical compound C1CC=CN1 RSEBUVRVKCANEP-UHFFFAOYSA-N 0.000 description 1
- KUDINBRJNHXQIH-UHFFFAOYSA-N 3,3-bis[(2-methylpropan-2-yl)oxy]prop-1-enylsilane Chemical compound C(C)(C)(C)OC(OC(C)(C)C)C=C[SiH3] KUDINBRJNHXQIH-UHFFFAOYSA-N 0.000 description 1
- WGYDXYBQNYQPIU-UHFFFAOYSA-N 3,3-di(propan-2-yloxy)prop-1-enylsilane Chemical compound C(C)(C)OC(OC(C)C)C=C[SiH3] WGYDXYBQNYQPIU-UHFFFAOYSA-N 0.000 description 1
- CGVJDAVREIZDGH-UHFFFAOYSA-N 3,3-diethoxyprop-1-enylsilane Chemical compound CCOC(OCC)C=C[SiH3] CGVJDAVREIZDGH-UHFFFAOYSA-N 0.000 description 1
- YSIXKKRCABXBLQ-UHFFFAOYSA-N 3,4-bis(trifluoromethyl)dithiete Chemical compound FC(F)(F)C=1SSC=1C(F)(F)F YSIXKKRCABXBLQ-UHFFFAOYSA-N 0.000 description 1
- ALKYHXVLJMQRLQ-UHFFFAOYSA-M 3-carboxynaphthalen-2-olate Chemical compound C1=CC=C2C=C(C([O-])=O)C(O)=CC2=C1 ALKYHXVLJMQRLQ-UHFFFAOYSA-M 0.000 description 1
- MNTMWHBQGOKGDD-UHFFFAOYSA-N 3-methylbutylsilane Chemical group CC(C)CC[SiH3] MNTMWHBQGOKGDD-UHFFFAOYSA-N 0.000 description 1
- XMIIGOLPHOKFCH-UHFFFAOYSA-M 3-phenylpropionate Chemical compound [O-]C(=O)CCC1=CC=CC=C1 XMIIGOLPHOKFCH-UHFFFAOYSA-M 0.000 description 1
- ROMPPAWVATWIKR-UHFFFAOYSA-N 4-[3-(4-chlorophenyl)-1,2,4-oxadiazol-5-yl]butanoic acid Chemical compound O1C(CCCC(=O)O)=NC(C=2C=CC(Cl)=CC=2)=N1 ROMPPAWVATWIKR-UHFFFAOYSA-N 0.000 description 1
- FHVDTGUDJYJELY-UHFFFAOYSA-N 6-{[2-carboxy-4,5-dihydroxy-6-(phosphanyloxy)oxan-3-yl]oxy}-4,5-dihydroxy-3-phosphanyloxane-2-carboxylic acid Chemical compound O1C(C(O)=O)C(P)C(O)C(O)C1OC1C(C(O)=O)OC(OP)C(O)C1O FHVDTGUDJYJELY-UHFFFAOYSA-N 0.000 description 1
- QTBSBXVTEAMEQO-UHFFFAOYSA-M Acetate Chemical compound CC([O-])=O QTBSBXVTEAMEQO-UHFFFAOYSA-M 0.000 description 1
- BVKZGUZCCUSVTD-UHFFFAOYSA-M Bicarbonate Chemical compound OC([O-])=O BVKZGUZCCUSVTD-UHFFFAOYSA-M 0.000 description 1
- BTBUEUYNUDRHOZ-UHFFFAOYSA-N Borate Chemical compound [O-]B([O-])[O-] BTBUEUYNUDRHOZ-UHFFFAOYSA-N 0.000 description 1
- FERIUCNNQQJTOY-UHFFFAOYSA-M Butyrate Chemical compound CCCC([O-])=O FERIUCNNQQJTOY-UHFFFAOYSA-M 0.000 description 1
- FERIUCNNQQJTOY-UHFFFAOYSA-N Butyric acid Natural products CCCC(O)=O FERIUCNNQQJTOY-UHFFFAOYSA-N 0.000 description 1
- PDPZLRVJEZCKKM-UHFFFAOYSA-N C(C)(C)N[SiH2]N([SiH3])[SiH3] Chemical compound C(C)(C)N[SiH2]N([SiH3])[SiH3] PDPZLRVJEZCKKM-UHFFFAOYSA-N 0.000 description 1
- 125000006577 C1-C6 hydroxyalkyl group Chemical group 0.000 description 1
- JKNWZLRXDMJDPL-UHFFFAOYSA-N CCCCCO[SiH](C)C Chemical group CCCCCO[SiH](C)C JKNWZLRXDMJDPL-UHFFFAOYSA-N 0.000 description 1
- HXLHXBBIMAFZKJ-UHFFFAOYSA-N CCCCN[SiH](CC)CC Chemical compound CCCCN[SiH](CC)CC HXLHXBBIMAFZKJ-UHFFFAOYSA-N 0.000 description 1
- PFTMBLYVULPUAW-UHFFFAOYSA-N CCN(CC)CC[SiH3] Chemical compound CCN(CC)CC[SiH3] PFTMBLYVULPUAW-UHFFFAOYSA-N 0.000 description 1
- JMBUOHCQHAPBFY-UHFFFAOYSA-N CN(C)C[SiH3] Chemical compound CN(C)C[SiH3] JMBUOHCQHAPBFY-UHFFFAOYSA-N 0.000 description 1
- JNBQDQZFJOWHQO-UHFFFAOYSA-N CN(C)[SiH2]N Chemical compound CN(C)[SiH2]N JNBQDQZFJOWHQO-UHFFFAOYSA-N 0.000 description 1
- VNLSBWAMTMAJGR-UHFFFAOYSA-N CN([SiH2]C)[SiH](C)N[SiH3] Chemical compound CN([SiH2]C)[SiH](C)N[SiH3] VNLSBWAMTMAJGR-UHFFFAOYSA-N 0.000 description 1
- LMLOSBGZCSHOFL-UHFFFAOYSA-N CN([Si](O[Si](C)(C)C)(C)C)C Chemical compound CN([Si](O[Si](C)(C)C)(C)C)C LMLOSBGZCSHOFL-UHFFFAOYSA-N 0.000 description 1
- NNNVQYSSLLQGJS-UHFFFAOYSA-N CN([Si](O[Si](O[Si](C)(C)C)(C)C)(C)C)C Chemical compound CN([Si](O[Si](O[Si](C)(C)C)(C)C)(C)C)C NNNVQYSSLLQGJS-UHFFFAOYSA-N 0.000 description 1
- LDHLKNHLSRTTLI-UHFFFAOYSA-N CN([Si]1(O[Si](O[Si](O1)(C)C)(C)C)C)C Chemical compound CN([Si]1(O[Si](O[Si](O1)(C)C)(C)C)C)C LDHLKNHLSRTTLI-UHFFFAOYSA-N 0.000 description 1
- QFGKJHGPIVNQPI-UHFFFAOYSA-N C[SiH](C)OC1=CC=CC=C1 Chemical compound C[SiH](C)OC1=CC=CC=C1 QFGKJHGPIVNQPI-UHFFFAOYSA-N 0.000 description 1
- HQYRVZYKBCCJPU-UHFFFAOYSA-N C[SiH](NC1=CC=CC=C1)[SiH3] Chemical compound C[SiH](NC1=CC=CC=C1)[SiH3] HQYRVZYKBCCJPU-UHFFFAOYSA-N 0.000 description 1
- BFBMLFFMLWLOID-UHFFFAOYSA-N C[SiH](NC1CCCCC1)[SiH3] Chemical compound C[SiH](NC1CCCCC1)[SiH3] BFBMLFFMLWLOID-UHFFFAOYSA-N 0.000 description 1
- AKAUHDUSPNHZRA-UHFFFAOYSA-N C[Si](C)(C)N(CC)CC.C(C)NCC Chemical compound C[Si](C)(C)N(CC)CC.C(C)NCC AKAUHDUSPNHZRA-UHFFFAOYSA-N 0.000 description 1
- OYPRJOBELJOOCE-UHFFFAOYSA-N Calcium Chemical compound [Ca] OYPRJOBELJOOCE-UHFFFAOYSA-N 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- KRKNYBCHXYNGOX-UHFFFAOYSA-K Citrate Chemical compound [O-]C(=O)CC(O)(CC([O-])=O)C([O-])=O KRKNYBCHXYNGOX-UHFFFAOYSA-K 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- RGHNJXZEOKUKBD-SQOUGZDYSA-M D-gluconate Chemical compound OC[C@@H](O)[C@@H](O)[C@H](O)[C@@H](O)C([O-])=O RGHNJXZEOKUKBD-SQOUGZDYSA-M 0.000 description 1
- RPNUMPOLZDHAAY-UHFFFAOYSA-N Diethylenetriamine Chemical class NCCNCCN RPNUMPOLZDHAAY-UHFFFAOYSA-N 0.000 description 1
- KCXVZYZYPLLWCC-UHFFFAOYSA-N EDTA Chemical compound OC(=O)CN(CC(O)=O)CCN(CC(O)=O)CC(O)=O KCXVZYZYPLLWCC-UHFFFAOYSA-N 0.000 description 1
- AEMRFAOFKBGASW-UHFFFAOYSA-M Glycolate Chemical compound OCC([O-])=O AEMRFAOFKBGASW-UHFFFAOYSA-M 0.000 description 1
- DGAQECJNVWCQMB-PUAWFVPOSA-M Ilexoside XXIX Chemical compound C[C@@H]1CC[C@@]2(CC[C@@]3(C(=CC[C@H]4[C@]3(CC[C@@H]5[C@@]4(CC[C@@H](C5(C)C)OS(=O)(=O)[O-])C)C)[C@@H]2[C@]1(C)O)C)C(=O)O[C@H]6[C@@H]([C@H]([C@@H]([C@H](O6)CO)O)O)O.[Na+] DGAQECJNVWCQMB-PUAWFVPOSA-M 0.000 description 1
- CKLJMWTZIZZHCS-REOHCLBHSA-N L-aspartic acid Chemical compound OC(=O)[C@@H](N)CC(O)=O CKLJMWTZIZZHCS-REOHCLBHSA-N 0.000 description 1
- WHUUTDBJXJRKMK-VKHMYHEASA-N L-glutamic acid Chemical compound OC(=O)[C@@H](N)CCC(O)=O WHUUTDBJXJRKMK-VKHMYHEASA-N 0.000 description 1
- JVTAAEKCZFNVCJ-UHFFFAOYSA-M Lactate Chemical compound CC(O)C([O-])=O JVTAAEKCZFNVCJ-UHFFFAOYSA-M 0.000 description 1
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 description 1
- OFOBLEOULBTSOW-UHFFFAOYSA-L Malonate Chemical compound [O-]C(=O)CC([O-])=O OFOBLEOULBTSOW-UHFFFAOYSA-L 0.000 description 1
- BZLVMXJERCGZMT-UHFFFAOYSA-N Methyl tert-butyl ether Chemical compound COC(C)(C)C BZLVMXJERCGZMT-UHFFFAOYSA-N 0.000 description 1
- 229910015275 MoF 6 Inorganic materials 0.000 description 1
- CHCKHBJIPBWXBS-UHFFFAOYSA-N N,N-bis(diethoxysilyl)propan-2-amine Chemical compound CCO[SiH](OCC)N(C(C)C)[SiH](OCC)OCC CHCKHBJIPBWXBS-UHFFFAOYSA-N 0.000 description 1
- ALDJVDLBZOOSJW-UHFFFAOYSA-N N,N-bis(diethylsilyl)methanamine Chemical compound CC[SiH](CC)N(C)[SiH](CC)CC ALDJVDLBZOOSJW-UHFFFAOYSA-N 0.000 description 1
- YRWHGRDNYIXZIP-UHFFFAOYSA-N N,N-bis(diethylsilyl)propan-2-amine Chemical compound CC[SiH](CC)N(C(C)C)[SiH](CC)CC YRWHGRDNYIXZIP-UHFFFAOYSA-N 0.000 description 1
- YGRBRKJLHGOADO-UHFFFAOYSA-N N,N-bis(dimethylsilyl)propan-2-amine Chemical compound CC(C)N([SiH](C)C)[SiH](C)C YGRBRKJLHGOADO-UHFFFAOYSA-N 0.000 description 1
- PABOJLCUORLPDE-UHFFFAOYSA-N N,N-dimethyl-2-silylethanamine Chemical compound CN(C)CC[SiH3] PABOJLCUORLPDE-UHFFFAOYSA-N 0.000 description 1
- UABNTUHMGKHWEL-UHFFFAOYSA-N N-(diethoxysilylmethyl)-1-phenylmethanamine Chemical compound C(C)O[SiH](CNCC1=CC=CC=C1)OCC UABNTUHMGKHWEL-UHFFFAOYSA-N 0.000 description 1
- OGABHZMFWNDLEX-UHFFFAOYSA-N N-(dimethoxysilylmethyl)-1-phenylmethanamine Chemical compound CO[SiH](CNCC1=CC=CC=C1)OC OGABHZMFWNDLEX-UHFFFAOYSA-N 0.000 description 1
- GNZBDLMUHMACNZ-UHFFFAOYSA-N N-(disilanyl)-N-propan-2-ylpropan-2-amine Chemical compound CC(C)N([SiH2][SiH3])C(C)C GNZBDLMUHMACNZ-UHFFFAOYSA-N 0.000 description 1
- NRUDICNDZOYWRM-UHFFFAOYSA-N N-(disilanyl)ethanamine Chemical group C(C)N[SiH2][SiH3] NRUDICNDZOYWRM-UHFFFAOYSA-N 0.000 description 1
- JHLGTSTWDMTGFA-UHFFFAOYSA-N N-(disilylamino)silyl-N-propan-2-ylpropan-2-amine Chemical compound C(C)(C)N(C(C)C)[SiH2]N([SiH3])[SiH3] JHLGTSTWDMTGFA-UHFFFAOYSA-N 0.000 description 1
- ZZHXBZOWQPNBCA-UHFFFAOYSA-N N-(propan-2-ylamino)silylpropan-2-amine Chemical compound CC(C)N[SiH2]NC(C)C ZZHXBZOWQPNBCA-UHFFFAOYSA-N 0.000 description 1
- JOOMLFKONHCLCJ-UHFFFAOYSA-N N-(trimethylsilyl)diethylamine Chemical compound CCN(CC)[Si](C)(C)C JOOMLFKONHCLCJ-UHFFFAOYSA-N 0.000 description 1
- 229910014299 N-Si Inorganic materials 0.000 description 1
- PIEIBYSEOVFYTE-UHFFFAOYSA-N N-[(butan-2-ylamino)-methylsilyl]butan-2-amine Chemical compound [SiH](C)(NC(C)CC)NC(C)CC PIEIBYSEOVFYTE-UHFFFAOYSA-N 0.000 description 1
- DXRQMMVGGKUEPN-UHFFFAOYSA-N N-[bis(2-methylbutan-2-yloxy)silyl]-2-methylpropan-1-amine Chemical compound CCC(C)(C)O[SiH](NCC(C)C)OC(C)(C)CC DXRQMMVGGKUEPN-UHFFFAOYSA-N 0.000 description 1
- KJEBLZBZTGNASP-UHFFFAOYSA-N N-[bis(2-methylbutan-2-yloxy)silyl]-2-methylpropan-2-amine Chemical compound CCC(C)(C)O[SiH](NC(C)(C)C)OC(C)(C)CC KJEBLZBZTGNASP-UHFFFAOYSA-N 0.000 description 1
- HNNNIVFTSOGDBZ-UHFFFAOYSA-N N-[bis(2-methylbutan-2-yloxy)silyl]butan-1-amine Chemical compound CCCCN[SiH](OC(C)(C)CC)OC(C)(C)CC HNNNIVFTSOGDBZ-UHFFFAOYSA-N 0.000 description 1
- YULGGMJOLUHZSA-UHFFFAOYSA-N N-[bis(2-methylbutan-2-yloxy)silyl]butan-2-amine Chemical compound CCC(C)N[SiH](OC(C)(C)CC)OC(C)(C)CC YULGGMJOLUHZSA-UHFFFAOYSA-N 0.000 description 1
- WYDDEPGXUFDTBJ-UHFFFAOYSA-N N-[bis(2-methylbutan-2-yloxy)silyl]propan-2-amine Chemical compound CCC(C)(C)O[SiH](NC(C)C)OC(C)(C)CC WYDDEPGXUFDTBJ-UHFFFAOYSA-N 0.000 description 1
- PEHZZDWEPCLKJS-UHFFFAOYSA-N N-[bis[(2-methylpropan-2-yl)oxy]silyl]-2-methylpropan-1-amine Chemical compound CC(C)CN[SiH](OC(C)(C)C)OC(C)(C)C PEHZZDWEPCLKJS-UHFFFAOYSA-N 0.000 description 1
- LWYHMQLATGXSFD-UHFFFAOYSA-N N-[bis[(2-methylpropan-2-yl)oxy]silyl]ethanamine Chemical compound CCN[SiH](OC(C)(C)C)OC(C)(C)C LWYHMQLATGXSFD-UHFFFAOYSA-N 0.000 description 1
- XPMAASVRQVLJDC-UHFFFAOYSA-N N-[bis[(2-methylpropan-2-yl)oxy]silyl]methanamine Chemical compound CC(C)(C)O[SiH](NC)OC(C)(C)C XPMAASVRQVLJDC-UHFFFAOYSA-N 0.000 description 1
- ZLBFACLQWTWFKR-UHFFFAOYSA-N N-[bis[(2-methylpropan-2-yl)oxy]silyl]propan-2-amine Chemical compound CC(C)N[SiH](OC(C)(C)C)OC(C)(C)C ZLBFACLQWTWFKR-UHFFFAOYSA-N 0.000 description 1
- AAIOMABGQIWKQQ-UHFFFAOYSA-N N-[di(propan-2-yl)amino]silyl-N-propan-2-ylpropan-2-amine Chemical compound CC(C)N([SiH2]N(C(C)C)C(C)C)C(C)C AAIOMABGQIWKQQ-UHFFFAOYSA-N 0.000 description 1
- RTCWKUOBAKIBGZ-UHFFFAOYSA-N N-[ethyl(methyl)amino]silyl-N-methylethanamine Chemical compound CCN(C)[SiH2]N(C)CC RTCWKUOBAKIBGZ-UHFFFAOYSA-N 0.000 description 1
- ANSYKGYLJJTCPH-UHFFFAOYSA-N N-butan-2-yl-N-(disilanyl)butan-2-amine Chemical compound CCC(C)N([SiH2][SiH3])C(C)CC ANSYKGYLJJTCPH-UHFFFAOYSA-N 0.000 description 1
- HRTYHPDLPGJNHM-UHFFFAOYSA-N N-butan-2-yl-N-(silylmethyl)butan-2-amine Chemical compound C(C)(CC)N(C(C)CC)C[SiH3] HRTYHPDLPGJNHM-UHFFFAOYSA-N 0.000 description 1
- SFLARCZJKUXPCE-UHFFFAOYSA-N N-butan-2-yl-N-silylbutan-2-amine Chemical compound CCC(C)N([SiH3])C(C)CC SFLARCZJKUXPCE-UHFFFAOYSA-N 0.000 description 1
- BUYUWFMABIMZNT-UHFFFAOYSA-N N-cyclohexyl-N-silylcyclohexanamine Chemical compound C1CCCCC1N([SiH3])C1CCCCC1 BUYUWFMABIMZNT-UHFFFAOYSA-N 0.000 description 1
- BWQWTFSJZUXFDL-UHFFFAOYSA-N N-diethoxysilyl-1-phenylmethanamine Chemical compound CCO[SiH](OCC)NCC1=CC=CC=C1 BWQWTFSJZUXFDL-UHFFFAOYSA-N 0.000 description 1
- FCEKIRHPENJFQU-UHFFFAOYSA-N N-diethoxysilyl-2-methylpropan-2-amine Chemical compound CCO[SiH](NC(C)(C)C)OCC FCEKIRHPENJFQU-UHFFFAOYSA-N 0.000 description 1
- UQQABJDGURCJNN-UHFFFAOYSA-N N-diethoxysilylpropan-2-amine Chemical compound CCO[SiH](NC(C)C)OCC UQQABJDGURCJNN-UHFFFAOYSA-N 0.000 description 1
- HFFIGVUBWMEZJT-UHFFFAOYSA-N N-diethylsilyl-N-methylmethanamine Chemical compound CC[SiH](CC)N(C)C HFFIGVUBWMEZJT-UHFFFAOYSA-N 0.000 description 1
- WRXFZWYDWIZMGJ-UHFFFAOYSA-N N-dimethoxysilyl-1-phenylmethanamine Chemical compound CO[SiH](OC)NCC1=CC=CC=C1 WRXFZWYDWIZMGJ-UHFFFAOYSA-N 0.000 description 1
- SQIKBMFZMZLSMO-UHFFFAOYSA-N N-dimethylsilyl-N-propan-2-ylpropan-2-amine Chemical compound CC(C)N(C(C)C)[SiH](C)C SQIKBMFZMZLSMO-UHFFFAOYSA-N 0.000 description 1
- JRLANEBCUVHNQP-UHFFFAOYSA-N N-dimethylsilylpropan-2-amine Chemical compound CC(C)N[SiH](C)C JRLANEBCUVHNQP-UHFFFAOYSA-N 0.000 description 1
- INHLJSHARULKDI-UHFFFAOYSA-N N-ethyl-N-(silylmethyl)ethanamine Chemical compound CCN(CC)C[SiH3] INHLJSHARULKDI-UHFFFAOYSA-N 0.000 description 1
- XLQBVGUBXYNRRJ-UHFFFAOYSA-N N-ethyl-N-silylcyclohexanamine Chemical compound CCN([SiH3])C1CCCCC1 XLQBVGUBXYNRRJ-UHFFFAOYSA-N 0.000 description 1
- DGANKKKMPQFACE-UHFFFAOYSA-N N-methyl-N-[methyl(methylsilyl)silyl]methanamine Chemical compound C[SiH2][SiH](C)N(C)C DGANKKKMPQFACE-UHFFFAOYSA-N 0.000 description 1
- DMLSHGGAICSCEF-UHFFFAOYSA-N N-methyl-N-phenylsilylmethanamine Chemical compound CN(C)[SiH2]c1ccccc1 DMLSHGGAICSCEF-UHFFFAOYSA-N 0.000 description 1
- OQLNRLSREPYVEN-UHFFFAOYSA-N N-methyl-N-silylcyclohexanamine Chemical compound CN([SiH3])C1CCCCC1 OQLNRLSREPYVEN-UHFFFAOYSA-N 0.000 description 1
- CHJJGSNFBQVOTG-UHFFFAOYSA-N N-methyl-guanidine Natural products CNC(N)=N CHJJGSNFBQVOTG-UHFFFAOYSA-N 0.000 description 1
- UCDBDBSGNPSTBN-UHFFFAOYSA-N N-phenylsilylcyclohexanamine Chemical compound C1(=CC=CC=C1)[SiH2]NC1CCCCC1 UCDBDBSGNPSTBN-UHFFFAOYSA-N 0.000 description 1
- IAQJQGCKDFCPCS-UHFFFAOYSA-N N-propan-2-yl-N-(silylmethyl)propan-2-amine Chemical compound C(C)(C)N(C(C)C)C[SiH3] IAQJQGCKDFCPCS-UHFFFAOYSA-N 0.000 description 1
- CGRVKSPUKAFTBN-UHFFFAOYSA-N N-silylbutan-1-amine Chemical group CCCCN[SiH3] CGRVKSPUKAFTBN-UHFFFAOYSA-N 0.000 description 1
- CLQPEJKJHMMRRW-UHFFFAOYSA-N N-silylpropan-2-amine Chemical compound CC(C)N[SiH3] CLQPEJKJHMMRRW-UHFFFAOYSA-N 0.000 description 1
- 229910002651 NO3 Inorganic materials 0.000 description 1
- 241001475023 Neope Species 0.000 description 1
- PVNIIMVLHYAWGP-UHFFFAOYSA-N Niacin Chemical class OC(=O)C1=CC=CN=C1 PVNIIMVLHYAWGP-UHFFFAOYSA-N 0.000 description 1
- NHNBFGGVMKEFGY-UHFFFAOYSA-N Nitrate Chemical compound [O-][N+]([O-])=O NHNBFGGVMKEFGY-UHFFFAOYSA-N 0.000 description 1
- JCXJVPUVTGWSNB-UHFFFAOYSA-N Nitrogen dioxide Chemical compound O=[N]=O JCXJVPUVTGWSNB-UHFFFAOYSA-N 0.000 description 1
- MUBZPKHOEPUJKR-UHFFFAOYSA-N Oxalic acid Chemical compound OC(=O)C(O)=O MUBZPKHOEPUJKR-UHFFFAOYSA-N 0.000 description 1
- 229910019142 PO4 Inorganic materials 0.000 description 1
- ZLMJMSJWJFRBEC-UHFFFAOYSA-N Potassium Chemical compound [K] ZLMJMSJWJFRBEC-UHFFFAOYSA-N 0.000 description 1
- XBDQKXXYIPTUBI-UHFFFAOYSA-M Propionate Chemical compound CCC([O-])=O XBDQKXXYIPTUBI-UHFFFAOYSA-M 0.000 description 1
- KDCGOANMDULRCW-UHFFFAOYSA-N Purine Natural products N1=CNC2=NC=NC2=C1 KDCGOANMDULRCW-UHFFFAOYSA-N 0.000 description 1
- 229910003691 SiBr Inorganic materials 0.000 description 1
- 229910003902 SiCl 4 Inorganic materials 0.000 description 1
- QAOWNCQODCNURD-UHFFFAOYSA-L Sulfate Chemical compound [O-]S([O-])(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-L 0.000 description 1
- FZWLAAWBMGSTSO-UHFFFAOYSA-N Thiazole Chemical group C1=CSC=N1 FZWLAAWBMGSTSO-UHFFFAOYSA-N 0.000 description 1
- GNVMUORYQLCPJZ-UHFFFAOYSA-M Thiocarbamate Chemical compound NC([S-])=O GNVMUORYQLCPJZ-UHFFFAOYSA-M 0.000 description 1
- ZMZDMBWJUHKJPS-UHFFFAOYSA-M Thiocyanate anion Chemical compound [S-]C#N ZMZDMBWJUHKJPS-UHFFFAOYSA-M 0.000 description 1
- HCHKCACWOHOZIP-UHFFFAOYSA-N Zinc Chemical compound [Zn] HCHKCACWOHOZIP-UHFFFAOYSA-N 0.000 description 1
- QFVKVDZUTYOOBZ-UHFFFAOYSA-N [(diethoxysilylamino)-ethoxysilyl]oxyethane Chemical compound CCO[SiH](OCC)N[SiH](OCC)OCC QFVKVDZUTYOOBZ-UHFFFAOYSA-N 0.000 description 1
- PUVVSMFTBVEMTC-UHFFFAOYSA-N [(diethylsilylamino)-ethylsilyl]ethane Chemical compound CC[SiH](CC)N[SiH](CC)CC PUVVSMFTBVEMTC-UHFFFAOYSA-N 0.000 description 1
- PPZHNJOKFNZNLJ-UHFFFAOYSA-N [(dimethoxysilylamino)-methoxysilyl]oxymethane Chemical compound CO[SiH](OC)N[SiH](OC)OC PPZHNJOKFNZNLJ-UHFFFAOYSA-N 0.000 description 1
- UMVBXBACMIOFDO-UHFFFAOYSA-N [N].[Si] Chemical compound [N].[Si] UMVBXBACMIOFDO-UHFFFAOYSA-N 0.000 description 1
- AMMRRYPEGZGNHC-UHFFFAOYSA-N [O].N[Si] Chemical group [O].N[Si] AMMRRYPEGZGNHC-UHFFFAOYSA-N 0.000 description 1
- CIBUDKJODXACBL-UHFFFAOYSA-N [SiH3][SiH2]Br Chemical compound [SiH3][SiH2]Br CIBUDKJODXACBL-UHFFFAOYSA-N 0.000 description 1
- DHVPLDUEYIAHOM-UHFFFAOYSA-N [SiH3][SiH](Br)Br Chemical compound [SiH3][SiH](Br)Br DHVPLDUEYIAHOM-UHFFFAOYSA-N 0.000 description 1
- MHRNQQUEUYMEEH-UHFFFAOYSA-N [[[ethyl(dimethyl)silyl]amino]-dimethylsilyl]ethane Chemical compound CC[Si](C)(C)N[Si](C)(C)CC MHRNQQUEUYMEEH-UHFFFAOYSA-N 0.000 description 1
- PEGHITPVRNZWSI-UHFFFAOYSA-N [[bis(trimethylsilyl)amino]-dimethylsilyl]methane Chemical compound C[Si](C)(C)N([Si](C)(C)C)[Si](C)(C)C PEGHITPVRNZWSI-UHFFFAOYSA-N 0.000 description 1
- FXMBKAAULHJRKL-UHFFFAOYSA-N [amino(dimethoxy)silyl]oxymethane Chemical compound CO[Si](N)(OC)OC FXMBKAAULHJRKL-UHFFFAOYSA-N 0.000 description 1
- GFUSJTGZSSHKHD-UHFFFAOYSA-N [amino(ethoxy)silyl]oxyethane Chemical compound N[SiH](OCC)OCC GFUSJTGZSSHKHD-UHFFFAOYSA-N 0.000 description 1
- RWSLHLQWGULCLI-UHFFFAOYSA-N [amino(ethyl)silyl]ethane Chemical compound CC[SiH](N)CC RWSLHLQWGULCLI-UHFFFAOYSA-N 0.000 description 1
- FSKTVLIDJTYDMW-UHFFFAOYSA-N [amino(methoxy)silyl]oxymethane Chemical compound CO[SiH](N)OC FSKTVLIDJTYDMW-UHFFFAOYSA-N 0.000 description 1
- VEZYCISMGXNHOV-UHFFFAOYSA-N [dimethyl-[(trimethylsilylamino)silylamino]silyl]methane Chemical compound C[Si](C)(C)N[SiH2]N[Si](C)(C)C VEZYCISMGXNHOV-UHFFFAOYSA-N 0.000 description 1
- 125000002777 acetyl group Chemical group [H]C([H])([H])C(*)=O 0.000 description 1
- 125000003668 acetyloxy group Chemical group [H]C([H])([H])C(=O)O[*] 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 230000002378 acidificating effect Effects 0.000 description 1
- 125000002015 acyclic group Chemical group 0.000 description 1
- 125000004423 acyloxy group Chemical group 0.000 description 1
- WNLRTRBMVRJNCN-UHFFFAOYSA-L adipate(2-) Chemical compound [O-]C(=O)CCCCC([O-])=O WNLRTRBMVRJNCN-UHFFFAOYSA-L 0.000 description 1
- 150000001299 aldehydes Chemical class 0.000 description 1
- 229940072056 alginate Drugs 0.000 description 1
- 235000010443 alginic acid Nutrition 0.000 description 1
- 229920000615 alginic acid Polymers 0.000 description 1
- 229910052784 alkaline earth metal Inorganic materials 0.000 description 1
- 125000002009 alkene group Chemical group 0.000 description 1
- 150000004703 alkoxides Chemical class 0.000 description 1
- 125000004414 alkyl thio group Chemical group 0.000 description 1
- AWUCVROLDVIAJX-UHFFFAOYSA-N alpha-glycerophosphate Natural products OCC(O)COP(O)(O)=O AWUCVROLDVIAJX-UHFFFAOYSA-N 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 150000001409 amidines Chemical class 0.000 description 1
- 125000004202 aminomethyl group Chemical group [H]N([H])C([H])([H])* 0.000 description 1
- SMWDFEZZVXVKRB-UHFFFAOYSA-N anhydrous quinoline Natural products N1=CC=CC2=CC=CC=C21 SMWDFEZZVXVKRB-UHFFFAOYSA-N 0.000 description 1
- 150000001449 anionic compounds Chemical class 0.000 description 1
- 125000002178 anthracenyl group Chemical group C1(=CC=CC2=CC3=CC=CC=C3C=C12)* 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 239000000010 aprotic solvent Substances 0.000 description 1
- 229940072107 ascorbate Drugs 0.000 description 1
- 235000010323 ascorbic acid Nutrition 0.000 description 1
- 239000011668 ascorbic acid Substances 0.000 description 1
- 229940009098 aspartate Drugs 0.000 description 1
- 239000012298 atmosphere Substances 0.000 description 1
- GPBUGPUPKAGMDK-UHFFFAOYSA-N azanylidynemolybdenum Chemical compound [Mo]#N GPBUGPUPKAGMDK-UHFFFAOYSA-N 0.000 description 1
- 125000000852 azido group Chemical group *N=[N+]=[N-] 0.000 description 1
- 229910052788 barium Inorganic materials 0.000 description 1
- DSAJWYNOEDNPEQ-UHFFFAOYSA-N barium atom Chemical compound [Ba] DSAJWYNOEDNPEQ-UHFFFAOYSA-N 0.000 description 1
- 230000006399 behavior Effects 0.000 description 1
- 229940077388 benzenesulfonate Drugs 0.000 description 1
- SRSXLGNVWSONIS-UHFFFAOYSA-M benzenesulfonate Chemical compound [O-]S(=O)(=O)C1=CC=CC=C1 SRSXLGNVWSONIS-UHFFFAOYSA-M 0.000 description 1
- 125000003785 benzimidazolyl group Chemical group N1=C(NC2=C1C=CC=C2)* 0.000 description 1
- 229940050390 benzoate Drugs 0.000 description 1
- 125000000499 benzofuranyl group Chemical group O1C(=CC2=C1C=CC=C2)* 0.000 description 1
- 125000004618 benzofuryl group Chemical group O1C(=CC2=C1C=CC=C2)* 0.000 description 1
- WPYMKLBDIGXBTP-UHFFFAOYSA-N benzoic acid Chemical compound OC(=O)C1=CC=CC=C1 WPYMKLBDIGXBTP-UHFFFAOYSA-N 0.000 description 1
- DZBUGLKDJFMEHC-UHFFFAOYSA-N benzoquinolinylidene Natural products C1=CC=CC2=CC3=CC=CC=C3N=C21 DZBUGLKDJFMEHC-UHFFFAOYSA-N 0.000 description 1
- MSWZFWKMSRAUBD-UHFFFAOYSA-N beta-D-galactosamine Natural products NC1C(O)OC(CO)C(O)C1O MSWZFWKMSRAUBD-UHFFFAOYSA-N 0.000 description 1
- XMIIGOLPHOKFCH-UHFFFAOYSA-N beta-phenylpropanoic acid Natural products OC(=O)CCC1=CC=CC=C1 XMIIGOLPHOKFCH-UHFFFAOYSA-N 0.000 description 1
- 125000002619 bicyclic group Chemical group 0.000 description 1
- 235000010290 biphenyl Nutrition 0.000 description 1
- 239000004305 biphenyl Substances 0.000 description 1
- ODNAHAGEJPTVGB-UHFFFAOYSA-N bis(2-methylbutan-2-yloxy)silane Chemical compound CCC(C)(C)O[SiH2]OC(C)(C)CC ODNAHAGEJPTVGB-UHFFFAOYSA-N 0.000 description 1
- GEVFICDEOWFKDU-UHFFFAOYSA-N bis[(2-methylpropan-2-yl)oxy]-di(propan-2-yl)silane Chemical compound CC(C)(C)O[Si](C(C)C)(OC(C)(C)C)C(C)C GEVFICDEOWFKDU-UHFFFAOYSA-N 0.000 description 1
- HZXFQABHGXHWKC-UHFFFAOYSA-N bis[(2-methylpropan-2-yl)oxy]silane Chemical compound CC(C)(C)O[SiH2]OC(C)(C)C HZXFQABHGXHWKC-UHFFFAOYSA-N 0.000 description 1
- 229910052797 bismuth Inorganic materials 0.000 description 1
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- SXDBWCPKPHAZSM-UHFFFAOYSA-N bromic acid Chemical compound OBr(=O)=O SXDBWCPKPHAZSM-UHFFFAOYSA-N 0.000 description 1
- 150000001649 bromium compounds Chemical class 0.000 description 1
- FTYZKCCJUXJFLT-UHFFFAOYSA-N bromosilicon Chemical compound Br[Si] FTYZKCCJUXJFLT-UHFFFAOYSA-N 0.000 description 1
- SOKKGFZWZZLHEK-UHFFFAOYSA-N butoxy(dimethyl)silane Chemical group CCCCO[SiH](C)C SOKKGFZWZZLHEK-UHFFFAOYSA-N 0.000 description 1
- HVCBWAHRVCPLEM-UHFFFAOYSA-N butoxy(triethyl)silane Chemical group CCCCO[Si](CC)(CC)CC HVCBWAHRVCPLEM-UHFFFAOYSA-N 0.000 description 1
- YTJUXOIAXOQWBV-UHFFFAOYSA-N butoxy(trimethyl)silane Chemical group CCCCO[Si](C)(C)C YTJUXOIAXOQWBV-UHFFFAOYSA-N 0.000 description 1
- 125000006309 butyl amino group Chemical group 0.000 description 1
- MQOHJJURSQZEFF-UHFFFAOYSA-N butyl(silyl)silane Chemical group CCCC[SiH2][SiH3] MQOHJJURSQZEFF-UHFFFAOYSA-N 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000011575 calcium Substances 0.000 description 1
- 229910052791 calcium Inorganic materials 0.000 description 1
- MIOPJNTWMNEORI-UHFFFAOYSA-N camphorsulfonic acid Chemical compound C1CC2(CS(O)(=O)=O)C(=O)CC1C2(C)C MIOPJNTWMNEORI-UHFFFAOYSA-N 0.000 description 1
- CREMABGTGYGIQB-UHFFFAOYSA-N carbon carbon Chemical compound C.C CREMABGTGYGIQB-UHFFFAOYSA-N 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- CVCSGXJPONFHRC-UHFFFAOYSA-N carbon monoxide;cobalt;nitroxyl anion Chemical compound [Co].[O+]#[C-].[O+]#[C-].[O+]#[C-].O=[N-] CVCSGXJPONFHRC-UHFFFAOYSA-N 0.000 description 1
- 125000000473 carbonimidoyl group Chemical group [H]\N=C(/*)* 0.000 description 1
- 150000001767 cationic compounds Chemical class 0.000 description 1
- 239000007806 chemical reaction intermediate Substances 0.000 description 1
- VDANGULDQQJODZ-UHFFFAOYSA-N chloroprocaine Chemical compound CCN(CC)CCOC(=O)C1=CC=C(N)C=C1Cl VDANGULDQQJODZ-UHFFFAOYSA-N 0.000 description 1
- 229960002023 chloroprocaine Drugs 0.000 description 1
- SLLGVCUQYRMELA-UHFFFAOYSA-N chlorosilicon Chemical compound Cl[Si] SLLGVCUQYRMELA-UHFFFAOYSA-N 0.000 description 1
- OEYIOHPDSNJKLS-UHFFFAOYSA-N choline Chemical compound C[N+](C)(C)CCO OEYIOHPDSNJKLS-UHFFFAOYSA-N 0.000 description 1
- 229960001231 choline Drugs 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229940011182 cobalt acetate Drugs 0.000 description 1
- XLJKHNWPARRRJB-UHFFFAOYSA-N cobalt(2+) Chemical compound [Co+2] XLJKHNWPARRRJB-UHFFFAOYSA-N 0.000 description 1
- QAHREYKOYSIQPH-UHFFFAOYSA-L cobalt(II) acetate Chemical compound [Co+2].CC([O-])=O.CC([O-])=O QAHREYKOYSIQPH-UHFFFAOYSA-L 0.000 description 1
- FJDJVBXSSLDNJB-LNTINUHCSA-N cobalt;(z)-4-hydroxypent-3-en-2-one Chemical compound [Co].C\C(O)=C\C(C)=O.C\C(O)=C\C(C)=O.C\C(O)=C\C(C)=O FJDJVBXSSLDNJB-LNTINUHCSA-N 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- FIRQYUPQXNPTKO-UHFFFAOYSA-N ctk0i2755 Chemical class N[SiH2]N FIRQYUPQXNPTKO-UHFFFAOYSA-N 0.000 description 1
- 125000004850 cyclobutylmethyl group Chemical group C1(CCC1)C* 0.000 description 1
- 125000000582 cycloheptyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 1
- 125000000596 cyclohexenyl group Chemical group C1(=CCCCC1)* 0.000 description 1
- BALGDZWGNCXXES-UHFFFAOYSA-N cyclopentane;propanoic acid Chemical compound CCC(O)=O.C1CCCC1 BALGDZWGNCXXES-UHFFFAOYSA-N 0.000 description 1
- 125000002433 cyclopentenyl group Chemical group C1(=CCCC1)* 0.000 description 1
- 125000004186 cyclopropylmethyl group Chemical group [H]C([H])(*)C1([H])C([H])([H])C1([H])[H] 0.000 description 1
- DDJSWKLBKSLAAZ-UHFFFAOYSA-N cyclotetrasiloxane Chemical class O1[SiH2]O[SiH2]O[SiH2]O[SiH2]1 DDJSWKLBKSLAAZ-UHFFFAOYSA-N 0.000 description 1
- JJRDHFIVAPVZJN-UHFFFAOYSA-N cyclotrisiloxane Chemical compound O1[SiH2]O[SiH2]O[SiH2]1 JJRDHFIVAPVZJN-UHFFFAOYSA-N 0.000 description 1
- 125000002704 decyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- KSAXRSCCGNYTLK-UHFFFAOYSA-N di(imidazol-1-yl)-dimethylsilane Chemical compound C1=CN=CN1[Si](C)(C)N1C=CN=C1 KSAXRSCCGNYTLK-UHFFFAOYSA-N 0.000 description 1
- XVCNAZQXIVBYAD-UHFFFAOYSA-N di(propan-2-yl)-di(propan-2-yloxy)silane Chemical compound CC(C)O[Si](C(C)C)(C(C)C)OC(C)C XVCNAZQXIVBYAD-UHFFFAOYSA-N 0.000 description 1
- YEMJITXWLIHJHJ-UHFFFAOYSA-N di(propan-2-yloxy)silane Chemical compound CC(C)O[SiH2]OC(C)C YEMJITXWLIHJHJ-UHFFFAOYSA-N 0.000 description 1
- 125000005265 dialkylamine group Chemical group 0.000 description 1
- KSFBTBXTZDJOHO-UHFFFAOYSA-N diaminosilicon Chemical compound N[Si]N KSFBTBXTZDJOHO-UHFFFAOYSA-N 0.000 description 1
- FHIVAFMUCKRCQO-UHFFFAOYSA-N diazinon Chemical compound CCOP(=S)(OCC)OC1=CC(C)=NC(C(C)C)=N1 FHIVAFMUCKRCQO-UHFFFAOYSA-N 0.000 description 1
- RRLUJJJKORUBSJ-UHFFFAOYSA-N diazonio(isocyanato)azanide Chemical class [N-]=[N+]=NN=C=O RRLUJJJKORUBSJ-UHFFFAOYSA-N 0.000 description 1
- VJIYRPVGAZXYBD-UHFFFAOYSA-N dibromosilane Chemical compound Br[SiH2]Br VJIYRPVGAZXYBD-UHFFFAOYSA-N 0.000 description 1
- ACYGYJFTZSAZKR-UHFFFAOYSA-J dicalcium;2-[2-[bis(carboxylatomethyl)amino]ethyl-(carboxylatomethyl)amino]acetate Chemical compound [Ca+2].[Ca+2].[O-]C(=O)CN(CC([O-])=O)CCN(CC([O-])=O)CC([O-])=O ACYGYJFTZSAZKR-UHFFFAOYSA-J 0.000 description 1
- 229940117389 dichlorobenzene Drugs 0.000 description 1
- BUMGIEFFCMBQDG-UHFFFAOYSA-N dichlorosilicon Chemical compound Cl[Si]Cl BUMGIEFFCMBQDG-UHFFFAOYSA-N 0.000 description 1
- UDJQAOMQLIIJIE-UHFFFAOYSA-L dichlorotungsten Chemical compound Cl[W]Cl UDJQAOMQLIIJIE-UHFFFAOYSA-L 0.000 description 1
- ZBCBWPMODOFKDW-UHFFFAOYSA-N diethanolamine Chemical compound OCCNCCO ZBCBWPMODOFKDW-UHFFFAOYSA-N 0.000 description 1
- ZMAPKOCENOWQRE-UHFFFAOYSA-N diethoxy(diethyl)silane Chemical compound CCO[Si](CC)(CC)OCC ZMAPKOCENOWQRE-UHFFFAOYSA-N 0.000 description 1
- VSYLGGHSEIWGJV-UHFFFAOYSA-N diethyl(dimethoxy)silane Chemical compound CC[Si](CC)(OC)OC VSYLGGHSEIWGJV-UHFFFAOYSA-N 0.000 description 1
- HKAGYJNZCWXVCS-UHFFFAOYSA-N diethyl-bis[(2-methylpropan-2-yl)oxy]silane Chemical compound CC(C)(C)O[Si](CC)(CC)OC(C)(C)C HKAGYJNZCWXVCS-UHFFFAOYSA-N 0.000 description 1
- ZWPNXHXXRLYCHZ-UHFFFAOYSA-N diethyl-di(propan-2-yloxy)silane Chemical compound CC(C)O[Si](CC)(CC)OC(C)C ZWPNXHXXRLYCHZ-UHFFFAOYSA-N 0.000 description 1
- 125000001664 diethylamino group Chemical group [H]C([H])([H])C([H])([H])N(*)C([H])([H])C([H])([H])[H] 0.000 description 1
- ADTGAVILDBXARD-UHFFFAOYSA-N diethylamino(dimethyl)silicon Chemical compound CCN(CC)[Si](C)C ADTGAVILDBXARD-UHFFFAOYSA-N 0.000 description 1
- 229940116901 diethyldithiocarbamate Drugs 0.000 description 1
- LMBWSYZSUOEYSN-UHFFFAOYSA-N diethyldithiocarbamic acid Chemical compound CCN(CC)C(S)=S LMBWSYZSUOEYSN-UHFFFAOYSA-N 0.000 description 1
- 125000004852 dihydrofuranyl group Chemical group O1C(CC=C1)* 0.000 description 1
- 125000005043 dihydropyranyl group Chemical group O1C(CCC=C1)* 0.000 description 1
- 125000005057 dihydrothienyl group Chemical group S1C(CC=C1)* 0.000 description 1
- JJQZDUKDJDQPMQ-UHFFFAOYSA-N dimethoxy(dimethyl)silane Chemical compound CO[Si](C)(C)OC JJQZDUKDJDQPMQ-UHFFFAOYSA-N 0.000 description 1
- AHUXYBVKTIBBJW-UHFFFAOYSA-N dimethoxy(diphenyl)silane Chemical compound C=1C=CC=CC=1[Si](OC)(OC)C1=CC=CC=C1 AHUXYBVKTIBBJW-UHFFFAOYSA-N 0.000 description 1
- VHPUZTHRFWIGAW-UHFFFAOYSA-N dimethoxy-di(propan-2-yl)silane Chemical compound CO[Si](OC)(C(C)C)C(C)C VHPUZTHRFWIGAW-UHFFFAOYSA-N 0.000 description 1
- YQGOWXYZDLJBFL-UHFFFAOYSA-N dimethoxysilane Chemical compound CO[SiH2]OC YQGOWXYZDLJBFL-UHFFFAOYSA-N 0.000 description 1
- OARYFQYHTWCNQO-UHFFFAOYSA-N dimethyl(propan-2-yloxy)silane Chemical compound CC(C)O[SiH](C)C OARYFQYHTWCNQO-UHFFFAOYSA-N 0.000 description 1
- BGPNEHJZZDIFND-UHFFFAOYSA-N dimethyl-bis[(2-methylpropan-2-yl)oxy]silane Chemical compound CC(C)(C)O[Si](C)(C)OC(C)(C)C BGPNEHJZZDIFND-UHFFFAOYSA-N 0.000 description 1
- BPXCAJONOPIXJI-UHFFFAOYSA-N dimethyl-di(propan-2-yloxy)silane Chemical compound CC(C)O[Si](C)(C)OC(C)C BPXCAJONOPIXJI-UHFFFAOYSA-N 0.000 description 1
- 125000002147 dimethylamino group Chemical group [H]C([H])([H])N(*)C([H])([H])[H] 0.000 description 1
- KZFNONVXCZVHRD-UHFFFAOYSA-N dimethylamino(dimethyl)silicon Chemical compound CN(C)[Si](C)C KZFNONVXCZVHRD-UHFFFAOYSA-N 0.000 description 1
- SWSQBOPZIKWTGO-UHFFFAOYSA-N dimethylaminoamidine Natural products CN(C)C(N)=N SWSQBOPZIKWTGO-UHFFFAOYSA-N 0.000 description 1
- NYMVBZHJSKIHQK-UHFFFAOYSA-N dimethylsilyl acetate Chemical compound C[SiH](C)OC(C)=O NYMVBZHJSKIHQK-UHFFFAOYSA-N 0.000 description 1
- 239000001177 diphosphate Substances 0.000 description 1
- XPPKVPWEQAFLFU-UHFFFAOYSA-J diphosphate(4-) Chemical compound [O-]P([O-])(=O)OP([O-])([O-])=O XPPKVPWEQAFLFU-UHFFFAOYSA-J 0.000 description 1
- 235000011180 diphosphates Nutrition 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 125000002228 disulfide group Chemical group 0.000 description 1
- 150000002019 disulfides Chemical class 0.000 description 1
- ZLKSBZCITVUTSN-UHFFFAOYSA-N ditert-butylsilane Chemical group CC(C)(C)[SiH2]C(C)(C)C ZLKSBZCITVUTSN-UHFFFAOYSA-N 0.000 description 1
- POULHZVOKOAJMA-UHFFFAOYSA-M dodecanoate Chemical compound CCCCCCCCCCCC([O-])=O POULHZVOKOAJMA-UHFFFAOYSA-M 0.000 description 1
- 229940043264 dodecyl sulfate Drugs 0.000 description 1
- 229940009662 edetate Drugs 0.000 description 1
- 125000006575 electron-withdrawing group Chemical group 0.000 description 1
- CCIVGXIOQKPBKL-UHFFFAOYSA-M ethanesulfonate Chemical compound CCS([O-])(=O)=O CCIVGXIOQKPBKL-UHFFFAOYSA-M 0.000 description 1
- MBGQQKKTDDNCSG-UHFFFAOYSA-N ethenyl-diethoxy-methylsilane Chemical compound CCO[Si](C)(C=C)OCC MBGQQKKTDDNCSG-UHFFFAOYSA-N 0.000 description 1
- ZLNAFSPCNATQPQ-UHFFFAOYSA-N ethenyl-dimethoxy-methylsilane Chemical compound CO[Si](C)(OC)C=C ZLNAFSPCNATQPQ-UHFFFAOYSA-N 0.000 description 1
- 150000002170 ethers Chemical class 0.000 description 1
- DRUOQOFQRYFQGB-UHFFFAOYSA-N ethoxy(dimethyl)silicon Chemical compound CCO[Si](C)C DRUOQOFQRYFQGB-UHFFFAOYSA-N 0.000 description 1
- RSIHJDGMBDPTIM-UHFFFAOYSA-N ethoxy(trimethyl)silane Chemical compound CCO[Si](C)(C)C RSIHJDGMBDPTIM-UHFFFAOYSA-N 0.000 description 1
- SBRXLTRZCJVAPH-UHFFFAOYSA-N ethyl(trimethoxy)silane Chemical compound CC[Si](OC)(OC)OC SBRXLTRZCJVAPH-UHFFFAOYSA-N 0.000 description 1
- VLTZUJBHIUUHIK-UHFFFAOYSA-N ethylcyclopentane;ruthenium Chemical compound [Ru].CC[C]1[CH][CH][CH][CH]1.CC[C]1[CH][CH][CH][CH]1 VLTZUJBHIUUHIK-UHFFFAOYSA-N 0.000 description 1
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 150000002222 fluorine compounds Chemical class 0.000 description 1
- 125000002485 formyl group Chemical group [H]C(*)=O 0.000 description 1
- VZCYOOQTPOCHFL-OWOJBTEDSA-L fumarate(2-) Chemical compound [O-]C(=O)\C=C\C([O-])=O VZCYOOQTPOCHFL-OWOJBTEDSA-L 0.000 description 1
- 229940050410 gluconate Drugs 0.000 description 1
- 229960002442 glucosamine Drugs 0.000 description 1
- 229930195712 glutamate Natural products 0.000 description 1
- 150000008282 halocarbons Chemical class 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- MNWFXJYAOYHMED-UHFFFAOYSA-M heptanoate Chemical compound CCCCCCC([O-])=O MNWFXJYAOYHMED-UHFFFAOYSA-M 0.000 description 1
- ULLYUYLDAISRDE-SSPAHAAFSA-N heptanoic acid (2R,3S,4R,5R)-2,3,4,5,6-pentahydroxyhexanal Chemical compound C(CCCCCC)(=O)O.O=C[C@H](O)[C@@H](O)[C@H](O)[C@H](O)CO ULLYUYLDAISRDE-SSPAHAAFSA-N 0.000 description 1
- 125000003187 heptyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 150000002390 heteroarenes Chemical class 0.000 description 1
- 125000005549 heteroarylene group Chemical group 0.000 description 1
- IPCSVZSSVZVIGE-UHFFFAOYSA-M hexadecanoate Chemical compound CCCCCCCCCCCCCCCC([O-])=O IPCSVZSSVZVIGE-UHFFFAOYSA-M 0.000 description 1
- UQEAIHBTYFGYIE-UHFFFAOYSA-N hexamethyldisiloxane Chemical compound C[Si](C)(C)O[Si](C)(C)C UQEAIHBTYFGYIE-UHFFFAOYSA-N 0.000 description 1
- FUZZWVXGSFPDMH-UHFFFAOYSA-N hexanoic acid Chemical compound CCCCCC(O)=O FUZZWVXGSFPDMH-UHFFFAOYSA-N 0.000 description 1
- QGGUMTNPIYCTSF-UHFFFAOYSA-N hexylsilane Chemical group CCCCCC[SiH3] QGGUMTNPIYCTSF-UHFFFAOYSA-N 0.000 description 1
- XPXMKIXDFWLRAA-UHFFFAOYSA-N hydrazinide Chemical class [NH-]N XPXMKIXDFWLRAA-UHFFFAOYSA-N 0.000 description 1
- ZMZDMBWJUHKJPS-UHFFFAOYSA-N hydrogen thiocyanate Natural products SC#N ZMZDMBWJUHKJPS-UHFFFAOYSA-N 0.000 description 1
- QAOWNCQODCNURD-UHFFFAOYSA-M hydrogensulfate Chemical compound OS([O-])(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-M 0.000 description 1
- 125000002632 imidazolidinyl group Chemical group 0.000 description 1
- 125000002636 imidazolinyl group Chemical group 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 150000004694 iodide salts Chemical group 0.000 description 1
- OCVXZQOKBHXGRU-UHFFFAOYSA-N iodine(1+) Chemical class [I+] OCVXZQOKBHXGRU-UHFFFAOYSA-N 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- AWJUIBRHMBBTKR-UHFFFAOYSA-N iso-quinoline Natural products C1=NC=CC2=CC=CC=C21 AWJUIBRHMBBTKR-UHFFFAOYSA-N 0.000 description 1
- 125000002462 isocyano group Chemical group *[N+]#[C-] 0.000 description 1
- 125000005438 isoindazolyl group Chemical group 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 125000002183 isoquinolinyl group Chemical group C1(=NC=CC2=CC=CC=C12)* 0.000 description 1
- 125000005956 isoquinolyl group Chemical group 0.000 description 1
- 125000004628 isothiazolidinyl group Chemical group S1N(CCC1)* 0.000 description 1
- 229940001447 lactate Drugs 0.000 description 1
- JYTUSYBCFIZPBE-AMTLMPIISA-M lactobionate Chemical compound [O-]C(=O)[C@H](O)[C@@H](O)[C@@H]([C@H](O)CO)O[C@@H]1O[C@H](CO)[C@H](O)[C@H](O)[C@H]1O JYTUSYBCFIZPBE-AMTLMPIISA-M 0.000 description 1
- 229940099584 lactobionate Drugs 0.000 description 1
- 229940070765 laurate Drugs 0.000 description 1
- CFHGBZLNZZVTAY-UHFFFAOYSA-N lawesson's reagent Chemical compound C1=CC(OC)=CC=C1P1(=S)SP(=S)(C=2C=CC(OC)=CC=2)S1 CFHGBZLNZZVTAY-UHFFFAOYSA-N 0.000 description 1
- 239000011777 magnesium Substances 0.000 description 1
- 229910052749 magnesium Inorganic materials 0.000 description 1
- 229940049920 malate Drugs 0.000 description 1
- BJEPYKJPYRNKOW-UHFFFAOYSA-L malate(2-) Chemical compound [O-]C(=O)C(O)CC([O-])=O BJEPYKJPYRNKOW-UHFFFAOYSA-L 0.000 description 1
- VZCYOOQTPOCHFL-UPHRSURJSA-N maleic acid Chemical compound OC(=O)\C=C/C(O)=O VZCYOOQTPOCHFL-UPHRSURJSA-N 0.000 description 1
- IWYDHOAUDWTVEP-UHFFFAOYSA-M mandelate Chemical compound [O-]C(=O)C(O)C1=CC=CC=C1 IWYDHOAUDWTVEP-UHFFFAOYSA-M 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 238000005649 metathesis reaction Methods 0.000 description 1
- VJOOEHFQQLYDJI-UHFFFAOYSA-N methoxy(dimethyl)silane Chemical compound CO[SiH](C)C VJOOEHFQQLYDJI-UHFFFAOYSA-N 0.000 description 1
- POPACFLNWGUDSR-UHFFFAOYSA-N methoxy(trimethyl)silane Chemical compound CO[Si](C)(C)C POPACFLNWGUDSR-UHFFFAOYSA-N 0.000 description 1
- WVBMBNRZAFEVEE-UHFFFAOYSA-N methoxy-(methoxymethylsilylamino)silylmethane Chemical compound COC[SiH2]N[SiH2]COC WVBMBNRZAFEVEE-UHFFFAOYSA-N 0.000 description 1
- 229940102396 methyl bromide Drugs 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- GICWIDZXWJGTCI-UHFFFAOYSA-I molybdenum pentachloride Chemical compound Cl[Mo](Cl)(Cl)(Cl)Cl GICWIDZXWJGTCI-UHFFFAOYSA-I 0.000 description 1
- OYMJNIHGVDEDFX-UHFFFAOYSA-J molybdenum tetrachloride Chemical compound Cl[Mo](Cl)(Cl)Cl OYMJNIHGVDEDFX-UHFFFAOYSA-J 0.000 description 1
- DOOLFANBWPPEGQ-UHFFFAOYSA-J molybdenum(2+);tetraacetate Chemical compound [Mo+2].[Mo+2].CC([O-])=O.CC([O-])=O.CC([O-])=O.CC([O-])=O DOOLFANBWPPEGQ-UHFFFAOYSA-J 0.000 description 1
- ZIKKVZAYJJZBGE-UHFFFAOYSA-N molybdenum(4+) Chemical compound [Mo+4] ZIKKVZAYJJZBGE-UHFFFAOYSA-N 0.000 description 1
- OWXJWNXGYIVLBV-UHFFFAOYSA-J molybdenum(4+) tetracarbamothioate Chemical group C(N)([O-])=S.[Mo+4].C(N)([O-])=S.C(N)([O-])=S.C(N)([O-])=S OWXJWNXGYIVLBV-UHFFFAOYSA-J 0.000 description 1
- 125000002911 monocyclic heterocycle group Chemical group 0.000 description 1
- PYLWMHQQBFSUBP-UHFFFAOYSA-N monofluorobenzene Chemical compound FC1=CC=CC=C1 PYLWMHQQBFSUBP-UHFFFAOYSA-N 0.000 description 1
- XQDUQDMHENEJSC-UHFFFAOYSA-N n,n,2,4,4,6,6,8,8-nonamethyl-1,3,5,7,2,4,6,8-tetraoxatetrasilocan-2-amine Chemical compound CN(C)[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 XQDUQDMHENEJSC-UHFFFAOYSA-N 0.000 description 1
- ACDZIRHEDPHMRS-UHFFFAOYSA-N n,n-bis(triethylsilyl)ethanamine Chemical compound CC[Si](CC)(CC)N(CC)[Si](CC)(CC)CC ACDZIRHEDPHMRS-UHFFFAOYSA-N 0.000 description 1
- GUZRLLOPKKHEMV-UHFFFAOYSA-N n,n-bis(triethylsilyl)methanamine Chemical compound CC[Si](CC)(CC)N(C)[Si](CC)(CC)CC GUZRLLOPKKHEMV-UHFFFAOYSA-N 0.000 description 1
- VFMNUQLXYDYZTA-UHFFFAOYSA-N n,n-bis(triethylsilyl)propan-2-amine Chemical compound CC[Si](CC)(CC)N(C(C)C)[Si](CC)(CC)CC VFMNUQLXYDYZTA-UHFFFAOYSA-N 0.000 description 1
- OGWVYCFORNDBRE-UHFFFAOYSA-N n,n-bis(trimethylsilyl)ethanamine Chemical compound CCN([Si](C)(C)C)[Si](C)(C)C OGWVYCFORNDBRE-UHFFFAOYSA-N 0.000 description 1
- ZSMNRKGGHXLZEC-UHFFFAOYSA-N n,n-bis(trimethylsilyl)methanamine Chemical compound C[Si](C)(C)N(C)[Si](C)(C)C ZSMNRKGGHXLZEC-UHFFFAOYSA-N 0.000 description 1
- PNWTUZCLGSJXAC-UHFFFAOYSA-N n,n-bis(trimethylsilyl)propan-2-amine Chemical compound CC(C)N([Si](C)(C)C)[Si](C)(C)C PNWTUZCLGSJXAC-UHFFFAOYSA-N 0.000 description 1
- KGKPJEPGZDJABF-UHFFFAOYSA-J n,n-diethylcarbamodithioate;molybdenum(4+) Chemical compound [Mo+4].CCN(CC)C([S-])=S.CCN(CC)C([S-])=S.CCN(CC)C([S-])=S.CCN(CC)C([S-])=S KGKPJEPGZDJABF-UHFFFAOYSA-J 0.000 description 1
- FIRXZHKWFHIBOF-UHFFFAOYSA-N n-(dimethylamino-ethenyl-methylsilyl)-n-methylmethanamine Chemical compound CN(C)[Si](C)(C=C)N(C)C FIRXZHKWFHIBOF-UHFFFAOYSA-N 0.000 description 1
- OOXOBWDOWJBZHX-UHFFFAOYSA-N n-(dimethylaminosilyl)-n-methylmethanamine Chemical compound CN(C)[SiH2]N(C)C OOXOBWDOWJBZHX-UHFFFAOYSA-N 0.000 description 1
- WZWZGEZPNHWKRW-UHFFFAOYSA-N n-(methylaminosilyl)methanamine Chemical compound CN[SiH2]NC WZWZGEZPNHWKRW-UHFFFAOYSA-N 0.000 description 1
- VYIRVGYSUZPNLF-UHFFFAOYSA-N n-(tert-butylamino)silyl-2-methylpropan-2-amine Chemical compound CC(C)(C)N[SiH2]NC(C)(C)C VYIRVGYSUZPNLF-UHFFFAOYSA-N 0.000 description 1
- BSBLUBXETMWDIS-UHFFFAOYSA-N n-[(tert-butylamino)-diethylsilyl]-2-methylpropan-2-amine Chemical compound CC(C)(C)N[Si](CC)(CC)NC(C)(C)C BSBLUBXETMWDIS-UHFFFAOYSA-N 0.000 description 1
- DTIGWIMQVWPHRS-UHFFFAOYSA-N n-[[bis(diethylamino)-methylsilyl]-(diethylamino)-methylsilyl]-n-ethylethanamine Chemical compound CCN(CC)[Si](C)(N(CC)CC)[Si](C)(N(CC)CC)N(CC)CC DTIGWIMQVWPHRS-UHFFFAOYSA-N 0.000 description 1
- NFFNQWQZKZMMNC-UHFFFAOYSA-N n-[[di(propan-2-yl)amino]-diethylsilyl]-n-propan-2-ylpropan-2-amine Chemical compound CC(C)N(C(C)C)[Si](CC)(CC)N(C(C)C)C(C)C NFFNQWQZKZMMNC-UHFFFAOYSA-N 0.000 description 1
- IOEYTQJFJOCAAA-UHFFFAOYSA-N n-[[di(propan-2-yl)amino]-dimethylsilyl]-n-propan-2-ylpropan-2-amine Chemical compound CC(C)N(C(C)C)[Si](C)(C)N(C(C)C)C(C)C IOEYTQJFJOCAAA-UHFFFAOYSA-N 0.000 description 1
- WMWHOSGBVZQCEH-UHFFFAOYSA-N n-[[dimethylamino(dimethyl)silyl]oxy-dimethylsilyl]-n-methylmethanamine Chemical compound CN(C)[Si](C)(C)O[Si](C)(C)N(C)C WMWHOSGBVZQCEH-UHFFFAOYSA-N 0.000 description 1
- UYGIYVFPQLCZME-UHFFFAOYSA-N n-[[ethyl(methyl)amino]-dimethylsilyl]-n-methylethanamine Chemical compound CCN(C)[Si](C)(C)N(C)CC UYGIYVFPQLCZME-UHFFFAOYSA-N 0.000 description 1
- AFKLLDITSFFTER-UHFFFAOYSA-N n-[butyl(diethyl)silyl]methanamine Chemical compound CCCC[Si](CC)(CC)NC AFKLLDITSFFTER-UHFFFAOYSA-N 0.000 description 1
- ZRMAZYMYSQYPDU-UHFFFAOYSA-N n-[diethyl-[ethyl(methyl)amino]silyl]-n-methylethanamine Chemical compound CCN(C)[Si](CC)(CC)N(C)CC ZRMAZYMYSQYPDU-UHFFFAOYSA-N 0.000 description 1
- JGHGZXZEGQJZPM-UHFFFAOYSA-N n-[diethylamino(diethyl)silyl]-n-ethylethanamine Chemical compound CCN(CC)[Si](CC)(CC)N(CC)CC JGHGZXZEGQJZPM-UHFFFAOYSA-N 0.000 description 1
- XIFOKLGEKUNZTI-UHFFFAOYSA-N n-[diethylamino(dimethyl)silyl]-n-ethylethanamine Chemical compound CCN(CC)[Si](C)(C)N(CC)CC XIFOKLGEKUNZTI-UHFFFAOYSA-N 0.000 description 1
- XFXQXCWQCXPVSY-UHFFFAOYSA-N n-[dimethyl(propyl)silyl]methanamine Chemical compound CCC[Si](C)(C)NC XFXQXCWQCXPVSY-UHFFFAOYSA-N 0.000 description 1
- QVYBUIWYOJKQBB-UHFFFAOYSA-N n-[dimethyl(trimethylsilyloxy)silyl]-n-ethylethanamine Chemical compound CCN(CC)[Si](C)(C)O[Si](C)(C)C QVYBUIWYOJKQBB-UHFFFAOYSA-N 0.000 description 1
- TWQSOHGSGBWNBD-UHFFFAOYSA-N n-[dimethylamino(diethyl)silyl]-n-methylmethanamine Chemical compound CC[Si](CC)(N(C)C)N(C)C TWQSOHGSGBWNBD-UHFFFAOYSA-N 0.000 description 1
- QULMGWCCKILBTO-UHFFFAOYSA-N n-[dimethylamino(dimethyl)silyl]-n-methylmethanamine Chemical compound CN(C)[Si](C)(C)N(C)C QULMGWCCKILBTO-UHFFFAOYSA-N 0.000 description 1
- VBYLGQXERITIBP-UHFFFAOYSA-N n-[dimethylamino(methyl)silyl]-n-methylmethanamine Chemical compound CN(C)[SiH](C)N(C)C VBYLGQXERITIBP-UHFFFAOYSA-N 0.000 description 1
- VVDUYYVVAPANCT-UHFFFAOYSA-N n-[ethyl(dimethyl)silyl]methanamine Chemical compound CC[Si](C)(C)NC VVDUYYVVAPANCT-UHFFFAOYSA-N 0.000 description 1
- NGAVXENYOVMGDJ-UHFFFAOYSA-N n-[ethylamino(dimethyl)silyl]ethanamine Chemical compound CCN[Si](C)(C)NCC NGAVXENYOVMGDJ-UHFFFAOYSA-N 0.000 description 1
- LXXSWZYRKAQQDI-UHFFFAOYSA-N n-ethyl-n-silylethanamine Chemical compound CCN([SiH3])CC LXXSWZYRKAQQDI-UHFFFAOYSA-N 0.000 description 1
- WJIJKWCQOIHCCD-UHFFFAOYSA-N n-ethyl-n-triethylsilylethanamine Chemical compound CCN(CC)[Si](CC)(CC)CC WJIJKWCQOIHCCD-UHFFFAOYSA-N 0.000 description 1
- ZTAJIYKRQQZJJH-UHFFFAOYSA-N n-methyl-n-triethylsilylmethanamine Chemical compound CC[Si](CC)(CC)N(C)C ZTAJIYKRQQZJJH-UHFFFAOYSA-N 0.000 description 1
- KAHVZNKZQFSBFW-UHFFFAOYSA-N n-methyl-n-trimethylsilylmethanamine Chemical compound CN(C)[Si](C)(C)C KAHVZNKZQFSBFW-UHFFFAOYSA-N 0.000 description 1
- 125000000740 n-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- DEGDGCXMVIKOCV-UHFFFAOYSA-N n-propan-2-yl-n-triethylsilylpropan-2-amine Chemical compound CC[Si](CC)(CC)N(C(C)C)C(C)C DEGDGCXMVIKOCV-UHFFFAOYSA-N 0.000 description 1
- XJEJSMCUIKZVAW-UHFFFAOYSA-N n-silylmethanamine Chemical group CN[SiH3] XJEJSMCUIKZVAW-UHFFFAOYSA-N 0.000 description 1
- UHBQRZPQMZBHGB-UHFFFAOYSA-N n-tert-butyl-n'-ethylpropanimidamide Chemical compound CCN=C(CC)NC(C)(C)C UHBQRZPQMZBHGB-UHFFFAOYSA-N 0.000 description 1
- JAAJZAZGSITFIK-UHFFFAOYSA-N n-triethylsilylmethanamine Chemical compound CC[Si](CC)(CC)NC JAAJZAZGSITFIK-UHFFFAOYSA-N 0.000 description 1
- RIHHSSWTFIOKCS-UHFFFAOYSA-N n-triethylsilylpropan-2-amine Chemical compound CC[Si](CC)(CC)NC(C)C RIHHSSWTFIOKCS-UHFFFAOYSA-N 0.000 description 1
- HFZCSLHSASWKBB-UHFFFAOYSA-N n-trimethylsilylcyclohexanamine Chemical compound C[Si](C)(C)NC1CCCCC1 HFZCSLHSASWKBB-UHFFFAOYSA-N 0.000 description 1
- KXPPDWYTBLMTPL-UHFFFAOYSA-N n-trimethylsilylethanamine Chemical compound CCN[Si](C)(C)C KXPPDWYTBLMTPL-UHFFFAOYSA-N 0.000 description 1
- DUZKCWBZZYODQJ-UHFFFAOYSA-N n-trimethylsilylmethanamine Chemical compound CN[Si](C)(C)C DUZKCWBZZYODQJ-UHFFFAOYSA-N 0.000 description 1
- IQLUAGKMPYRZCF-UHFFFAOYSA-N n-trimethylsilylpropan-1-amine Chemical compound CCCN[Si](C)(C)C IQLUAGKMPYRZCF-UHFFFAOYSA-N 0.000 description 1
- YSPHIXJPYVFLLJ-UHFFFAOYSA-N n-trimethylsilylpropan-2-amine Chemical compound CC(C)N[Si](C)(C)C YSPHIXJPYVFLLJ-UHFFFAOYSA-N 0.000 description 1
- KVBGVZZKJNLNJU-UHFFFAOYSA-M naphthalene-2-sulfonate Chemical compound C1=CC=CC2=CC(S(=O)(=O)[O-])=CC=C21 KVBGVZZKJNLNJU-UHFFFAOYSA-M 0.000 description 1
- 125000004957 naphthylene group Chemical group 0.000 description 1
- 125000001971 neopentyl group Chemical group [H]C([*])([H])C(C([H])([H])[H])(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 231100000252 nontoxic Toxicity 0.000 description 1
- 230000003000 nontoxic effect Effects 0.000 description 1
- 125000001400 nonyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- QIQXTHQIDYTFRH-UHFFFAOYSA-N octadecanoic acid Chemical compound CCCCCCCCCCCCCCCCCC(O)=O QIQXTHQIDYTFRH-UHFFFAOYSA-N 0.000 description 1
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 description 1
- 125000002347 octyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- ZQPPMHVWECSIRJ-KTKRTIGZSA-M oleate Chemical compound CCCCCCCC\C=C/CCCCCCCC([O-])=O ZQPPMHVWECSIRJ-KTKRTIGZSA-M 0.000 description 1
- 229940049964 oleate Drugs 0.000 description 1
- JRZJOMJEPLMPRA-UHFFFAOYSA-N olefin Natural products CCCCCCCC=C JRZJOMJEPLMPRA-UHFFFAOYSA-N 0.000 description 1
- 238000005580 one pot reaction Methods 0.000 description 1
- 125000001741 organic sulfur group Chemical group 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- 150000001282 organosilanes Chemical class 0.000 description 1
- 150000002926 oxygen Chemical group 0.000 description 1
- 125000006340 pentafluoro ethyl group Chemical group FC(F)(F)C(F)(F)* 0.000 description 1
- 125000004115 pentoxy group Chemical group [*]OC([H])([H])C([H])([H])C([H])([H])C(C([H])([H])[H])([H])[H] 0.000 description 1
- JRKICGRDRMAZLK-UHFFFAOYSA-L peroxydisulfate Chemical compound [O-]S(=O)(=O)OOS([O-])(=O)=O JRKICGRDRMAZLK-UHFFFAOYSA-L 0.000 description 1
- 125000001792 phenanthrenyl group Chemical group C1(=CC=CC=2C3=CC=CC=C3C=CC12)* 0.000 description 1
- 125000000843 phenylene group Chemical group C1(=C(C=CC=C1)*)* 0.000 description 1
- NBIIXXVUZAFLBC-UHFFFAOYSA-K phosphate Chemical compound [O-]P([O-])([O-])=O NBIIXXVUZAFLBC-UHFFFAOYSA-K 0.000 description 1
- 239000010452 phosphate Substances 0.000 description 1
- XYFCBTPGUUZFHI-UHFFFAOYSA-O phosphonium Chemical compound [PH4+] XYFCBTPGUUZFHI-UHFFFAOYSA-O 0.000 description 1
- 230000001443 photoexcitation Effects 0.000 description 1
- 229940075930 picrate Drugs 0.000 description 1
- OXNIZHLAWKMVMX-UHFFFAOYSA-M picrate anion Chemical compound [O-]C1=C([N+]([O-])=O)C=C([N+]([O-])=O)C=C1[N+]([O-])=O OXNIZHLAWKMVMX-UHFFFAOYSA-M 0.000 description 1
- IUGYQRQAERSCNH-UHFFFAOYSA-M pivalate Chemical compound CC(C)(C)C([O-])=O IUGYQRQAERSCNH-UHFFFAOYSA-M 0.000 description 1
- 229950010765 pivalate Drugs 0.000 description 1
- 239000011591 potassium Substances 0.000 description 1
- 229910052700 potassium Inorganic materials 0.000 description 1
- MFDFERRIHVXMIY-UHFFFAOYSA-N procaine Chemical compound CCN(CC)CCOC(=O)C1=CC=C(N)C=C1 MFDFERRIHVXMIY-UHFFFAOYSA-N 0.000 description 1
- 229960004919 procaine Drugs 0.000 description 1
- DNAJDTIOMGISDS-UHFFFAOYSA-N prop-2-enylsilane Chemical group [SiH3]CC=C DNAJDTIOMGISDS-UHFFFAOYSA-N 0.000 description 1
- BGRYSGVIVVUJHH-UHFFFAOYSA-N prop-2-ynyl propanoate Chemical compound CCC(=O)OCC#C BGRYSGVIVVUJHH-UHFFFAOYSA-N 0.000 description 1
- YYVGYULIMDRZMJ-UHFFFAOYSA-N propan-2-ylsilane Chemical compound CC(C)[SiH3] YYVGYULIMDRZMJ-UHFFFAOYSA-N 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 238000000746 purification Methods 0.000 description 1
- 125000004309 pyranyl group Chemical group O1C(C=CC=C1)* 0.000 description 1
- 125000003072 pyrazolidinyl group Chemical group 0.000 description 1
- 125000002755 pyrazolinyl group Chemical group 0.000 description 1
- JUJWROOIHBZHMG-UHFFFAOYSA-O pyridinium Chemical class C1=CC=[NH+]C=C1 JUJWROOIHBZHMG-UHFFFAOYSA-O 0.000 description 1
- ZVJHJDDKYZXRJI-UHFFFAOYSA-N pyrroline Natural products C1CC=NC1 ZVJHJDDKYZXRJI-UHFFFAOYSA-N 0.000 description 1
- 125000001453 quaternary ammonium group Chemical group 0.000 description 1
- 239000002516 radical scavenger Substances 0.000 description 1
- 238000006479 redox reaction Methods 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000027756 respiratory electron transport chain Effects 0.000 description 1
- 125000006413 ring segment Chemical group 0.000 description 1
- YGSDEFSMJLZEOE-UHFFFAOYSA-M salicylate Chemical compound OC1=CC=CC=C1C([O-])=O YGSDEFSMJLZEOE-UHFFFAOYSA-M 0.000 description 1
- 229960001860 salicylate Drugs 0.000 description 1
- 229930195734 saturated hydrocarbon Natural products 0.000 description 1
- VUEONHALRNZYJM-UHFFFAOYSA-N silanetetramine Chemical compound N[Si](N)(N)N VUEONHALRNZYJM-UHFFFAOYSA-N 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- AWUCVROLDVIAJX-GSVOUGTGSA-N sn-glycerol 3-phosphate Chemical compound OC[C@@H](O)COP(O)(O)=O AWUCVROLDVIAJX-GSVOUGTGSA-N 0.000 description 1
- 239000011734 sodium Substances 0.000 description 1
- 229910052708 sodium Inorganic materials 0.000 description 1
- KDYFGRWQOYBRFD-UHFFFAOYSA-L succinate(2-) Chemical compound [O-]C(=O)CCC([O-])=O KDYFGRWQOYBRFD-UHFFFAOYSA-L 0.000 description 1
- 229960003288 sulfaethidole Drugs 0.000 description 1
- LZOZLBFZGFLFBV-UHFFFAOYSA-N sulfene Chemical compound C=S(=O)=O LZOZLBFZGFLFBV-UHFFFAOYSA-N 0.000 description 1
- 125000004646 sulfenyl group Chemical group S(*)* 0.000 description 1
- 125000000542 sulfonic acid group Chemical group 0.000 description 1
- RWSOTUBLDIXVET-UHFFFAOYSA-O sulfonium Chemical compound [SH3+] RWSOTUBLDIXVET-UHFFFAOYSA-O 0.000 description 1
- 238000003786 synthesis reaction Methods 0.000 description 1
- 229910052714 tellurium Inorganic materials 0.000 description 1
- 230000002123 temporal effect Effects 0.000 description 1
- UQMOLLPKNHFRAC-UHFFFAOYSA-N tetrabutyl silicate Chemical compound CCCCO[Si](OCCCC)(OCCCC)OCCCC UQMOLLPKNHFRAC-UHFFFAOYSA-N 0.000 description 1
- 125000006169 tetracyclic group Chemical group 0.000 description 1
- CBXCPBUEXACCNR-UHFFFAOYSA-N tetraethylammonium Chemical compound CC[N+](CC)(CC)CC CBXCPBUEXACCNR-UHFFFAOYSA-N 0.000 description 1
- 125000003039 tetrahydroisoquinolinyl group Chemical group C1(NCCC2=CC=CC=C12)* 0.000 description 1
- 125000001412 tetrahydropyranyl group Chemical group 0.000 description 1
- 125000005958 tetrahydrothienyl group Chemical group 0.000 description 1
- LFQCEHFDDXELDD-UHFFFAOYSA-N tetramethyl orthosilicate Chemical compound CO[Si](OC)(OC)OC LFQCEHFDDXELDD-UHFFFAOYSA-N 0.000 description 1
- QEMXHQIAXOOASZ-UHFFFAOYSA-N tetramethylammonium Chemical compound C[N+](C)(C)C QEMXHQIAXOOASZ-UHFFFAOYSA-N 0.000 description 1
- ZUEKXCXHTXJYAR-UHFFFAOYSA-N tetrapropan-2-yl silicate Chemical compound CC(C)O[Si](OC(C)C)(OC(C)C)OC(C)C ZUEKXCXHTXJYAR-UHFFFAOYSA-N 0.000 description 1
- ZQZCOBSUOFHDEE-UHFFFAOYSA-N tetrapropyl silicate Chemical compound CCCO[Si](OCCC)(OCCC)OCCC ZQZCOBSUOFHDEE-UHFFFAOYSA-N 0.000 description 1
- BCLLLHFGVQKVKL-UHFFFAOYSA-N tetratert-butyl silicate Chemical compound CC(C)(C)O[Si](OC(C)(C)C)(OC(C)(C)C)OC(C)(C)C BCLLLHFGVQKVKL-UHFFFAOYSA-N 0.000 description 1
- 125000003831 tetrazolyl group Chemical group 0.000 description 1
- 229960000278 theophylline Drugs 0.000 description 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 1
- 238000007669 thermal treatment Methods 0.000 description 1
- 125000000335 thiazolyl group Chemical group 0.000 description 1
- 125000001544 thienyl group Chemical group 0.000 description 1
- 125000005309 thioalkoxy group Chemical group 0.000 description 1
- 125000003396 thiol group Chemical group [H]S* 0.000 description 1
- 238000007280 thionation reaction Methods 0.000 description 1
- JOXIMZWYDAKGHI-UHFFFAOYSA-N toluene-4-sulfonic acid Chemical compound CC1=CC=C(S(O)(=O)=O)C=C1 JOXIMZWYDAKGHI-UHFFFAOYSA-N 0.000 description 1
- 229910052723 transition metal Inorganic materials 0.000 description 1
- SCKGSKNTVOKXKZ-UHFFFAOYSA-N tribromo(dibromosilyl)silane Chemical compound Br[SiH](Br)[Si](Br)(Br)Br SCKGSKNTVOKXKZ-UHFFFAOYSA-N 0.000 description 1
- JFGCKNXWLVVPOK-UHFFFAOYSA-N tribromo(silyl)silane Chemical compound [SiH3][Si](Br)(Br)Br JFGCKNXWLVVPOK-UHFFFAOYSA-N 0.000 description 1
- ASWZNPOTXAXUPB-UHFFFAOYSA-N tribromo(tribromosilyl)silane Chemical compound Br[Si](Br)(Br)[Si](Br)(Br)Br ASWZNPOTXAXUPB-UHFFFAOYSA-N 0.000 description 1
- IBOKZQNMFSHYNQ-UHFFFAOYSA-N tribromosilane Chemical compound Br[SiH](Br)Br IBOKZQNMFSHYNQ-UHFFFAOYSA-N 0.000 description 1
- 125000006168 tricyclic group Chemical group 0.000 description 1
- JCVQKRGIASEUKR-UHFFFAOYSA-N triethoxy(phenyl)silane Chemical compound CCO[Si](OCC)(OCC)C1=CC=CC=C1 JCVQKRGIASEUKR-UHFFFAOYSA-N 0.000 description 1
- NKLYMYLJOXIVFB-UHFFFAOYSA-N triethoxymethylsilane Chemical compound CCOC([SiH3])(OCC)OCC NKLYMYLJOXIVFB-UHFFFAOYSA-N 0.000 description 1
- QQQSFSZALRVCSZ-UHFFFAOYSA-N triethoxysilane Chemical compound CCO[SiH](OCC)OCC QQQSFSZALRVCSZ-UHFFFAOYSA-N 0.000 description 1
- HUZZQXYTKNNCOU-UHFFFAOYSA-N triethyl(methoxy)silane Chemical compound CC[Si](CC)(CC)OC HUZZQXYTKNNCOU-UHFFFAOYSA-N 0.000 description 1
- RKABSDHUZWSRHX-UHFFFAOYSA-N triethyl(phenoxy)silane Chemical compound CC[Si](CC)(CC)OC1=CC=CC=C1 RKABSDHUZWSRHX-UHFFFAOYSA-N 0.000 description 1
- UCUKUIPXDKEYLX-UHFFFAOYSA-N triethyl(propan-2-yloxy)silane Chemical compound CC[Si](CC)(CC)OC(C)C UCUKUIPXDKEYLX-UHFFFAOYSA-N 0.000 description 1
- WILBTFWIBAOWLN-UHFFFAOYSA-N triethyl(triethylsilyloxy)silane Chemical compound CC[Si](CC)(CC)O[Si](CC)(CC)CC WILBTFWIBAOWLN-UHFFFAOYSA-N 0.000 description 1
- QXTIBZLKQPJVII-UHFFFAOYSA-N triethylsilicon Chemical compound CC[Si](CC)CC QXTIBZLKQPJVII-UHFFFAOYSA-N 0.000 description 1
- AAURKQPZJJMXER-UHFFFAOYSA-N triethylsilyl acetate Chemical compound CC[Si](CC)(CC)OC(C)=O AAURKQPZJJMXER-UHFFFAOYSA-N 0.000 description 1
- 125000000876 trifluoromethoxy group Chemical group FC(F)(F)O* 0.000 description 1
- 125000002023 trifluoromethyl group Chemical group FC(F)(F)* 0.000 description 1
- 125000004952 trihaloalkoxy group Chemical group 0.000 description 1
- NNLPAMPVXAPWKG-UHFFFAOYSA-N trimethyl(1-methylethoxy)silane Chemical compound CC(C)O[Si](C)(C)C NNLPAMPVXAPWKG-UHFFFAOYSA-N 0.000 description 1
- FTCHSXVKVGGWCW-UHFFFAOYSA-N trimethyl(1h-pyrrol-2-yl)silane Chemical compound C[Si](C)(C)C1=CC=CN1 FTCHSXVKVGGWCW-UHFFFAOYSA-N 0.000 description 1
- UABFFWVEXACZGD-UHFFFAOYSA-N trimethyl(pentoxy)silane Chemical group CCCCCO[Si](C)(C)C UABFFWVEXACZGD-UHFFFAOYSA-N 0.000 description 1
- OJAJJFGMKAZGRZ-UHFFFAOYSA-N trimethyl(phenoxy)silane Chemical compound C[Si](C)(C)OC1=CC=CC=C1 OJAJJFGMKAZGRZ-UHFFFAOYSA-N 0.000 description 1
- WDIWAJVQNKHNGJ-UHFFFAOYSA-N trimethyl(propan-2-yl)silane Chemical compound CC(C)[Si](C)(C)C WDIWAJVQNKHNGJ-UHFFFAOYSA-N 0.000 description 1
- NQLVIKZJXFGUET-UHFFFAOYSA-N trimethyl(pyrrolidin-1-yl)silane Chemical compound C[Si](C)(C)N1CCCC1 NQLVIKZJXFGUET-UHFFFAOYSA-N 0.000 description 1
- QHUNJMXHQHHWQP-UHFFFAOYSA-N trimethylsilyl acetate Chemical compound CC(=O)O[Si](C)(C)C QHUNJMXHQHHWQP-UHFFFAOYSA-N 0.000 description 1
- IVZTVZJLMIHPEY-UHFFFAOYSA-N triphenyl(triphenylsilyloxy)silane Chemical compound C=1C=CC=CC=1[Si](C=1C=CC=CC=1)(C=1C=CC=CC=1)O[Si](C=1C=CC=CC=1)(C=1C=CC=CC=1)C1=CC=CC=C1 IVZTVZJLMIHPEY-UHFFFAOYSA-N 0.000 description 1
- KHQZLUVCZCAMFU-UHFFFAOYSA-N tripropyl(tripropylsilyloxy)silane Chemical compound CCC[Si](CCC)(CCC)O[Si](CCC)(CCC)CCC KHQZLUVCZCAMFU-UHFFFAOYSA-N 0.000 description 1
- KPGXUAIFQMJJFB-UHFFFAOYSA-H tungsten hexachloride Chemical compound Cl[W](Cl)(Cl)(Cl)(Cl)Cl KPGXUAIFQMJJFB-UHFFFAOYSA-H 0.000 description 1
- WIDQNNDDTXUPAN-UHFFFAOYSA-I tungsten(v) chloride Chemical compound Cl[W](Cl)(Cl)(Cl)Cl WIDQNNDDTXUPAN-UHFFFAOYSA-I 0.000 description 1
- ZDPHROOEEOARMN-UHFFFAOYSA-N undecanoic acid Chemical compound CCCCCCCCCCC(O)=O ZDPHROOEEOARMN-UHFFFAOYSA-N 0.000 description 1
- 150000003672 ureas Chemical group 0.000 description 1
- 229940070710 valerate Drugs 0.000 description 1
- NQPDZGIKBAWPEJ-UHFFFAOYSA-N valeric acid Chemical compound CCCCC(O)=O NQPDZGIKBAWPEJ-UHFFFAOYSA-N 0.000 description 1
- 239000006200 vaporizer Substances 0.000 description 1
- 229910052725 zinc Inorganic materials 0.000 description 1
- 239000011701 zinc Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0272—Deposition of sub-layers, e.g. to promote the adhesion of the main coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0272—Deposition of sub-layers, e.g. to promote the adhesion of the main coating
- C23C16/0281—Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
- C23C16/14—Deposition of only one other metal element
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45534—Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
- C23C16/45548—Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
- C23C16/45551—Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/4557—Heated nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/54—Apparatus specially adapted for continuous coating
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10K—ORGANIC ELECTRIC SOLID-STATE DEVICES
- H10K71/00—Manufacture or treatment specially adapted for the organic devices covered by this subclass
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Plasma & Fusion (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Vapour Deposition (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Formation Of Insulating Films (AREA)
Abstract
含鉬層係在介於約100ºC與約500ºC之間(例如,介於約200ºC與約450ºC之間)的相對低溫下沉積在半導體基板上。舉例而言,鉬金屬可以在此溫度下,藉由實質無選擇性的方式沉積在具有暴露金屬及暴露介電質的基板上。在一實行例中,提供的是具有凹陷特徵部的基板,其中該凹陷特徵部在側壁上具有暴露介電質,而在底部上具有暴露金屬。該半導體基板被暴露至含鉬前驅物、還原劑及含矽反應試劑,從而還原該含鉬前驅物,並且形成含鉬層,其中該含鉬層包括金屬鉬。使用含矽反應物會造成鉬沉積的金屬上方/介電質上方的選擇性降低。
Description
本發明係關於半導體裝置的製造方法。具體而言,本發明的實施例係關於半導體處理中的含鉬膜沉積。
在半導體裝置的製造中係使用沉積及蝕刻技術以形成材料圖案,例如形成嵌於介電質層中的金屬線。一些圖案化方案需要將材料進行保形沉積,其中所沉積的層應當依循著基板表面上的突起特徵及/或凹陷特徵的輪廓。原子層沉積(ALD)經常是用於在基板上形成保形膜的較佳方法,因為ALD係依賴於將一或更多反應物(前驅物)吸附於基板表面,以及依賴於隨後將所吸附的層以化學方式轉化為所欲材料。由於ALD係使用相繼的複數反應,其中這些反應係在基板表面上進行、在時間上分離,且通常會被已吸附反應物的量所限制,因此這種方法可以提供具有優異階梯覆蓋率的保形薄層。
化學氣相沉積(CVD)是在半導體處理中廣泛使用的另一種沉積方法。在CVD中,反應係在處理腔室的容積內進行,且不會被已吸附至基板上的反應物的量所限制。因此,以CVD沉積的膜經常會比起以ALD沉積的膜更不保形。CVD通常係在階梯覆蓋率較不重要的應用中使用。
ALD及CVD可以使用電漿來促進沉積前驅物的反應,而導致所欲膜的形成。利用電漿的方法被稱為電漿增強ALD(PEALD)及電漿增強CVD(PECVD)。而未使用電漿的方法則稱為熱性ALD及熱性CVD。
雖然ALD及CVD最常被用於含矽膜(例如,矽氧化物、矽氮化物及矽碳化物)的沉積,但這些方法也適用於某些金屬的沉積。
此處所提供之先前技術描述係為了一般性呈現本揭露之背景的目的。本案列名發明人的工作成果,至此先前技術段落的所述範圍,以及申請時可能不適格作為先前技術的實施態樣,均不明示或暗示承認為對抗本揭露內容的先前技術。
本文提供藉由在介於約100ºC與約500ºC之間的相對低溫下還原含鉬前驅物而沉積金屬鉬的方法。該方法係利用含矽反應物來改善含鉬前驅物的還原及/或調整鉬沉積的選擇性。在一些實施例中,該方法用於在包括不同暴露材料(例如,暴露金屬及暴露介電質)的半導體基板上沉積鉬金屬。舉例而言,含鉬層可以實質無選擇性地沉積在凹陷特徵部中,其中該凹陷特徵部在側壁處具有暴露介電質材料,而在底部處具有暴露金屬。所提供的鉬沉積方法例如可以用於間隙填充應用。雖然所提供的方法特別適用於沉積含鉬層,但它們也可用於沉積具有可蒸發前驅物的其他金屬,例如鈷、釕及鎢。
在一態樣中,提供一種在半導體基板上形成含鉬層的方法。該方法涉及:(a)將半導體基板提供至處理腔室,其中該半導體基板具有凹陷特徵部;以及(b)在介於約100ºC與約500ºC之間的溫度下將該半導體基板暴露至含鉬前驅物、還原劑及含矽反應物,從而還原該含鉬前驅物,並且在該半導體基板上形成含鉬層,其中該含鉬層包括鉬金屬層。在一些實施例中,所形成的該含鉬層更包括鉬矽化物子層。
在一些實施例中,該含鉬前驅物為MoX
nY
m,其中X為氧族元素(chalcogen),Y為鹵素,n為0、1或2,而m為2、3、4、5或6。
合適含鉬前驅物的示例包括MoCl
5、Mo
2Cl
10、MoO
2Cl
2、MoOCl
4或其任何組合。
在一些實施例中,該含矽反應物為Si
xR
y,其中x為1~4,y為4~18,且各個R係獨立選自於由H、鹵素及烷基所構成的群組。合適含矽反應物的示例包括矽烷、氯矽烷、二氯矽烷、三氯矽烷、四氯矽烷、六氯二矽烷、五氯二矽烷、四氯二矽烷、三氯二矽烷、二氯二矽烷、氯二矽烷、二矽烷或其任何組合。
在一實行例中,該還原劑為氫(H
2),且將該半導體基板暴露至含鉬前驅物、還原劑及含矽反應物的步驟係包括:(i)在未將該含鉬前驅物輸送至該處理腔室的情況下,將該半導體基板與該含矽反應物接觸一段時間;以及(ii)在(i)後,使該半導體基板接觸該含鉬前驅物,以及使該半導體基板接觸H
2。在一些實施例中係在未同時將氫輸送至該處理腔室的情況下,使該半導體基板接觸該含鉬前驅物。在其他實施例中,該半導體基板係同時接觸該含鉬前驅物及氫。在一些實施例中,該沉積方法包括重複進行步驟(i)及(ii)。在一些實施例中,步驟(ii)包括使該半導體基板依序接觸該含鉬前驅物及該還原劑,並且重複使該半導體基板依序接觸該含鉬前驅物及該還原劑。在一些實施例中,該方法更包括:(iii)在步驟(ii)之後使該半導體基板接觸該含矽反應物。
在一些實行例中,該還原劑為氫(H
2),且將該半導體基板暴露至含鉬前驅物、還原劑及含矽反應物的步驟係包括:將該半導體基板同時接觸氫、該含鉬前驅物及該含矽反應物。
在一些實行例中,該還原劑為氫(H
2),且將該半導體基板暴露至含鉬前驅物、還原劑及含矽反應物的步驟係包括:(i)使該半導體基板同時接觸氫及該含矽反應物;以及(ii)在未同時將該含矽反應物輸送至該處理腔室的情況下,使該半導體基板接觸該含鉬前驅物。
在一些實行例中,該還原劑為氫(H
2),且將該半導體基板暴露至含鉬前驅物、還原劑及含矽反應物的步驟係包括:(i)使該半導體基板同時接觸該含鉬前驅物及該含矽反應物;以及(iii)在未同時將該含矽反應物輸送至該處理腔室的情況下,使該半導體基板接觸氫。
在一些實施例中,在該半導體基板上提供的該凹陷特徵部包括位於該凹陷特徵部的複數側壁上的暴露含矽介電質,以及在該凹陷特徵部的底部處露出的金屬(例如,鎢或鈷),其中該含鉬層係以約為1.3:1以下的選擇性(底部比側壁)沉積在該凹陷特徵部的該底部上及該凹陷特徵部的該等側壁上。在一些實施例中,該方法包括以該含鉬層完全填充該凹陷特徵部,其中該含鉬層包括鉬金屬層。
在一些實施例中,本文所提供的方法係與光微影處理整合在一起。舉例而言,所述方法可以包括下列步驟:將光阻塗覆於該半導體基板;將該光阻曝光;將該光阻圖案化,並且將該圖案轉移至該半導體基板;以及將該光阻從該半導體基板選擇性移除。舉例而言,這樣的光微影圖案化可以用於在沉積含鉬材料之前,在該基板上形成凹陷特徵部。
在另一態樣中,提供一種半導體基板的處理設備,其中該設備包括(a)處理腔室,具有用於固持該半導體基板的基板固持件,以及用於將反應物引進該處理腔室的一或更多輸入口;以及(b)控制器,包括複數程式指令,用於驅使本文所提供的任何方法的執行。舉例而言,複數程式指令可以包括指令,用於:在具有凹陷特徵部的半導體基板上,在介於約100ºC與約500ºC之間的溫度下驅使該半導體基板接觸含鉬前驅物、還原劑及含矽反應物,從而形成含鉬材料層。舉例而言,其中該等程式指令可以包括複數指令,用於驅使:(i)在未同時將該含鉬前驅物輸送至該處理腔室的情況下,將該半導體基板接觸該含矽反應物;以及(ii)在(i)後,使該半導體基板接觸該含鉬前驅物及氫。在一些實施例中,該等程式指令包括複數指令,用於驅使:(i)在未同時將該含鉬前驅物輸送至該處理腔室的情況下,將該半導體基板同時接觸氫及該含矽反應物;以及(ii)在未同時將該含矽反應物輸送至該處理腔室的情況下,將該半導體基板接觸該含鉬前驅物。在一些實施例中,該等程式指令包括複數指令,用於驅使:(i)在未同時將氫輸送至該處理腔室的情況下,將該半導體基板同時接觸該含鉬前驅物及該含矽反應物;以及(ii)在未同時將該含矽反應物輸送至該處理腔室的情況下,將該半導體基板接觸氫。
在另一態樣中,提供一種電腦機器可讀媒體,其包括驅使本文所提供的任何方法的步驟進行的編碼。舉例而言,可以提供編碼,用於:在具有凹陷特徵部的半導體基板上,在介於約100ºC與約500ºC之間的溫度下驅使該半導體基板接觸含鉬前驅物、還原劑及含矽反應物,從而形成含鉬材料層。
在另一態樣中,提供一種系統,其包括本文所述的設備及步進器。
在另一態樣中,提供一種在半導體基板上形成含金屬層(例如,含鉬層、含鎢層、含鈷層或含釕層)的方法。在一些實施例中,該方法包括:(a)將半導體基板提供至處理腔室,其中該半導體基板具有凹陷特徵部;以及(b)在介於約100ºC與約500ºC之間的溫度下將該半導體基板暴露至金屬前驅物(例如,鉬前驅物、鎢前驅物、鈷前驅物或釕前驅物)、還原劑及含矽反應物,從而還原該金屬前驅物,並且在該半導體基板上形成含金屬層,其中該含金屬層包括零氧化態的金屬(例如,鉬、鎢、鈷或釕)層。在一些實施例中,該含金屬層包括金屬矽化物(例如,鉬矽化物、鎢矽化物、鈷矽化物或釕矽化物)層。
本說明書中所描述的標的主體的實行例的這些及其他態樣係於隨附圖式及下方的實施方式中闡述。
本文提供在低於約550ºC(例如,介於約100ºC與約500ºC之間、介於約200ºC與約450ºC之間或介於約375ºC與約450ºC之間)的相對低溫下在半導體基板上沉積含鉬膜的複數方法。該等方法例如可以用於沉積金屬性鉬層及/或鉬矽化物層。在一些實施例中,該等方法係用於在基板上形成薄的鉬矽化物層,隨後沉積較厚的金屬性鉬層。所提供的方法例如可以在平面基板上沉積毯覆式(blanket)含鉬層,在具有一或更多個凹陷或突出特徵部的基板上沉積保形含鉬層,以及利用含鉬材料填充凹陷特徵部。
在一些實施例中,所提供的方法係利用還原劑還原含鉬前驅物,並且額外使用含矽反應物以協助該還原處理。在一些實施例中,所提供的方法係利用還原劑還原含鉬前驅物,並且額外使用含矽反應物以調節沉積選擇性。已發現到,含矽反應物可被使用,以將在具有不同暴露材料(例如,金屬性部分及介電質部分)的基板上沉積含鉬層的選擇性最小化。在一些實施例中,在沉積處理中使用含矽反應物會導致實質上的非選擇性沉積;而在不存在含矽反應物的情況下,鉬通常將會以實質較高的速率沉積在基板的暴露金屬部分上(與介電質部分相比)。
所提供的方法可被使用於各種應用,包括但不限於間隙填充應用中的鉬金屬沉積、保形金屬性鉬膜的形成、鉬矽化物的形成。可以使用所提供的方法而進行製造的半導體裝置結構的示例包括後端製程(BEOL)的金屬化結構、後端製程(FEOL)的金屬化結構、邏輯金屬化結構及記憶體結構,例如3D NAND及DRAM。舉例而言,所提供的方法可以用於3D DRAM結構製造中的鉬矽化物形成,以及用於埋入式字元線DRAM中的鉬金屬化。在一些實施例中,該方法係用於沉積厚度介於約0.5 nm與約4 nm之間的含鉬膜,以及可以用於在各種凹陷特徵部中沉積鉬金屬,所述凹陷特徵部例如係具有介於約1 nm與約25 nm之間的寬度、介於約30 nm與約200 nm之間或更多的深度,以及各種深寬比,包括至少10:1(例如,30:1)的高深寬比的特徵部。
雖然本文所提供的方法主要參照含鉬層的沉積而進行說明,但這些方法可以用於沉積具有可蒸發前驅物(vaporizable precursor)的其他金屬,例如鎢、鈷及釕。舉例而言,在另一態樣中提供一種在半導體基板上形成含金屬層(例如,含鉬、鎢、鈷或釕層)的方法。在一些實施例中,該等方法包括:(a)將具有凹陷特徵部的半導體基板提供至處理腔室;以及(b)在介於約100°C與約500°C之間的溫度下,將該半導體基板暴露於金屬前驅物(例如,鉬前驅物、鎢前驅物、鈷前驅物或釕前驅物)、還原劑及含矽反應物,以將該金屬前驅物還原並且在該半導體基板上形成含金屬層,其中該含金屬層包括處於零氧化態的金屬(例如,鉬、鎢、鈷或釕)的層。在一些實施例中,該含金屬層進一步包括金屬矽化物層(例如,鉬矽化物、鎢矽化物、鈷矽化物或釕矽化物)。
本文中所使用的「鉬金屬」或「金屬鉬」係指實質由處於零氧化態的鉬(Mo)所構成的材料。其他元素(例如,C、N或O)可以少量存在於鉬金屬中(例如,總含量小於約15原子%或小於約10%,其中氫不包括在此計算中)。本文中所使用的「高純度鉬金屬」係指鉬金屬,其包括少於約5%的其他元素,例如少於約1%的其他元素,其中氫不包括在此計算中。在一些實施例中,藉由本文所提供的方法進行沉積的鉬金屬包括至少一部份,而該部份係至少約90%,例如至少約95%或至少約99%的純鉬,其中%係指重量百分比。
鉬矽化物(MoSi
x)係指實質由鉬及矽所構成的材料,其中x表示化學計量可能會有所不同。其他元素可能會以少量(例如,少於約10原子%)存在,其中氫被排除在此計算之外。
本文中所使用的術語「半導體基板」指的是在半導體裝置製造的任何階段時的基板,其含有位在其結構內的任何位置處的半導體材料。半導體基板的示例係具有將半導體材料覆蓋的複數其他材料(例如,介電質)層的半導體晶圓。下方的實施方式係假定所揭示的實行例在半導體晶圓上(例如,在200 mm、300 mm或450 mm的半導體晶圓上)實施。然而,所揭示的這些實行例並不限於此。半導體晶圓可為各種形狀、尺寸及材料。除了半導體晶圓之外,可利用所揭示的這些實行例的其他工件類型包括各種製品,例如印刷電路板等。
本文中所使用的「還原劑」係指在反應中失去一或多個電子的反應物。
本文中所使用的「雜配錯合物」係指化合物,其包含至少二個不同且附接於金屬中心的配位基。
本文中所使用的「均配錯合物」係指化合物,其包含均為相同且附接於金屬中心的配位基。
除非另有指明,否則本文中所使用的術語「約」係指所記載數值的±10%。當使用時,此術語使任何所記載數值、數值範圍或一或更多範圍的端點造成改動。
本文中所使用的術語「頂部」、「底部」、「下部」、「上方」及「下方」係用於提供複數結構之間的相對關係。使用這些術語並不表示或要求特定結構必須位於該設備中的特定位置處。
本文中所使用的術語「實質無選擇性沉積」係指一種沉積,其中在第一表面上的沉積速率比第二表面上的沉積速率的比率(選擇性)係介於約0.7與約1.3之間。舉例而言,實質無選擇性沉積的選擇性可以約為0.9~1.1。
本文中所使用的術語「矽輔助沉積」係指在沉積處理中將基板暴露於含矽反應物的沉積。矽輔助沉積的一個示例係將基板暴露於含矽反應物、含鉬前驅物及還原劑,以沉積鉬金屬及/或鉬矽化物。
本文中所使用的術語「無矽沉積」係指不使用含矽反應物的沉積。無矽沉積的一個示例係將基板暴露於含鉬前驅物及還原劑,但不暴露於含矽反應物,以沉積鉬金屬。
本文中所使用的術語「子層」係指較大層的任何部分。舉例而言,含鉬材料的層可包括鉬金屬的子層及鉬矽化物的子層。
在本文中使用時,應該將詞組「A、B及C的至少一者」視為是代表使用非排他性的邏輯OR的邏輯(A或B或C),而不應該被視為是代表「至少一個A、至少一個B與至少一個C」。
本文中所使用的術語「醯基」係指經由本文所定義的羰基而附接於母分子團的直鏈、分枝、環狀構形、飽和、不飽和及芳香性及其組合的1、2、3、4、5、6、7、8或更多個碳原子基團,或是氫。此基團的示例為甲醯基(-C(O)H)、乙醯基(Ac或-C(O)Me)、丙醯基、異丁醯基、丁醯基等。在一些實施例中,醯基為-C(O)-R,其中R為氫、本文所定義的脂肪族基團或芳香族基團。
本文中所定義的「醯氧基」係指本文所定義的醯基,經由本文所定義的氧基團而附接於母分子團。此基團的示例為乙醯氧基(-OAc或-OC(O)Me)。在一些實施例中,醯氧基團為-OC(O)-R,其中R為氫、本文所定義的脂肪族基團或芳香族基團。
「脂肪族」係指具有至少一個碳原子至50個碳原子(C
1-50),例如1至25個碳原子(C
1-25)或1至10個碳原子(C
1-10)的碳氫化合物基團,其中該碳氫化合物包括烷類(或烷基)、烯類(或烯基)、炔類(或炔基),包括其環狀形式,且更包括直鏈及分枝鏈排列,以及所有立體及位置異構物。脂肪族基團係未經取代的,或是例如被本文所述的官能基所取代。舉例而言,脂肪族基團可以被本文中針對烷基所描述的一或更多取代基所取代。
「脂肪族-羰基」係指脂肪族基團被耦接或可以被耦接至本文所揭示的化合物,其中該脂肪族基團係經由或變成經由羰基(-C(O)-)而進行耦接。在一些實施例中,脂肪族-羰基為-C(O)-R,其中R為本文所定義的任選取代脂肪族基團。
「脂肪族-羰氧基」係指脂肪族基團被耦接或可以被耦接至本文所揭示的化合物,其中該脂肪族基團係經由或變成經由羰氧基(-OC(O)-)而進行耦接。在一些實施例中,脂肪族-羰氧基團為-OC(O)-R,其中R為本文所定義的任選取代脂肪族基團。
「脂肪族-氧基」係指脂肪族基團被耦接或可以被耦接至本文所揭示的化合物,其中該脂肪族基團係經由或變成經由氧基(-O-)而進行耦接。在一些實施例中,脂肪族-氧基團為-O-R,其中R為本文所定義的任選取代脂肪族基團。
「脂肪族-氧羰基」係指脂肪族基團被耦接或可以被耦接至本文所揭示的化合物,其中該脂肪族基團係經由或變成經由氧羰基(-C(O)O-)而進行耦接。在一些實施例中,脂肪族-氧羰基為-C(O)O-R,其中R為本文所定義的任選取代脂肪族基團。
「烷基-芳基」、「烯基-芳基」及「炔基-芳基」分別係指本文所定義的烷基、烯基或炔基,透過本文所定義的芳基而耦接(或附接)或可耦接(或附接)於母分子團。烷基-芳基、烯基-芳基及/或炔基-芳基團可為經取代或未經取代的。舉例而言,烷基-芳基、烯基-芳基及/或炔基-芳基團可被本文中針對烷基及/或芳基所描述的一或更多取代基所取代。示例性未經取代的烷基-芳基團係由7至16個碳所構成的烷基-芳基團(C
7-16烷基-芳基),以及具有1至6個碳的烷基團及4至18個碳的芳基團的烷基-芳基團(即,C
1-6烷基-C
4-18芳基)。示例性未經取代的烯基-芳基團係由7至16個碳所構成的烯基-芳基團(C
7-16烯基-芳基),以及具有2至6個碳的烯基團及4至18個碳的芳基團的烯基-芳基團(即,C
2-6烯基-C
4-18芳基)。示例性未經取代的炔基-芳基團係由7至16個碳所構成的炔基-芳基團(C
7-16炔基-芳基),以及具有2至6個碳的炔基團及4至18個碳的芳基團的炔基-芳基團(即,C
2-6炔基-C
4-18芳基)。在一些實施例中,烷基-芳基團為-L-R,其中L為本文所定義的芳基團或亞芳基團(arylene group),而R為本文所定義的烷基團。在一些實施例中,烯基-芳基團為-L-R,其中L為本文所定義的芳基團或亞芳基團,而R為本文所定義的烯基團。在一些實施例中,炔基-芳基團為-L-R,其中L為本文所定義的芳基團或亞芳基團,而R為本文所定義的炔基團。
「烯基」係指具有至少2個碳原子至50個碳原子(C
2-50),例如2至25個碳原子(C
2-25)或2至10個碳原子(C
2-10),以及至少一個碳-碳雙鍵的不飽和單價碳氫化合物,其中該不飽和單價碳氫化合物可衍生自將一個氫原子從母烯類的一個碳原子上移除。烯基團可為分枝、直鏈、環狀(例如,環烯基)、順式或反式的(例如,E或Z)。示例性烯基包括具有一或更多雙鍵的任選取代C
2-24烷基團。透過將一或更多氫移除而形成對於母分子團的合適附接或是在母分子團與另一取代基之間形成合適附接,烯基團可以為單價或多價(例如,二價)的。烯基團亦可為經取代或未經取代的。舉例而言,烯基團可以被本文中針對烷基所描述的一或更多取代基團所取代。非限制性的烯基團包括烯丙基(allyl,All)、乙烯基(Vinyl,Vi)、1-丁烯基、2-丁烯基等。
「烷氧基」係指-OR,其中R為本文所述的任選取代脂肪族基團。示例性烷氧基團包括但不限於甲氧基、乙氧基、正丙氧基、異丙氧基、正丁氧基、三級丁氧基、二級丁氧基、正戊氧基、三鹵烷氧基(例如,三氟甲氧基)等。烷氧基團可以是經取代或未經取代的。舉例來說,烷氧基團可被本文中針對烷基所描述的一或更多取代基所取代。示例性未經取代的烷氧基團包括C
1-3、C
1-6、C
1-12、C
1-16、C
1-18、C
1‑20或C
1-24烷氧基團。
「烷氧基烷基」係指本文所定義的烷基團,其被本文所定義的烷氧基所取代。示例性未經取代的烷氧基烷基團包括介於2至12個碳所構成的烷氧基烷基團(C
2-12烷氧基烷基),以及具有1至6個碳的烷基團及1至6個碳的烷氧基團的烷氧基烷基團(即,C
1-6烷氧基-C
1-6烷基)。在一些實施例中,烷氧基烷基團為-L-O-R,其中L及R各自獨立為本文所定義的烷基團。
「烷氧基羰基」係指-C(O)-OR,其中R為本文所述的任選取代脂肪族基團。在特定實施例中,烷氧基羰基團為-C(O)-OAk,其中Ak為本文所定義的烷基團。烷氧基羰基團可為經取代或未經取代的。舉例而言,烷氧基羰基團可被本文中針對烷基所描述的一或更多取代基所取代。示例性未經取代的烷氧基羰基團包括C
2-3、C
2-6、C
2-7、C
2-12、C
2-16、C
2-18、C
2‑20或C
2-24烷氧基羰基團。
「烷基」係指具有至少1個碳原子至50個碳原子(C
1-50),例如1至25個碳原子(C
1-25)或1至10個碳原子(C
1-10)的飽和單價碳氫化合物,其中該飽和單價碳氫化合物可衍生自將一個氫原子從母化合物(例如,烷類)的一個碳原子上移除。烷基團可為分枝、直鏈或環狀的(例如,環烷基)。示例性烷基包括1至24個碳原子的分枝或未分枝的飽和碳氫化合物基,例如甲基(Me)、乙基(Et)、正丙基(nPr)、異丙基(iPr)、正丁基(nBu)、異丁基(iBu)、二級丁基(sBu)、三級丁基(tBu)、戊基(Pe)、正戊基(nPe)、異戊基(iPe)、二級戊基(sPe)、新戊基(neoPe)、三級戊基(tPe)、己基(Hx)、庚基(Hp)、辛基(Oc)、壬基(Nn)、癸基(De)、十二基、十四基、十六基、 二十基、二十四基等。烷基團亦可為經取代或未經取代的。透過將一或更多氫移除而形成對於母分子團的合適附接或是在母分子團與另一取代基之間形成合適附接,烷基團可以是單價或多價(例如,二價)的。舉例而言,烷基可以被一、二、三個取代基所取代,或是在具有二或更多個碳原子的烷基的情況下係被四個取代基所取代,其中所述取代基係獨立選自於下列所組成的群組:(1)C
1-6烷氧基(例如,‑O‑R,其中R為C
1-6烷基);(2)C
1-6烷基亞磺醯基(例如,-S(O)-R,其中R為C
1-6烷基);(3)C
1-6烷基磺醯基(例如,-SO
2-R,其中R為C
1-6烷基);(4)胺基(例如,-NR
1R
2,其中R
1及R
2的各者係獨立選自氫、本文所定義的脂肪族、雜脂肪族、鹵脂肪族、鹵雜脂肪族、芳香族或其任何組合,或是R
1及R
2與其各自附接的氮原子共同形成本文所定義的雜環基團);(5)芳基;(6)芳基烷氧基(例如,-O-L-R,其中L為烷基,而R為芳基);(7)芳醯基(例如,-C(O)-R,其中R為芳基);(8)疊氮基(例如,-N
3);(9)氰基(例如,-CN);(10)醛基(例如,‑C(O)H);(11)C
3-8環烷基;(12)鹵素;(13)雜環基(例如本文所定義的雜環基,例如包含一、二、三或四個非碳雜原子的5、6或7元環);(14)雜環氧基(例如,-O-R,其中R為本文所定義的雜環基);(15)雜環醯基(例如,-C(O)-R,其中R為本文所定義的雜環基);(16)羥基(例如,-OH);(17)N-保護胺基;(18)硝基(例如,‑NO
2);(19)側氧基(例如,=O);(20)C
1-6硫代烷基(例如,‑S‑R,其中R為烷基);(21)硫醇(例如,-SH);(22)-CO
2R
1,其中R
1係選自於由(a)氫、(b)C
1-6烷基、(c)C
4-18芳基及(d)C
4-18芳基-C
1-6烷基(例如,-L-R,其中L為C
1-6烷基,而R為C
4-18芳基)所組成的群組;(23)‑C(O)NR
1R
2,其中R
1及R
2的各者係獨立選自於由(a)氫、(b)C
1-6烷基、(c)C
4-18芳基及(d)C
4-18芳基-C
1-6烷基(例如,-L-R,其中L為C
1-6烷基,而R為C
4-18芳基)所組成的群組;(24)‑SO
2R
1,其中R
1係選自於由(a)C
1-6烷基、(b)C
4-18芳基及(c)C
4-18芳基-C
1-6烷基(例如,-L-R,其中L為C
1-6烷基,而R為C
4-18芳基)所組成的群組;(25)‑SO
2NR
1R
2,其中R
1及R
2的各者係獨立選自於由(a)氫、(b)C
1-6烷基、(c)C
4-18芳基及(d)C
4-18芳基-C
1-6烷基(例如,-L-R,其中L為C
1-6烷基,而R為C
4-18芳基)所組成的群組;以及(26)‑NR
1R
2,其中R
1及R
2的各者係獨立選自於由(a)氫、(b)N-保護基團、(c)C
1-6烷基、(d)C
2-6烯基、(e)C
2-6炔基、(f)C
4-18芳基、(g)C
4-18芳基-C
1-6烷基(例如,-L-R,其中L為C
1-6烷基,而R為C
4-18芳基)、(h)C
3-8環烷基及(i)C
3-8環烷基-C
1-6烷基(例如,-L-R,其中L為C
1-6烷基,而R為C
3-8環烷基)所組成的群組,其中在一實施例中並未有兩基團係經由羰基或磺醯基而與氮原子接合。烷基團可以是被一或更多取代基(例如,一或更多鹵素或烷氧基)所取代的一級、二級或三級烷基團。在一些實施例中,未經取代的烷基團為C
1-3、C
1-6、C
1-12、C
1-16、C
1-18、C
1-20或C
1-24烷基團。
「伸烷基」、「伸烯基」或「伸炔基」分別係指本文所述之烷基、烯基或炔基的多價(例如,二價)形式。示例性伸烷基包括亞甲基、伸乙基、伸丙基、伸丁基等。在一些實施例中,伸烷基團係C
1-3、C
1-6、C
1-12、C
1-16、C
1-18、C
1-20、C
1-24、C
2-3、C
2-6、C
2-12、C
2-16、C
2-18、C
2-20或C
2-24伸烷基團。在其他實施例中,伸烷基團係C
2-3、C
2-6、C
2-12、C
2-16、C
2-18、C
2-20或C
2-24伸烯基團或伸炔基團。伸烷基、伸烯基或伸炔基團可為分枝或未分枝的。伸烷基、伸烯基或伸炔基團亦可為經取代或未經取代的。舉例來說,可利用本文中為烷基所描述的一或更多取代基以對伸烷基、伸烯基或伸炔基團進行取代。
「烷基亞磺醯基」係指本文所定義的烷基,其透過-S(O)-基團而附接於母分子團。在一些實施例中,未經取代的烷基亞磺醯基團為C
1-6或C
1-12烷基亞磺醯基團。在其他實施例中,烷基亞磺醯基團為‑S(O)-R,其中R為本文所定義的烷基團。
「烷基亞磺醯基烷基」係指本文所定義的烷基,其被烷基亞磺醯基團取代。在一些實施例中,未經取代的烷基亞磺醯基烷基團為C
2-12或C
2-24烷基亞磺醯基烷基團(例如,C
1-6烷基亞磺醯基-C
1-6烷基或C
1-12烷基亞磺醯基-C
1-12烷基)。在其他實施例中,烷基亞磺醯基團為‑L-S(O)-R,其中L及R各自獨立為本文所定義的烷基團。
「烷基磺醯基」係指本文所定義的烷基,透過-SO
2-基團而附接於母分子團。在一些實施例中,未經取代的烷基磺醯基團為C
1-6或C
1-12烷基磺醯基團。在其他實施例中,烷基亞磺醯基團為‑SO
2-R,其中R為任選取代烷基(例如,本文所述的任選取代烷基,包括任選取代C
1-12烷基、鹵烷基或全氟烷基)。
「烷基磺醯基烷基」係指本文所定義的烷基,其被烷基磺醯基團取代。在一些實施例中,未經取代的烷基磺醯基烷基團為C
2-12或C
2-24烷基磺醯基烷基團(例如,C
1-6烷基磺醯基-C
1-6烷基或C
1-12烷基磺醯基-C
1-12烷基)。在其他實施例中,烷基磺醯基團為‑L-SO
2-R,其中L及R各自獨立為本文所定義的烷基團。
「炔基」係指具有至少2個碳原子至50個碳原子(C
2-50),例如2至25個碳原子(C
2-25)或2至10個碳原子(C
2-10),以及至少一個碳-碳參鍵的不飽和單價碳氫化合物,其中該不飽和單價碳氫化合物可衍生自將一個氫原子從母炔的一個碳原子上移除。炔基團可為分枝、直鏈或環狀的(例如,環炔基)。示例性炔基包括具有一或更多參鍵的任選取代C
2-24烷基團。炔基團可為環狀或非環狀的,其示例為乙炔基、1-丙炔基等。透過將一或更多氫移除而形成對於母分子團的合適附接或是在母分子團與另一取代基之間形成合適附接,炔基團可為單價或多價(例如,二價)的。炔基團亦可為經取代或未經取代的。舉例而言,炔基團可被本文中針對烷基所描述的一或更多取代基所取代。
「環境溫度」係指範圍從16°C至26°C的溫度,例如從19°C至25°C或從20°C至25°C。
「醯胺基」係指-C(O)NR
1R
2或-NHCOR
1,其中R
1及R
2的各者係獨立選自氫、本文所定義的脂肪族、雜脂肪族、芳香族或其任何組合,或是R
1及R
2與其各自附接的氮原子共同形成本文所定義的雜環基團。
「胺基」係指-NR
1R
2,其中R
1及R
2的各者係獨立選自氫、本文所定義的經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、經任選取代矽基、經任選取代矽氧基或其任何組合;或者,R
1及R
2與其各自附接的氮原子可共同形成本文所定義的雜環基團。在特定實施例中,R
1及R
2的各者係獨立為氫、經任選取代烷基、經任選取代烷氧基、經任選取代芳基、經任選取代芳氧基、經任選取代烷基-芳基、經任選取代芳基-烷基、經任選取代矽基或經任選取代矽氧基。在特定實施例中,R
1及R
2可與其各自附接的氮原子共同形成經任選取代的雜環基。
「胺基烷基」係指本文所定義的烷基團被本文所定義的胺基團所取代。在一些實施例中,胺基烷基為-L-NR
1R
2,其中L為本文所定義的烷基團,而R
1及R
2的各者係獨立選自氫、本文所定義的脂肪族、雜脂肪族、芳香族或其任何組合;或者,R
1及R
2與其各自附接的氮原子可以共同形成本文所定義的雜環基團。在其他實施例中,胺基烷基團為-L-C(NR
1R
2)(R
3)-R
4,其中L為共價鍵或本文所定義的烷基團;R
1及R
2的各者係獨立選自氫、本文所定義的脂肪族、雜脂肪族、芳香族或其任何組合;或者,R
1及R
2與其各自附接的氮原子可以共同形成本文所定義的雜環基團;而R
3及R
4的各者獨立為本文所定義的H或烷基。
「胺氧基」係指本文所定義的氧基團被本文所定義的胺基團所取代。在一些實施例中,胺氧基團為-O-NR
1R
2,其中R
1及R
2的各者係獨立選擇自氫、本文所定義的經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、經任選取代矽基、經任選取代矽氧基或其任何組合;或者,R
1及R
2與其各自附接的氮原子可以共同形成本文所定義的雜環基團。在特定實施例中,R
1及R
2的各者係獨立為氫、經任選取代烷基、經任選取代烷氧基、經任選取代芳基、經任選取代芳氧基、經任選取代烷基-芳基、經任選取代芳基-烷基、經任選取代矽基或經任選取代矽氧基。
除另有指明外,「芳香族」係指具有單一環(例如,苯基)或複數稠合環(例如,萘基、吲哚基或吡唑吡啶基)的5至15個環原子的環狀且共軛的基團或部分,其中該複數稠合環中的至少一環係具有芳香性;亦即,至少一環及任選的複數稠合環具有連續且未定域的π電子系統。一般而言,平面外的π電子數量係對應於休克爾規則(4n+2)。對於母結構的附接點通常係透過稠合環系統的芳香性部分。芳香族基團係未經取代的,或是例如被本文所述的官能基所取代。舉例而言,芳香族基團可以被本文中針對烷基及/或芳基所描述的一或更多取代基所取代。
「芳香族-羰基」係指芳香族基團被耦接或可以被耦接至本文所揭示的化合物,其中該芳香族基團係經由或變成經由羰基(-C(O)-)而進行耦接。在一些實施例中,脂肪族-羰基為-C(O)-R,其中R為本文所定義的經任選取代芳香族基團。
「芳香族-羰氧基」係指芳香族基團被耦接或可以被耦接至本文所揭示的化合物,其中該芳香族基團係經由或變成經由羰氧基(-OC(O)-)而進行耦接。在一些實施例中,芳香族-羰氧基為-OC(O)-R,其中R為本文所定義的經任選取代芳香族基團。
「芳香族-氧基」係指芳香族基團被耦接或可以被耦接至本文所揭示的化合物,其中該芳香族基團係經由或變成經由氧基(-O-)而進行耦接。在一些實施例中,芳香族-氧基為-O-R,其中R為本文所定義的任選取代芳香族基團。
「芳香族-氧羰基」係指芳香族基團被耦接或可以被耦接至本文所揭示的化合物,其中該芳香族基團係經由或變成經由氧羰基(-C(O)O-)而進行耦接。在一些實施例中,芳香族-氧羰基為-C(O)O-R,其中R為本文所定義的任選取代芳香族基團。
「芳基」係指芳香性碳環基團,其包括至少5個碳原子至15個碳原子(C
5-15),例如5至10個碳原子(C
5-10),且具有單一環或複數稠合環,其中稠合環可能會或可能不會具有芳香性,前提為對於本文所揭露的化合物的剩餘部分的附接點係透過芳香性碳環基團的原子。芳基團可以被氫以外的一或更多基團所取代,所述基團例如為脂肪族、雜脂肪族、芳香族、其他官能基或其任何組合。示例性芳基團包括但不限於苄基、萘、苯基、聯苯、苯氧基苯等。術語「芳基」亦包括雜芳基,其中雜芳基係被定義為包含芳香族基團的一種基團,且該芳香族基團具有至少一雜原子結合於該芳香族基團的環內。雜原子的示例包括但不限於氮、氧、硫及磷。同理,術語「非雜芳基」(其亦被包括在術語「芳基」中)係定義一種包含芳香族基團的基團,但該芳香族基團係不包含雜原子。芳基團可為經取代或未經取代的。芳基團可以被一、二、三、四或五個取代基所取代,其中所述取代基係獨立選自於下列所組成的群組:(1)C
1-6烷醯基(例如,-C(O)-R,其中R為C
1-6烷基);(2)C
1-6烷基;(3)C
1-6烷氧基(例如,‑O‑R,其中R為C
1-6烷基);(4)C
1-6烷氧基-C
1-6烷基(例如,-L-O-R,其中L及R的各者獨立為C
1-6烷基);(5)C
1-6烷基亞磺醯基(例如,-S(O)-R,其中R為C
1-6烷基);(6)C
1-6烷基亞磺醯基-C
1-6烷基(例如,-L-S(O)-R,其中L及R的各者獨立為C
1-6烷基);(7)C
1-6烷基磺醯基(例如,-SO
2-R,其中R為C
1-6烷基);(8)C
1-6烷基磺醯基-C
1-6烷基(例如,-L-SO
2-R,其中L及R的各者獨立為C
1-6烷基);(9)芳基;(10)胺基(例如,-NR
1R
2,其中R
1及R
2的各者係獨立選自氫、本文所定義的脂肪族、雜脂肪族、鹵脂肪族、鹵雜脂肪族、芳香族或其任何組合;或者,R
1及R
2與其各自附接的氮原子可以共同形成本文所定義的雜環基團);(11)C
1-6胺基烷基(例如,-L
1-NR
1R
2或-L
2-C(NR
1R
2)(R
3)-R
4,其中L
1為C
1-6烷基;L
2為共價鍵或C
1-6烷基;R
1及R
2的各者係獨立選自氫、本文所定義的脂肪族、雜脂肪族、鹵脂肪族、鹵雜脂肪族、芳香族或其任何組合;或者,R
1及R
2與其各自附接的氮原子可以共同形成本文所定義的雜環基團;而R
3及R
4的各者獨立為H或C
1-6烷基);(12)雜芳基;(13)C
4-18芳基-C
1-6烷基(例如,-L-R,其中L為C
1-6烷基,而R為C
4-18芳基);(14)芳醯基(例如,-C(O)-R,其中R為芳基);(15)疊氮基(例如,-N
3);(16)氰基(例如,-CN);(17)C
1-6疊氮烷基(例如,-L-N
3,其中L為C
1-6烷基);(18)醛基(例如,‑C(O)H);(19)醛基-C
1-6烷基(例如,-L-C(O)H,其中L為C
1-6烷基);(20)C
3-8環烷基;(21)C
3-8環烷基-C
1-6烷基(例如,-L-R,其中L為C
1-6烷基,而R為C
3-8環烷基);(22)鹵素;(23)C
1-6鹵烷基(例如,-L
1-X或-L
2-C(X)(R
1)-R
2,其中L
1為C
1-6烷基;L
2為共價鍵或C
1-6烷基;X為氟基、溴基、氯基或碘基;而R
1及R
2的各者獨立為H或C
1-6烷基);(24)雜環基(如本文所定義的雜環基,例如包含一、二、三或四個非碳雜原子的5、6或7元環);(25)雜環氧基(例如,-O-R,其中R為本文所定義的雜環基);(26)雜環醯基(例如,-C(O)-R,其中R為本文所定義的雜環基);(27)羥基(例如,-OH);(28)C
1-6羥基烷基(例如,-L
1-OH或-L
2-C(OH)(R
1)-R
2,其中L
1為C
1-6烷基;L
2為共價鍵或烷基;而R
1及R
2的各者獨立為H或本文所定義的C
1-6烷基);(29)硝基;(30)C
1-6硝基烷基(例如,-L
1-NO或-L
2-C(NO)(R
1)-R
2,其中L
1為C
1-6烷基;L
2為共價鍵或烷基;而R
1及R
2的各者獨立為H或本文所定義的C
1-6烷基);(31)N-保護胺基;(32)N-保護胺基-C
1-6烷基;(33)側氧基(例如,=O);(34)C
1-6硫代烷氧基(例如,‑S‑R,其中R為C
1-6烷基);(35)C
1-6硫代烷氧基-C
1-6烷基(例如,-L‑S‑R,其中L及R的各者獨立為C
1-6烷基);(36)-(CH
2)
rCO
2R
1,其中r為從0至4的整數,而R
1係選自於由(a)氫、(b)C
1-6烷基、(c)C
4-18芳基及(d)C
4-18芳基-C
1-6烷基(例如,-L-R,其中L為C
1-6烷基,而R為C
4-18芳基)所組成的群組;(37)-(CH
2)
rCONR
1R
2,其中r為從0至4的整數,而R
1及R
2的各者係獨立選自於由(a)氫、(b)C
1-6烷基、(c)C
4-18芳基及(d)C
4-18芳基-C
1-6烷基(例如,-L-R,其中L為C
1-6烷基,而R為C
4-18芳基)所組成的群組;(38)-(CH
2)
rSO
2R
1,其中r為從0至4的整數,而R
1係選自於由(a)C
1-6烷基、(b)C
4-18芳基及(c)C
4-18芳基-C
1-6烷基(例如,-L-R,其中L為C
1-6烷基,而R為C
4-18芳基)所組成的群組;(39)-(CH
2)
rSO
2NR
1R
2,其中r為從0至4的整數,而R
1及R
2的各者係獨立選自於由(a)氫、(b)C
1-6烷基、(c)C
4-18芳基及(d)C
4-18芳基-C
1-6烷基(例如,-L-R,其中L為C
1-6烷基,而R為C
4-18芳基)所組成的群組;(40)-(CH
2)
rNR
1R
2,其中r為從0至4的整數,R
1及R
2的各者係獨立選自於由(a)氫、(b)N-保護基、(c)C
1-6烷基、(d)C
2-6烯基、(e)C
2-6炔基、(f)C
4-18芳基、(g)C
4-18芳基-C
1-6烷基(例如,-L-R,其中L為C
1-6烷基,而R為C
4-18芳基)、(h)C
3-8環烷基及(i)C
3-8環烷基-C
1-6烷基(例如,-L-R,其中L為C
1-6烷基,而R為C
3-8環烷基)所組成的群組,其中在一實施例中並未有兩基團係經由羰基或磺醯基而與氮原子接合;(41)硫醇(例如,-SH);(42)全氟烷基(例如,-(CF
2)
nCF
3,其中n為從0至10的整數);(43)全氟烷氧基(例如,-O-(CF
2)
nCF
3,其中n為從0至10的整數);(44)芳氧基(例如,-O-R,其中R為芳基);(45)環烷氧基(例如,-O-R,其中R為環烷基);(46)環烷基烷氧基(例如,-O-L-R,其中L為烷基,而R為環烷基);以及(47)芳基烷氧基(例如,-O-L-R,其中L為烷基,而R為芳基)。在特定實施例中,未經取代芳基團為C
4-18、C
4-14、C
4-12、C
4-10、C
6-18、C
6-14、C
6-12或C
6-10芳基團。
「芳基-烷基」、「芳基-烯基」及「芳基-炔基」係指本文所定義的芳基,分別透過本文所定義的烷基、烯基或炔基而耦接(或附接)或可耦接(或附接)於母分子團。芳基-烷基、芳基-烯基及/或芳基-炔基團可為經取代或未經取代的。舉例而言,芳基-烷基、芳基-烯基及/或芳基-炔基團可被本文中針對烷基及/或芳基所描述的一或更多取代基所取代。示例性未經取代的芳基-烷基團係由7至16個碳所構成的芳基-烷基團(C
7-16芳基-烷基),以及具有4至18個碳的芳基團及1至6個碳的烷基團的芳基-烷基團(即, C
4-18芳基-C
1-6烷基)。示例性未經取代的芳基-烯基團係由7至16個碳所構成的芳基-烯基團(C
7-16芳基-烯基),以及具有4至18個碳的芳基團及2至6個碳的烯基團的芳基-烯基團(即, C
4-18芳基-C
2-6烯基)。示例性未經取代的芳基-炔基團係由7至16個碳所構成的芳基-炔基團(C
7-16芳基-炔基),以及具有4至18個碳的芳基團及2至6個碳的炔基團的芳基-炔基團(即, C
4-18芳基-C
2-6炔基)。在一些實施例中,芳基-烷基團為-L-R,其中L為本文所定義的烷基團或伸烷基團,而R為本文所定義的芳基團。在一些實施例中,芳基-烯基團為-L-R,其中L為本文所定義的烯基團或伸烯基團,而R為本文所定義的芳基團。在一些實施例中,芳基-炔基團為-L-R,其中L為本文所定義的炔基團或伸炔基團,而R為本文所定義的芳基團。
「亞芳基」係指本文所述之芳基團的多價(例如,二價)形式。示例性亞芳基團包括伸苯基、伸萘基、聯伸二苯、聯伸三苯、二苯醚、伸二氫苊基(acenaphthenylene)、伸蒽基或伸菲基。在一些實施例中,亞芳基團為C
4-18、C
4-14、C
4-12、C
4-10、C
6-18、C
6-14、C
6-12或C
6-10亞芳基。亞芳基可為分枝或未分枝的。亞芳基亦可為經取代或未經取代的。舉例來說,亞芳基團可以被本文中針對芳基所描述的一或更多取代基所取代。
「芳基烷氧基」係指本文所定義的芳基-烷基團,透過氧原子而附接於母分子團。在一些實施例中,芳基烷氧基團為-O-L-R,其中L為本文所定義的烷基團,而R為本文所定義的芳基團。
「芳氧基」係指-OR,其中R為本文所描述的經任選取代芳基團。在一些實施例中,未經取代芳氧基團為C
4-18或C
6-18芳氧基團。在其他實施例中,R係任選地被烷基、烷醯基、胺基、羥基等取代的芳基團。
「芳氧基羰基」係指本文所定義的芳氧基團,其經由羰基而附接至母分子團。在一些實施例中,未經取代的芳氧基羰基團為C
5-19芳氧基羰基團。在其他實施例中,芳氧基羰基團為-C(O)O-R,其中R為本文所定義的芳基團。
「芳醯基」係指芳基團,透過羰基而附接於母分子團。在一些實施例中,未經取代芳醯基團為C
7-11或C
5-19芳醯基團。在其他實施例中,芳醯基團為-C(O)-R,其中R為本文所定義的芳基。
「芳醯基氧基」係指本文所定義的芳醯基團,其經由氧基而附接至母分子團。在一些實施例中,未經取代的芳醯基氧基團為C
5-19芳醯基氧基團。在其他實施例中,芳醯基氧基團為-OC(O)-R,其中R為本文所定義的芳基團。
「疊氮基」係指-N
3基。
「疊氮烷基」係指疊氮基團,透過本文所定義的烷基而附接於母分子團。在一些實施例中,疊氮烷基團為-L-N
3,其中L為本文所定義的烷基團。
「偶氮基」係指-N=N-基團。
「胺甲醯基」係指胺基,透過本文所定義的羰基而附接至母分子團。在一些實施例中,胺甲醯基為-C(O)NR
1R
2基團,其中R
1及R
2的各者係獨立選自氫、本文所定義的經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、經任選取代矽基、經任選取代矽氧基或其任何組合;或者,R
1及R
2與其各自附接的氮原子可共同形成本文所定義的雜環基團。
「胺甲醯基氧基」係指本文所定義的胺甲醯基,透過本文所定義的氧基而附接至母分子團。在一些實施例中,胺甲醯基氧基為-OC(O)NR
1R
2基團,其中R
1及R
2的各者係獨立選自氫、本文所定義的經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、經任選取代矽基、經任選取代矽氧基或其任何組合;或者,R
1及R
2與其各自附接的氮原子可共同形成本文所定義的雜環基團。
「伸亞胺醯基(carbonimidoyl)」係指-C(NR)-基團。在一些實施例中,R係選自氫、本文所定義的經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、經任選取代矽基、經任選取代烷基、經任選取代芳基、經任選取代烷基-芳基、經任選取代芳基-烷基、經任選取代矽氧基或其任何組合。
「羰基」係指-C(O)-基團,其亦可被表示為>C=O。
「羧基」係指-CO
2H基團或其陰離子。
「催化劑」係指本發明所屬技術領域中具有通常知識者能容易理解的化合物,其通常係相對於反應物以微小的量存在,並能夠催化合成反應。在一些實施例中,催化劑可包括過渡金屬配位錯合物。
「氰氧基」係指-OCN基團。
「氰基」係指-CN基團。
「環脂肪族」係指本文所定義的脂肪族基,其為環狀的。
「環烷氧基」係指本文所定義的環烷基團,透過氧原子而附接於母分子團。在一些實施例中,環烷氧基團為‑O-R,其中R為本文所定義的環烷基團。
「環烷基烷氧基」係指-O-L-R基團,其中L為本文所定義的烷基團或伸烷基團,而R為本文所定義的環烷基團。
除另為指明外,「環烷基」係指從三個至八個碳所構成的單價飽和或不飽和的非芳香環狀碳氫化合物基團,且其示例為環丙基、環丁基、環戊基、環己基、環庚基、雙環[2.2.1]庚基等。環烷基團亦可為經取代或未經取代的。舉例來說,環烷基團可被一或更多基團取代,包括本文中針對烷基所描述的那些基團。此外,環烷基可以包括一或更多雙鍵及/或參鍵。
「環雜脂肪族」係指本文所定義的雜脂肪族基團,其為環狀的。
「二矽烷基」係指含有Si-Si鍵的基團。在一些實施例中,二矽烷基團為-SiR
S1R
S2-SiR
S3R
S4R
S5或-SiR
S1R
S2-SiR
S3R
S4-基團,其中R
S1、R
S2、R
S3、R
S4及R
S5的各者係獨立為氫、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族或經任選取代胺基。
「二硫化物」係指-SSR,其中R係選自氫、本文所定義的脂肪族、雜脂肪族、鹵代脂肪族、鹵代雜脂肪族、芳香族或其任何組合。
「推電子基團」係指一種官能基,其例如能夠藉由共振而將其至少一部分的電子密度給予其直接附接的環。
「拉電子基團」係指一種官能基,其例如能夠藉由感應電子拉取(inductive electron withdrawal)而從其直接附接的環接收電子密度。
「鹵素」係指F、Cl、Br或I。
「氧族元素(chalcogen)」係指O、S、Se或Te。
「鹵代脂肪族」係指本文所定義的脂肪族,其中一或更多氫原子(例如,1至10個氫原子)獨立地被例如氟、溴、氯或碘的鹵素原子所取代。
「鹵代烷基」係指本文所定義的烷基,其中一或更多氫原子(例如,1至10個氫原子)獨立地被例如氟、溴、氯或碘的鹵素原子所取代。在獨立實施例中,鹵代烷基可為-CX
3基團,其中各個X可獨立選自氟、溴、氯或碘。在一些實施例中,鹵代烷基團為-L-X,其中L為本文所定義的烷基團,而X為氟、溴、氯或碘。在其他實施例中,鹵代烷基團為-L-C(X)(R
1)-R
2,其中L為共價鍵或本文所定義的烷基團;X為氟、溴、氯或碘;而R
1及R
2的各者獨立為H或本文所定義的烷基。
「鹵代雜脂肪族」係指本文所定義的雜脂肪族,其中一或更多氫原子(例如,1至10個氫原子)獨立地被例如氟、溴、氯或碘的鹵素原子所取代。
「雜脂肪族」係指本文所定義的脂肪族基團,在該基團中包括至少一個雜原子至20個雜原子,例如1至15個雜原子或1至5個雜原子,其中所述雜原子可以選擇自但不限於氧、氮、硫、矽、硼、硒、磷及其氧化形式。雜脂肪族基團係未經取代的,或是例如被本文所述的官能基所取代。舉例而言,雜脂肪族基團可以被本文中針對烷基所描述的一或更多取代基所取代。
「雜脂肪族-羰基」係指雜脂肪族基團被耦接或可以被耦接至本文所揭示的化合物,其中該雜脂肪族基團係經由或變成經由羰基(-C(O)-)而進行耦接。在一些實施例中,雜脂肪族-羰基團為-C(O)-R,其中R為本文所定義的任選取代雜脂肪族基團。
「雜脂肪族-羰氧基」係指雜脂肪族基團被耦接或可以被耦接至本文所揭示的化合物,其中該雜脂肪族基團係經由或變成經由羰氧基(-OC(O)-)而進行耦接。在一些實施例中,雜脂肪族-羰氧基團為-OC(O)-R,其中R為本文所定義的經任選取代雜脂肪族基團。
「雜脂肪族-氧基」係指雜脂肪族基團被耦接或可以被耦接至本文所揭示的化合物,其中該雜脂肪族基團係經由或變成經由氧基(-O-)而進行耦接。在一些實施例中,雜脂肪族-氧基團為-O-R,其中R為本文所定義的經任選取代雜脂肪族基團。
「雜脂肪族-氧羰基」係指雜脂肪族基團被耦接或可以被耦接至本文所揭示的化合物,其中該雜脂肪族基團係經由或變成經由氧羰基(-C(O)O-)而進行耦接。在一些實施例中,雜脂肪族-氧羰基團為-C(O)O-R,其中R為本文所定義的經任選取代雜脂肪族基團。
「雜烷基」、「雜烯基」及「雜炔基」分別係指本文所定義的烷基、烯基或炔基團(其可為分枝、直鏈或環狀的),在該基團內包括至少一個雜原子至20個雜原子,例如1至15個雜原子或1至5個雜原子,其中所述雜原子可選擇自但不限於氧、氮、硫、矽、硼、硒、磷及其氧化形式。
「雜伸烷基」、「雜伸烯基」或「雜伸炔基」分別係指本文所述之雜烷基、雜烯基或雜炔基的多價(例如,二價)形式。
「雜芳香族」係指本文所定義的芳香族基團,在該基團中包括至少一個雜原子至20個雜原子,例如1至15個雜原子或1至5個雜原子,其中所述雜原子可以選擇自但不限於氧、氮、硫、矽、硼、硒、磷及其氧化形式。雜芳香族基團係未經取代的,或是例如被本文所述的官能基所取代。舉例而言,雜芳香族基團可以被本文中針對烷基及/或芳基所描述的一或更多取代基所取代。
「雜芳香族-羰基」係指雜芳香族基團被耦接或可以被耦接至本文所揭示的化合物,其中該雜芳香族基團係經由或變成經由羰基(-C(O)-)而進行耦接。在一些實施例中,雜芳香族-羰基團為-C(O)-R,其中R為本文所定義的經任選取代雜芳香族基團。
「雜芳香族-羰氧基」係指雜芳香族基團被耦接或可以被耦接至本文所揭示的化合物,其中該雜芳香族基團係經由或變成經由羰氧基(-OC(O)-)而進行耦接。在一些實施例中,雜芳香族-羰氧基團為-OC(O)-R,其中R為本文所定義的經任選取代雜芳香族基團。
「雜芳香族-氧基」係指雜芳香族基團被耦接或可以被耦接至本文所揭示的化合物,其中該雜芳香族基團係經由或變成經由氧基(-O-)而進行耦接。在一些實施例中,雜芳香族-氧基團為-O-R,其中R為本文所定義的經任選取代雜芳香族基團。
「雜芳香族-氧羰基」係指雜芳香族基團被耦接或可以被耦接至本文所揭示的化合物,其中該雜芳香族基團係經由或變成經由氧羰基(-C(O)O-)而進行耦接。在一些實施例中,雜芳香族-氧羰基團為-C(O)O-R,其中R為本文所定義的經任選取代雜芳香族基團。
「雜芳基」係指在環中包括至少一個雜原子至6個雜原子(例如,1至4個雜原子)的芳基團,其中所述雜原子可選自但不限於氧、氮、硫、矽、硼、硒、磷及其氧化形式。此等雜芳基團可具有單一環或複數稠合環,其中稠合環可能會或可能不會具有芳香性及/或包含雜原子,前提為其附接點係透過芳香性雜芳基團的原子。雜芳基團可被氫以外的一或更多基團所取代,這些基團例如為脂肪族、雜脂肪族、芳香族、其他官能基或其任何組合。示例性雜芳基包括本文所定義的雜環基團的子集合,其中所述雜環基團係具有芳香性的,即其在單一環或多環系統內包含4n+2個π電子。
「雜亞芳基」係指本文所述之雜芳基的多價(例如,二價)形式。
「雜原子」係指碳以外的原子,例如氧、氮、硫、矽、硼、硒或磷。在所揭露的特定實施例中,例如當不允許原子價限制(valency constraint)時,雜原子不包括鹵素原子。
除另行指明外,「雜環基」係指包含一、二、三或四個非碳雜原子(例如,獨立選自於由氮、氧、磷、硫或鹵素所組成的群組)的5、6或7元環。5元環具有零至二個雙鍵,而6及7元環具有零至三個雙鍵。術語「雜環基」還包括雙環、三環及四環基團,其中上述雜環的任何者係與從下列所構成的群組中獨立選擇的一、二或三個環併合:芳香環、環己烷環、環己烯環、環戊烷環、環戊烯環,以及另一單環雜環,例如吲哚基、喹啉基、異喹啉基、四氫喹啉基、苯并呋喃基、苯并噻吩基等。雜環族包括噻𠰂基、噻呾基、四氫噻吩基、噻𠮿基、噻𠰢基、吖𠰂基、吖呾基、吡咯啶基、哌啶基、吖𠰢基、吡咯基、吡咯啉基、吡唑基、吡唑啉基、吡唑啶基、咪唑基、咪唑啉基、咪唑啶基、吡啶基、升哌啶基、吡𠯤基、哌𠯤基、嘧啶基、嗒𠯤基、㗁唑基、㗁唑啶基、㗁唑啶酮基、異㗁唑基、異㗁唑啶基、𠰌啉基、硫代𠰌啉基、噻唑基、噻唑啶基、異噻唑基、異噻吖𠷬基、吲哚基、喹啉基、異喹啉基、苯并咪唑基、苯并噻唑基、苯并㗁唑基、呋喃基、噻吩基、噻唑啶基、異噻唑基、異吲唑基、三唑基、四唑基、㗁二唑基、脲基、噻二唑基、嘧啶基、四氫呋喃基、二氫呋喃基、二氫噻吩基、二氫吲哚基、四氫喹啉基、四氫異喹啉基、哌喃基、二氫哌喃基、四氫哌喃基、二噻唑基、二㗁烷基、二㗁𠯤基、二噻𠮿基、三噻𠮿基、㗁吖𠯤基、噻吖𠯤基、側氧四氫噻吩基、三吖𠯤基、苯并呋喃基、苯并噻吩基等。
「雜環氧基」係指本文所定義的雜環基團,透過氧原子而附接於母分子團。在一些實施例中,雜環氧基團為-O-R,其中R為本文所定義的雜環基團。
「雜環醯基」係指本文所定義的雜環基團,透過羰基團而附接於母分子團。在一些實施例中,雜環醯基團為-C(O)-R,其中R為本文所定義的雜環基團。
「肼基」係指-NR
1-NR
2R
3,其中R
1、R
2及R
3的各者係獨立選自氫、本文所定義的經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、經任選取代矽基、經任選取代矽氧基或其任何組合;或者,R
1及R
2的組合或R
2及R
3的組合與其各自附接的氮原子可共同形成本文所定義的雜環基團。在一些實施例中,R
1、R
2及R
3的各者係獨立選自氫、經任選取代烷基、經任選取代芳基、經任選取代烷基-芳基或經任選取代芳基-烷基。在特定實施例中,R
2及R
3可以與其各自附接的氮原子共同形成經任選取代的雜環基團。
「羥基」係指-OH。
「羥基烷基」係指本文所定義的烷基團被一至三個羥基團所取代,其附加條件為該烷基團的單一碳原子不得附接多於一個羥基,羥基烷基的示例為羥基甲基、二羥基丙基等。在一些實施例中,羥基烷基團為-L-OH,其中L為本文所定義的烷基團。在其他實施例中,羥基烷基團為-L-C(OH)(R
1)-R
2,其中L為共價鍵或本文所定義的烷基團,而R
1及R
2的各者獨立為H或本文所定義的烷基。
「亞胺醯基(imidoyl)」係指包含伸亞胺醯基團的部分。在一些實施例中,亞胺醯基團為C(NR
1)R
2,其中R
1及R
2的各者係獨立選自氫、本文所定義的經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、經任選取代矽基、經任選取代烷基、經任選取代芳基、經任選取代烷基-芳基、經任選取代芳基-烷基、經任選取代矽氧基或其任何組合。在其他實施例中,亞胺醯基團為-C(NR
1)H、‑C(NR
1)R
Ak或‑C(NR
N1)R
Ar,其中R
1為氫、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、經任選取代矽基、經任選取代烷基、經任選取代芳基、經任選取代烷基-芳基、經任選取代芳基-烷基或經任選取代矽氧基;R
Ak為經任選取代烷基或經任選取代脂肪族;而R
Ar為經任選取代芳基或經任選取代芳香族。
「亞胺基」係指-NR-基團。在一些實施例中,R係選自氫、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族或經任選取代雜芳香族。在特定實施例中,R為氫、經任選取代烷基、經任選取代烷氧基、經任選取代芳基、經任選取代芳氧基、經任選取代烷基-芳基或經任選取代芳基-烷基。
「異氰酸基」係指-NCO基團。
「異氰基」係指-NC基團。
「酮」係指-C(O)R或是包括此種基團的化合物,其中R係選自本文所定義的脂肪族、雜脂肪族、芳香族或其任何組合。酮的示例可以包括R
1C(O)R,其中R及R
1的各者係獨立選自本文所定義的脂肪族、鹵代脂肪族、鹵代雜脂肪族、雜脂肪族、芳香族、脂肪族-芳香族、雜脂肪族-芳香族或其任何組合。
「硝基」係指‑NO
2基團。
「硝基烷基」係指本文所定義的烷基團,其被一至三個硝基團所取代。在一些實施例中,硝基烷基團為-L-NO,其中L為本文所定義的烷基團。在其他實施例中,硝基烷基團為-L-C(NO)(R
1)-R
2,其中L為共價鍵或本文所定義的烷基團;而R
1及R
2的各者獨立為H或本文所定義的烷基。
「側氧基」係指=O基。
「氧基」係指-O-。
「全氟烷基」係指本文所定義的烷基團,其中各個氫原子係被氟原子所取代。示例性全氟烷基團包括三氟甲基、五氟乙基等。在一些實施例中,全氟烷基團為-(CF
2)
nCF
3,其中n為0至10的整數。
「全氟烷氧基」係指本文所定義的烷氧基團,其中各個氫原子係被氟原子所取代。在一些實施例中,全氟烷氧基團為-O-R,其中R為本文所定義的全氟烷基團。
「鹽」係指化合物或結構(例如,本文所述的任何化學式、化合物或組成)的離子形式,其包括用以形成電中性化合物或結構的陽離子或陰離子化合物。鹽可在本發明的化合物的最終分離及純化期間原地製備,或是透過將自由鹼性基團與合適有機酸進行反應(從而產生陰離子鹽)而分離製備,或是透過將酸性基團與合適金屬或有機鹽進行反應(從而產生陽離子鹽)而分離製備。代表性陰離子鹽包括乙酸鹽、己二酸鹽、藻酸鹽、抗壞血酸鹽、天冬胺酸鹽、苯磺酸鹽、苯甲酸鹽、碳酸氫鹽、硫酸氫鹽、酒石酸氫鹽、硼酸鹽、溴化物、丁酸鹽、樟腦酸鹽、樟腦磺酸鹽、氯化物、檸檬酸鹽、環戊烷丙酸鹽、二葡萄糖酸鹽、二鹽酸鹽、二磷酸鹽、十二烷基硫酸鹽、依地酸鹽、乙磺酸鹽、反丁烯二酸鹽、葡萄糖庚酸鹽、葡萄糖酸鹽、麩胺酸鹽、甘油磷酸鹽、半硫酸鹽、庚酸鹽、己酸鹽、氫溴酸鹽、鹽酸鹽、氫碘酸鹽、羥基乙磺酸鹽、羥基萘甲酸鹽、碘化物、乳酸鹽、乳糖酸鹽、月桂酸鹽、月桂硫酸鹽、蘋果酸鹽、順丁烯二酸鹽、丙二酸鹽、杏仁酸鹽、甲磺酸鹽(mesylate/ methanesulfonate)、甲基溴鹽、甲硝酸鹽、甲硫酸鹽、黏酸鹽、2-萘磺酸鹽、菸鹼酸鹽、硝酸鹽、油酸鹽、草酸鹽、棕櫚酸鹽、雙羥萘酸鹽、果凍酸鹽、過硫酸鹽、3-苯丙酸鹽、磷酸鹽、苦味酸鹽、新戊酸鹽、聚半乳醣醛酸鹽、丙酸鹽、柳酸鹽、硬脂酸鹽、次乙酸鹽、琥珀酸鹽、硫酸鹽、單寧酸鹽、酒石酸鹽、茶鹼酸鹽、硫氰酸鹽、三乙基碘鹽、甲苯磺酸鹽、十一酸鹽、戊酸鹽等。代表性陽離子鹽包括例如鹼金屬鹽或鹼土金屬鹽的金屬鹽,例如鋇、鈣(例如,依地酸鈣)、鋰、鎂、鉀、鈉等;其他金屬鹽,例如鋁、鉍、鐵及鋅;以及無毒性銨、四級銨及胺陽離子,包括但不限於銨、四甲基銨、四乙基銨、甲胺、二甲胺、三甲胺、三乙胺、乙胺、吡啶陽離子等。其他陽離子鹽包括有機鹽,例如氯普魯卡因、膽鹼、二苄基乙二胺、二乙醇胺、乙二胺、甲基還原葡萄糖胺及普魯卡因。又其他鹽包括銨、鋶、亞碸陽離子、鏻、亞胺陽離子、咪唑陽離子、苯并咪唑陽離子、脒陽離子、胍陽離子、磷𠯤陽離子、膦氮烯陽離子、吡啶陽離子等,以及本文所述的其他陽離子基團(例如,任選取代異㗁唑陽離子、任選取代㗁唑陽離子、任選取代噻唑陽離子、任選取代吡咯陽離子、任選取代呋喃陽離子、任選取代苯硫陽離子、任選取代咪唑陽離子、任選取代吡唑陽離子、任選取代異噻唑陽離子、任選取代三唑陽離子、任選取代四唑陽離子、任選取代呋呫陽離子、任選取代吡啶陽離子、任選取代嘧啶陽離子、任選取代吡𠯤陽離子、任選取代三吖𠯤陽離子、任選取代四吖𠯤陽離子、任選取代嗒𠯤陽離子、任選取代㗁吖𠯤陽離子、任選取代吡咯啶陽離子、任選取代吡唑啶陽離子、任選取代咪唑啉陽離子、任選取代異㗁唑啶陽離子、任選取代㗁唑啶陽離子、任選取代哌𠯤陽離子、任選取代哌啶陽離子、任選取代𠰌啉陽離子、任選取代吖𠰢陽離子、任選取代吖呯陽離子、任選取代吲哚陽離子、任選取代異吲哚陽離子、任選取代吲
陽離子、任選取代吲唑陽離子、任選取代苯并咪唑陽離子、任選取代異喹啉陽離子、任選取代喹𠯤陽離子、任選取代脫氫喹𠯤陽離子、任選取代喹啉陽離子、任選取代異吲哚啉陽離子、任選取代苯并咪唑啉陽離子及任選取代嘌呤陽離子)。
「矽基」係指-SiR
1R
2R
3或-SiR
1R
2-基團。在一些實施例中,R
1、R
2及R
3的各者係獨立為氫、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族或經任選取代胺基。在特定實施例中,R
1、R
2及R
3的各者係獨立為氫、經任選取代烷基、經任選取代烷氧基、經任選取代芳基、經任選取代芳氧基、經任選取代烷基-芳基、經任選取代芳基-烷基或經任選取代胺基。在其他實施例中,矽基團為‑Si(R)
a(OR)
b(NR
2)
c,其中各個R係獨立為氫、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族或經任選取代雜芳香族;a、b及c的各者係≥0;以及a+b+c=3。在特定實施例中,各個R係獨立為氫、經任選取代烷基、經任選取代芳基、經任選取代烷基-芳基或經任選取代芳基-烷基。
「矽氧基」係指-OR,其中R為本文所述的經任選取代矽基團。在一些實施例中,矽氧基團為-O-SiR
1R
2R
3,其中R
1、R
2及R
3的各者係獨立為氫、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族或經任選取代胺基。在特定實施例中,R
1、R
2及R
3的各者係獨立為氫、經任選取代烷基、經任選取代烷氧基、經任選取代芳基、經任選取代芳氧基、經任選取代烷基-芳基、經任選取代芳基-烷基或經任選取代胺基。在其他實施例中,矽氧基團為‑O-Si(R)
a(OR)
b(NR
2)
c,其中各個R係獨立為氫、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族或經任選取代雜芳香族;a、b及c的各者係≥0;以及a+b+c=3。在特定實施例中,各個R係獨立為氫、經任選取代烷基、經任選取代芳基、經任選取代烷基-芳基或經任選取代芳基-烷基。
「亞磺醯基」係指-S(O)-基團。
「磺酸基」係指-S(O)
2OH基團。
「磺醯基」或「磺酸鹽(sulfonate)」係指-S(O)
2-基團或-SO
2R,其中R係選擇自氫、本文所定義的脂肪族、雜脂肪族、鹵代脂肪族、鹵代雜脂肪族、芳香族或其任何組合。
「硫代烷基」係指本文所定義的烷基團,透過硫原子而附接於母分子團。示例性未經取代硫代烷基團包括C
1-6硫代烷基。在一些實施例中,硫代烷基團為‑S‑R,其中R為本文所定義的烷基團。
「硫醇基」係指-SH基團。
本發明所屬技術領域中具有通常知識者將理解的是,上方所提供的定義用意不在於包括非許可的取代型態(例如,被5個不同基團取代的甲基等)。此種非許可的取代型態係能夠被本發明所屬技術領域中具有通常知識者所理解。除非在本文中另行指明外,本文中所揭露及/或上方所定義的任何官能基可為經取代或未經取代的。
本發明的其他特徵及優點將從下方敘述及申請專利範圍而顯而易知。
實施例
在一些實施例中,所述沉積方法包括:將具有凹陷特徵部的半導體基板提供至處理腔室;以及在介於約100℃與約500℃之間的溫度下將該半導體基板暴露於含鉬前驅物、還原劑及含矽反應物,以在該半導體基板上形成含鉬層,其中該含鉬層包括鉬金屬層或鉬矽化物層,或是鉬金屬層及鉬矽化物層的組合。在一些實行例中,所沉積的含鉬層同時包括鉬矽化物(例如,在剛開始沉積時,在與該基板的其他層的界面處形成的鉬矽化物)及鉬金屬(例如,在鉬矽化物已經形成後而沉積的鉬金屬)。在一些實施例中,該沉積係在介於約200℃與約450℃之間(例如,介於約375℃與450℃之間)的溫度下進行。沉積期間的壓力例如可以介於約0.1 torr與約100 torr之間(例如,介於約10 torr與約100 torr之間)的範圍內。該沉積可以在CVD或ALD處理腔室(或複數處理腔室)中進行,並且不需利用電漿來活化反應物(即,整個沉積得以熱方式進行)。在沉積期間,含鉬前驅物(或經部分還原的含鉬前驅物)被還原劑還原(例如,還原為鉬金屬),而含矽反應物的作用是下列至少一者:促進該還原反應(例如,藉由將該前驅物中的鉬還原至較低的氧化態)及調整該沉積的選擇性。在一些實施例中,含矽反應物同時促進該還原及調整該沉積的選擇性。
雖然本文所提供的方法可以用於各種基板;然而,在一些實施例中,該方法係用於具有凹陷特徵部的基板,而這些凹陷特徵部在側壁及底部處係具有不同的露出材料。舉例而言,在一些實施例中,該基板具有凹陷特徵部,且該凹陷特徵部在底部上具有露出的金屬,而在側壁上具有露出的介電質。圖1A繪示出基板的一部分的示意性截面圖,其中該基板係針對鉬金屬的沉積而提供。該基板具有被形成在介電質層103中的凹陷特徵部101;其中,金屬層105在該凹陷特徵部101的底部處係露出的。介電質層103可以包括例如矽氧化物、矽氮化物、矽氮氧化物、矽碳化物、矽碳氧化物等的含矽介電質。金屬層105可以包括例如鎢、銅、鈷、鉬等。在一示例中,介電質層103中的介電質材料為矽氧化物,而金屬層105為鎢層。雖然本文所提供的方法可以用於在各種不同的凹陷特徵部中沉積含鉬材料,但這些方法係特別適用於在深寬比至少約為10:1,例如至少為30:1或至少為50:1的特徵部中進行沉積,因為在低溫下以保形方式在高深寬比特徵部中沉積鉬係困難的。這些方法可以用於沉積保形且薄的含鉬層(例如,1~10 nm厚),及/或例如使用保形填充而以鉬金屬填充凹陷特徵部。
在缺乏含矽反應物的情況下沉積鉬時,鉬主要將會沉積在金屬層上,而不是介電質層上,因此會導致非保形覆蓋。舉例而言,在375ºC時觀察到鉬金屬沉積的選擇性為10以上(指涉金屬上的沉積速率對介電質上的沉積速率的比率),而這種選擇性會導致厚的底部覆蓋及薄的側壁覆蓋。雖然可以藉由將沉積溫度提高到500ºC以上來降低沉積選擇性,然而應注意的是,通常不會想要在高溫下沉積鉬金屬,因為存在著熱預算限制。已發現到,引進含矽反應物會顯著降低在約450ºC以下的較低溫度下(例如,在介於約375ºC與約450ºC之間的溫度下)的沉積選擇性,從而提供在相對低的溫度下保形沉積含鉬層的途徑。在一些實施例中,為了達成所希望的選擇性降低,會在將基板與含鉬前驅物接觸之前將該基板暴露於含矽反應物。舉例而言,可以將基板暴露於含矽反應物至少約10秒(例如,至少約15秒),從而允許進行表面改質。在一些實施例中,對於含矽反應物及含鉬前驅物的暴露係按照順序的。根據本文所提供的方法而在進行鉬的實質無選擇性沉積後所形成的結構係如圖2B所示。在將基板暴露於含鉬前驅物、還原劑及含矽反應物之後,形成含鉬材料107的實質保形層,其中該含鉬材料107係包括鉬金屬、鉬矽化物或其組合。在一些實施例中,含鉬層107包括鉬金屬層及鉬矽化物子層,其中該鉬矽化物子層係形成在基板介電質層103與鉬金屬層之間的界面處,以及在基板金屬層105與鉬金屬層之間的界面處。接著,該處理可以接著利用含鉬層109以填充凹陷特徵部101。在一些實施例中,含鉬層109為鉬金屬,其可以使用本文所提供的方法,藉由將半導體基板暴露於含鉬前驅物、還原劑及含矽前驅物而進行沉積。在其他實施例中,大部分的含鉬層109是在未添加含矽反應物的情況下所沉積的鉬金屬,且該大部分的含鉬層109係涉及在無矽(silicon-free)沉積中將基板暴露於含鉬前驅物及含矽反應物。
一些實施例中的選擇性降低係源自於含矽反應物對於基板表面所造成的直接改質。舉例而言,當介電質層103為含矽介電質(例如,矽氧化物)時,含矽反應物可以對該介電質進行改質而形成Si-H鍵及/或Si-OH鍵,這些Si-H鍵及/或Si-OH鍵可以作為含鉬層沉積的成核位點,從而提高側壁上的沉積速率,以及降低介電質上/金屬上的沉積選擇性。
雖然所繪示的示例係涉及藉由矽輔助沉積而達成的選擇性降低,但所提供的方法不受限於此優點。在一些實施例中,矽輔助沉積係被使用於協助進行還原處理,而無關於選擇性。
本文所提供的具有添加含矽反應物而進行的含鉬層沉積處理可以使用各種處理次序來進行。在一些CVD類型的實行例中,含鉬前驅物、還原劑及含矽反應物係被同時流入處理腔室(使得在這三種成分的流動期間會存在至少一些的時間重疊), 且被允許在該處理腔室中混合。在其他的ALD類型的實行例中,這三種成分(含矽反應物、含鉬前驅物及還原劑)的其中至少兩種成分不會被同時流入該處理腔室(因此在輸送期間不會有時間重疊)。這些實行例可以包括數個基板暴露階段,其形成單一沉積循環,其中該沉積循環係依需求而重複多次,以沉積具有所欲厚度的含鉬層。
在一些實施例中,該處理係以含矽反應物及含鉬前驅物不會被同時輸送到處理腔室的方式執行。在一些實施例中,該處理係以含矽反應物及還原劑不會被同時輸送到處理腔室的方式執行。在一些實施例中,該處理係以含鉬反應物及還原劑不會被同時輸送到處理腔室的方式執行。在一些實施例中,該處理係以含矽反應物、含鉬反應物及還原劑被各自輸送至處理腔室而無時間重疊的方式執行。
沉積處理的一種實行例係繪示於圖2A中。所繪示的處理係特別實用於調整基板上的沉積選擇性,原因在於該處理係從將基板(例如,具有露出的金屬及介電質的基板,如圖1A所示)暴露於含矽反應物,其中該含矽反應物可以改質該基板的表面。請參照圖2A,該處理係從201開始,將半導體基板暴露於含矽反應物。在一些實施例中,步驟201係涉及將含矽反應物輸送至處理腔室,而不同時將含鉬前驅物輸送至該處理腔室。在此階段時,可以任選地將還原劑與含矽反應物同時輸送至該處理腔室。在一些實施例中,該基板係被允許暴露於含矽反應物一段時間,例如至少約10秒,例如至少約15秒、至少約20秒或至少約30秒,從而允許表面改質的發生。接著,在步驟203中,將該基板暴露於含鉬前驅物。在所繪示的實施例中,含鉬前驅物被輸送至該處理腔室,而在此階段時並未同時輸送含矽反應物。在步驟203中,還原劑可以任選地與含鉬前驅物同時進行輸送。接著,在步驟205中,將該基板暴露於還原劑,以還原該含鉬前驅物。在所繪示的示例中,在此階段中,還原劑被輸送到該處理腔室,而並未同時輸送含鉬前驅物且未同時輸送含矽反應物。步驟201~205的完成構成一個沉積循環。如步驟209所顯示,接著可以任選地重複進行步驟201~205(例如,至少5次、至少10次或至少100次)及/或僅重複進行無矽步驟203~205(例如,至少5次、至少10次或至少100次),直到形成所欲厚度的含鉬層。在一些實施例中,在執行步驟201~205的初始一或多個循環之後,僅重複進行步驟203~205的循環,並且在進行預定數目的循環之後(例如,在每5個循環後或每10個循環後)加入含矽前驅物暴露步驟201。在圖2A的處理的一個實施示例中,該沉積涉及:,在未輸送還原劑或含鉬前驅物的情況下,開始將含矽反應物輸送至處理腔室;在流動一段時間後,停止輸送該含矽反應物;在停止輸送含矽反應物後,開始輸送該含鉬前驅物;接著,停止輸送該含鉬前驅物;開始輸送該還原劑,以及停止輸送該還原劑。在此實行例的修改例中,還原劑係被允許與含鉬前驅物同時流動,並且在停止輸送含鉬前驅物之後繼續將還原劑流動至該處理腔室。
該沉積處理的另一實施例係由圖2B中所顯示的處理流程圖加以說明。在此實行例中,該處理包括步驟209,其中係將基板暴露於還原劑及含矽反應物。在此步驟中,係在不輸送含鉬前驅物的情況下將還原劑及含矽反應物同時輸送到該處理腔室中。接著,在步驟211中,將該基板暴露於該含鉬前驅物,且同時伴隨著暴露或不暴露於還原劑。在所繪示的圖式中,步驟211係在不同時將該半導體基板暴露於含矽反應物的情況下進行。在其他實施例中,亦可以在步驟211期間輸送含矽反應物。接著,在步驟213中,依需求而將步驟209~211(其構成一個沉積循環)重複進行多次,以形成所欲厚度的含鉬層。
在一些實施例中,該含矽反應物係與該含鉬前驅物同時進行輸送。這由圖2C中所繪示的處理圖加以說明。該處理從步驟215開始,將半導體基板暴露於含鉬前驅物及含矽反應物。舉例而言,該含鉬前驅物及該含矽反應物可以同時被輸送至容納著基板的處理腔室。該步驟215可以在同時輸送或不輸送還原劑的情況下進行。接著,在步驟217中,將半導體基板暴露於還原劑。可以在同時輸送或不輸送該含矽反應物,以及同時輸送或不輸送該含鉬前驅物的情況下,將該還原劑輸送至該處理腔室。接著,在步驟219中,依需求將步驟215~217重複進行多次,以形成所欲厚度的含鉬膜。
應注意到,所提供的所有處理可以任選地包括在任何暴露步驟後的吹淨步驟,以將不需要的反應物及/或副產物從該處理腔室去除。在一些實施例中,該吹淨是透過流動惰性氣體(例如,氬、氦、氮等)而進行。在其他實施例中,並未使用惰性氣體的專用吹淨步驟,而是透過流動還原劑(例如,氫)而進行吹淨。應注意的是,暴露步驟的順序可以依需求而進行轉換;然而,在一些實行例中,較佳的是在將基板(其包含露出的介電質層及金屬層)暴露於含鉬前驅物之前,將該基板暴露於含矽反應物,從而對該基板的表面進行改質,並且達成所欲的選擇性降低。
在一些實施例中,係在已經執行一或多個無矽沉積循環後,使用對於含矽反應物的暴露。舉例而言,含鉬層可以如圖2D的處理流程圖所顯示的方法加以沉積。在步驟221中,將半導體基板暴露於含鉬前驅物,而在步驟223中,將該半導體基板暴露於還原劑。這兩個步驟都在缺乏含矽反應物的情況下進行,並且構成一個無矽的鉬金屬沉積循環。在步驟225中,重複進行步驟221~223以執行複數沉積循環,同時在進行預定次數的該無矽的鉬金屬沉積循環後,將該基板暴露於該含矽反應物。舉例而言,在每5、10、20或50次無矽沉積循環後,可以將該基板暴露於含矽反應物而作為單次暴露。或者,可以在預定次數的無矽循環後,加入一或多個矽輔助沉積循環,例如圖2A~2C中所顯示的任何循環。
在一些實施例中,含鉬層的沉積係涉及使用本文所述的矽輔助沉積來沉積含鉬材料(例如,鉬矽化物及/或鉬金屬),接著使用無矽沉積來沉積鉬金屬。舉例而言,可以在進行2~2,000個矽輔助沉積循環後,進行2~2,000個無矽沉積循環。在一些實施例中,此處理流程係用於沉積含鉬層,其中該含鉬層包括位於與含矽介電質的界面處的鉬矽化物層;接著,在該鉬矽化物層上方形成鉬金屬層。該含鉬層的該鉬矽化物層可以使用矽輔助沉積而進行沉積,而該含鉬層的該鉬金屬層可以使用無矽沉積而進行沉積。
在一些實施例中,針對矽輔助沉積及無矽沉積係使用不同的含鉬前驅物。在一實行例中,根據圖2A~2D中所提供的任何方法,首先係在矽輔助沉積中使用五氯化鉬(MoCl
5)作為含鉬前驅物,以沉積鉬矽化物及/或鉬金屬。隨後,在無矽沉積中使用不同的含鉬前驅物(例如,MoO
2Cl
2)以沉積鉬金屬。此處理流程係繪示於圖3的處理流程圖中。在步驟301中,將基板暴露於含鉬前驅物、還原劑及含矽反應物,以沉積含鉬層。舉例而言,可以進行2~2,000個矽輔助沉積循環。接著,該處理在步驟305中,藉由在缺乏含矽反應物的情況下將基板暴露於不同的含鉬前驅物及還原劑,以在無矽沉積中沉積鉬金屬層。舉例而言,在步驟305中可以進行2~2,000個無矽沉積循環。
含矽反應物
含矽反應物可以用於各種用途,並且可以具有不同的結構。在一些實施例中,含矽反應物有助於含鉬前驅物的還原,例如其可以將含鉬前驅物中的Mo(V)還原為Mo(IV)或Mo(III)。舉例而言,在MoCl
5含鉬前驅物被還原劑完全還原成鉬金屬之前,可以使用該含矽反應物將MoCl
5含鉬前驅物還原成MoCl
4或MoCl
3。具有Si-H鍵及/或Si-Si鍵的含矽反應物對於作為還原輔助反應物係特別實用的。在一些實施例中,含矽反應物係用作鹵素配位基的清除劑,其中當含鉬前驅物包括鉬-鹵素鍵時,所述鹵素配位基必須被去除。由於鹵素配位基通常係作為氫鹵化物(HCl、HBr等)而被去除,因此在使用氫作為還原劑時,由含矽前驅物所進行的額外鹵素清除將有助於去除所述鹵素配位基。具有Si-H鍵及/或Si-Si鍵的含矽前驅物係適用於此目的。最後,可以將含矽反應物使用於改質該基板的表面,以調整沉積選擇性。舉例而言,該含矽反應物可以對介電質(例如,含矽介電質)的表面進行改質,以促進後續在這些表面上的鉬成核。這可能會涉及在介電質表面上形成Si-H鍵及Si-OH鍵。針對表面改質的用途,可以使用含有Si-H鍵及Si-Si鍵的含矽前驅物。
在一些實施例中,含矽反應物具有化學式(I)的結構:
Si
xR
y(I)
其中x為1~4,y為4~18,而各個R係獨立選自於H、鹵素及烷基所組成的群組。在一些實施例中,各個R係獨立選自於H及鹵素(例如,F、Cl、Br或I)所組成的群組,其中鹵素可以係相同或不同的。
在一些實施例中,該含矽反應物為矽烷,例如矽烷(SiH
4)、二矽烷(Si
2H
6)。在一些實施例中,該含矽反應物為鹵代矽烷,例如單氯矽烷(SiH
3Cl)、二氯矽烷(SiH
2Cl
2)、三氯矽烷(SiHCl
3)、四氯矽烷(SiCl
4)、六氯二矽烷(Si
2Cl
6)、五氯二矽烷(Si
2HCl
5)、四氯二矽烷(Si
2H
2Cl
4)、三氯二矽烷(Si
2H
3Cl
3)、二氯二矽烷(Si
2H
4Cl
2)、單氯二矽烷(Si
2H
5Cl)、單溴矽烷(SiH
3Br)、二溴矽烷(SiH
2Br
2)、三溴矽烷(SiHBr
3)、四溴矽烷(SiBr
4)、六溴二矽烷(Si
2Br
6)、五溴二矽烷(Si
2HBr
5)、四溴二矽烷(Si
2H
2Br
4)、三溴二矽烷(Si
2H
3Br
3)、二溴二矽烷(Si
2H
4Br
2)及單溴二矽烷(Si
2H
5Br)。
在一些實施方式中,該含矽反應物包括矽烷、單氯矽烷、二氯矽烷、三氯矽烷、四氯矽烷、六氯二矽烷、五氯二矽烷、四氯二矽烷、三氯二矽烷、二氯二矽烷、單氯二矽烷、二矽烷或其任何組合。
在一些實施例中,該含矽反應物不包括碳原子,因為無碳反應物對於沉積低碳含量的高純度鉬金屬可能係特別實用的。在其他實施例中,可能存在碳原子。舉例而言,在一些實施例中,該含矽反應物可以包括一個烷基、烯基、炔基取代基及其組合。
在一些實施例中,該含矽反應物包括化學式(II)的結構:
Si(Rʹ)
4(II)
其中至少一個Rʹ包括碳原子。在其他實施例中,至少一個Rʹ包括雜原子(例如,氮、氧及/或矽)。在又其他實施例中,至少一個Rʹ包括碳原子及雜原子(例如,氮、氧及/或矽)。在特定實施例中,Rʹ不包括鹵素原子。
在其他實施例中,該含矽反應物包括化學式(III)的結構:
(Rʹ)
3Si−[L−Si(Rʹ)
2]−Rʹ
(III)
其中至少一個Rʹ包括碳原子,而L為連結部分(linker)。在一些實施例中,至少一個Rʹ包括雜原子(例如,氮、氧及/或矽)。在又其他實施例中,至少一個Rʹ包括碳原子及雜原子(例如,氮、氧及/或矽)。在特定實施例中,Rʹ不包括鹵素原子。
對於化學式(III)而言,非限制性的連結部分L包括共價鍵、氧基(-O-)、羰基(-C(O)-)、經任選取代伸亞胺醯基、經任選取代亞胺基、經任選取代伸烷基、經任選取代伸雜烷基、經任選取代伸芳基等。
對於本文中的任何化學式[例如,對於化學式(I)或(II)]而言,Rʹ可以為H、脂肪族、雜脂肪族、芳香族、雜芳香族、胺基、肼基、疊氮基、羥基、矽基(例如,胺基矽基、烷氧基矽基等)、矽氧基(例如,胺基矽氧基、烷氧基矽氧基等)、氰氧基(-OCN)、異氰氧基(-NOC)、氰基(-CN)或異氰基(-NC),其中這些基團的任何者可以被任選取代。
在特定實施例中,本文中的任何化學式[例如,化學式(II)或(III)]中的至少一、二、三、四或更多個Rʹ係包括經任選取代脂肪族。非限制性的脂肪族基團包括烷基、烯基或炔基,包括其直鏈、分枝、環狀、飽和或不飽和形式。這樣的基團可以未經取代的,或是例如被本文中針對烷基所描述的一或更多取代基所取代。脂肪族基團的進一步示例包括甲基(Me)、乙基(Et)、丙基(Pr)、異丙基(iPr)、環丙基(cPr)、丁基(Bu)、二級丁基(sBu)、異丁基(iBu)、三級丁基(tBu)、戊基(Pe)、三級戊基(tPe)、烯丙基(allyl,All)、乙烯基(Vinyl,Vi)、乙炔基等。
在一些實施例中,本文中的任何化學式[例如,化學式(II)或(III)]中的至少一、二、三、四或更多個Rʹ係包括經任選取代雜脂肪族。雜脂肪族基團可以包括任何包含一或更多碳原子及一或更多雜原子(例如,氧、氮等)的雜脂肪族基團。
非限制性的雜脂肪族基團包括脂肪族-羰基(例如,烷醯基或‑C(O)R
Ak)、脂肪族-羰氧基(例如,烷醯基氧基或‑OC(O)R
Ak)、脂肪族-氧基(例如,烷氧基或-OR
Ak)、脂肪族-氧羰基(例如,烷氧基羰基或‑C(O)OR
Ak)、胺基(例如,-NR
N1R
N2)、芳香族-羰基(例如,芳醯基或-C(O)R
Ar)、芳香族-羰氧基(例如,芳醯基氧基或-OC(O)R
Ar)、芳香族-氧基(例如,芳氧基或-OR
Ar)、芳香族-氧羰基(例如,芳氧基羰基或‑C(O)OR
Ar)、亞胺醯基(例如,-C(NR
N1)H、‑C(NR
N1)R
Ak或‑C(NR
N1)R
Ar)、胺甲醯基(例如,-C(O)NR
N1R
N2)、胺甲醯基氧基(例如,-OC(O)NR
N1R
N2)、羧基(-CO
2H)、醛基(-C(O)H)、雜芳香族、雜環基(例如,經任選取代呋喃基、四氫呋喃基、吡咯啶基、吡咯基、咪唑基、吡唑基、三唑基、哌啶基、吡啶基、嘧啶基、嗒𠯤基、吡𠯤基、㗁唑基、𠰌啉基等)、肼基(例如,‑NR
N1‑NR
N2R
N3)、矽基(例如,‑SiR
S1R
S2R
S3)及矽氧基(例如,‑O-SiR
S1R
S2R
S3)。這些基團的各者可以任選地被本文中所述的任何取代基(例如,本文中針對烷基所述的取代基)所取代。雜脂肪族基團可以包括其直鏈、分枝、環狀(例如,雜環基)、飽和或不飽和形式。
雜脂肪族基團可以包括R
Ak及/或R
Ar部分。在一些實施例中,R
Ak為經任選取代脂肪族、經任選取代雜脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代環烷基、經任選取代雜烷基、經任選取代雜烯基、經任選取代雜炔基或經任選取代雜環基。在其他實施例中,R
Ar為經任選取代芳香族、經任選取代雜芳香族、經任選取代芳基或經任選取代雜芳基。
含氮基團(例如,胺基、亞胺醯基等)可以包括與氮原子附接的R
N1、R
N2及/或R
N3部分。在一些實施例中,R
N1、R
N2及R
N3的各者係獨立為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、經任選取代矽基或經任選取代矽氧基。在特定實施例中,R
N1及R
N2,或R
N2及R
N3可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基。這樣的含氮基團可以被包括在其他部分內,例如在矽基團或矽氧基團內。
含矽基團(例如,矽基等)可以包括與氮原子附接的R
S1、R
S2及/或R
S3部分。在一些實施例中,R
S1、R
S2及R
S3的各者係獨立為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族或經任選取代胺基。這樣的含矽基團可以被包括在其他部分內,例如在胺基團內。
在一些實施例中,矽基團係一烷基矽基團,其具有與矽原子附接的一或更多脂肪族基團。在一實例中,烷基矽基團為-Si(R)
a(R
Ak)
b,其中R係獨立為H、芳香族、雜芳香族、胺基、肼基、疊氮基、羥基、矽基(例如,胺基矽基、烷氧基矽基等)、矽氧基(例如,胺基矽氧基、烷氧基矽氧基等)、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;R
Ak為經任選取代脂肪族、經任選取代雜脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代環烷基、經任選取代雜烷基、經任選取代雜烯基、經任選取代雜炔基或經任選取代雜環基;a≥0;b≥1;以及a+b=3。又其他非限制性的烷基矽基團包括‑SiH
2R
Ak、‑SiH[R
Ak]
2或‑Si[R
Ak]
3,其中R
Ak為本文所提供的任何者。
在一些實施例中,矽基團係一烷氧基矽基團,其具有經由氧基(-O-)而與矽原子附接的一或更多脂肪族基團。在一實例中,烷氧基矽基團為-Si(R)
a(OR
Ak)
b,其中R係獨立為H、芳香族、雜芳香族、胺基、肼基、疊氮基、羥基、矽基(例如,胺基矽基、烷氧基矽基等)、矽氧基(例如,胺基矽氧基、烷氧基矽氧基等)、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;R
Ak為經任選取代脂肪族、經任選取代雜脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代環烷基、經任選取代雜烷基、經任選取代雜烯基、經任選取代雜炔基或經任選取代雜環基;a≥0;b≥1;以及a+b=3。又其他非限制性的烷氧基矽基團包括‑SiH
2[OR
Ak]、‑SiH[OR
Ak]
2或‑Si[OR
Ak]
3,其中R
Ak為本文所述的任何者。
在其他實施例中,該矽基團係一芳基矽基團,其具有與矽原子附接的一或更多芳香族基團。在一實例中,芳基矽基團為-Si(R)
a(R
Ar)
b,其中R係獨立為H、脂肪族、雜脂肪族、胺基、肼基、疊氮基、羥基、矽基(例如,胺基矽基、烷氧基矽基等)、矽氧基(例如,胺基矽氧基、烷氧基矽氧基等)、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;R
Ar為經任選取代芳香族、經任選取代雜芳香族、經任選取代芳基或經任選取代雜芳基;a≥0;b≥1;以及a+b=3。又其他非限制性的芳基矽基團包括‑SiH
2R
Ar、‑SiH[R
Ar]
2或‑Si[R
Ar]
3,其中R
Ar為本文所述的任何者。
在又其他實施例中,該矽基團係一芳氧基矽基團,其具有經由氧基(-O-)而與矽原子附接的一或更多芳香族基團。在一實例中,芳氧基矽基團為-Si(R)
a(OR
Ar)
b,其中R係獨立為H、脂肪族、雜脂肪族、胺基、肼基、疊氮基、羥基、矽基(例如,胺基矽基、烷氧基矽基等)、矽氧基(例如,胺基矽氧基、烷氧基矽氧基等)、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;R
Ar為經任選取代芳香族、經任選取代雜芳香族、經任選取代芳基或經任選取代雜芳基;a≥0;b≥1;以及a+b=3。又其他非限制性的芳氧基矽基團包括‑SiH
2[OR
Ar]、‑SiH[OR
Ar]
2或‑Si[OR
Ar]
3,其中R
Ar為本文所述的任何者。
矽基團還可以包括一胺基矽基,其具有與矽原子附接的一或更多經任選取代胺基團。在一實例中,胺基矽基團為-Si(R)
a(NR
N1R
N2)
b,其中R係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、肼基、疊氮基、羥基、矽基(例如,胺基矽基、烷氧基矽基等)、矽氧基(例如,胺基矽氧基、烷氧基矽氧基等)、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;R
N1及R
N2的各者係獨立為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、經任選取代矽基或經任選取代矽氧基,其中R
N1及R
N2可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基;a≥0;b≥1;以及a+b=3。胺基矽基團的又其他非限制性實施例包括‑SiH
2[NR
N1R
N2]、‑SiH[R
Ak][NR
N1R
N2] 、‑Si[R
Ak]
2[NR
N1R
N2]、‑SiH[NR
N1R
N2]
2、‑Si[R
Ak][NR
N1R
N2]
2或‑Si[NR
N1R
N2]
3,例如,‑SiH
2[NH
2]、‑SiHR
Ak[NH
2]、‑Si[R
Ak]
2[NH
2]、‑SiH
2[NH(R
Ak)]、‑SiHR
Ak[NH(R
Ak)]、‑Si[R
Ak]
2[NH(R
Ak)]、‑SiH
2[N(R
Ak)
2]、‑SiHR
Ak[N(R
Ak)
2]、‑Si[R
Ak]
2[N(R
Ak)
2]、‑SiH[NH
2]
2、‑SiR
Ak[NH
2]
2、‑SiH[NH(R
Ak)]
2、‑SiR
Ak[NH(R
Ak)]
2、‑SiH[NH(R
Ak)][NH
2]、‑SiR
Ak[NH(R
Ak)][NH
2]、‑SiH[N(R
Ak)
2]
2、‑SiR
Ak[N(R
Ak)
2]
2、‑SiH[N(R
Ak)
2][NH
2]、‑SiR
Ak[N(R
Ak)
2][NH
2]、‑Si[NH
2]
3、‑Si[N(R
Ak)
2][NH
2]
2、‑Si[N(R
Ak)
2]
2[NH
2]、‑Si[N(R
Ak)
2]
3、‑Si[NH(R
Ak)][NH
2]
2、‑Si[NH(R
Ak)
2]
2[NH
2]、‑Si[NH(R
Ak)]
3、‑Si[NH(R
Ak)][N(R
Ak)
2]
2、‑Si[NH(R
Ak)]
2[N(R
Ak)
2]等,其中R
Ak為經任選取代的脂肪族、雜脂肪族、烷基、烯基、炔基或烷氧基;而R
N1及R
N2的各者係本文所述的任何者。
在一些實施例中,該矽基團為-Si(R
ʹ)
a(OR)
b(NR
2)
c,其中各個R
ʹ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、胺基、肼基、疊氮基、羥基、矽基(例如,胺基矽基、烷氧基矽基等)、矽氧基(例如,胺基矽氧基、烷氧基矽氧基等)、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;各個R係獨立為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族或經任選取代雜芳香族;a、b及c的各者係≥0;以及a+b+c=3。在特定實施例中,各個R係獨立為氫、經任選取代烷基、經任選取代芳基、經任選取代烷基-芳基或經任選取代芳基-烷基。
在其他實施例中,本文中的任何矽基團可以經由氧鍵而附接至母基團。在一些實施例中,該矽氧基團為-O-Si(R
ʹ)
a(OR)
b(NR
2)
c,其中各個R
ʹ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;各個R係獨立為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族或經任選取代雜芳香族;a、b及c的各者係≥0;以及a+b+c=3。在特定實施例中,各個R係獨立為氫、經任選取代烷基、經任選取代芳基、經任選取代烷基-芳基或經任選取代芳基-烷基。又其他非限制性的矽氧基團包括-O-Si(R)
a(R
Ak)
b、-O-Si(R)
a(OR
Ak)
b、-O-Si(R)
a(R
Ar)
b、-O-Si(R)
a(OR
Ar)
b或‑O‑Si(R)
a(NR
N1R
N2)
b,其中R係獨立為H、芳香族、雜芳香族、胺基、肼基、疊氮基、羥基、矽基(例如,胺基矽基、烷氧基矽基等)、矽氧基(例如,胺基矽氧基、烷氧基矽氧基等)、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;R
Ak為經任選取代脂肪族、經任選取代雜脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代環烷基、經任選取代雜烷基、經任選取代雜烯基、經任選取代雜炔基或經任選取代雜環基;R
Ar為經任選取代芳香族、經任選取代雜芳香族、經任選取代芳基或經任選取代雜芳基;R
N1及R
N2的各者係獨立為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、經任選取代矽基或經任選取代矽氧基,其中R
N1及R
N2可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基;a≥0;b≥1;以及a+b=3。又其他非限制性的矽氧基團包括烷基矽氧基(例如,‑O‑SiH
2R
Ak、‑O‑SiH[R
Ak]
2或‑O‑Si[R
Ak]
3)、烷氧基矽氧基(例如,‑O‑SiH
2[OR
Ak]、‑O‑SiH[OR
Ak]
2或‑O‑Si[OR
Ak]
3)、芳基矽氧基(例如,‑O‑SiH
2R
Ar、‑O‑SiH[R
Ar]
2或‑O‑Si[R
Ar]
3)或芳氧基矽氧基(例如,‑O‑SiH
2[OR
Ar]、‑O‑SiH[OR
Ar]
2或‑O‑Si[OR
Ar]
3)。在一些實施例中,該矽基團為胺基矽氧基(例如,‑O‑SiH
2[NR
N1R
N2]、‑O‑SiH[R
Ak][NR
N1R
N2]、‑O‑Si[R
Ak]
2[NR
N1R
N2]、‑O‑SiH[NR
N1R
N2]
2、‑O‑Si[R
Ak][NR
N1R
N2]
2或‑O‑Si[NR
N1R
N2]
3)。
矽基團及矽氧基團可以具有脂肪族基團及芳香族基團的混合組合。在一實例中,該矽基團為-Si(R)
a(R
Ak)
b(R
Ar)
c或-Si(R)
a(OR
Ak)
b(OR
Ar)
c,其中R係獨立為H、芳香族、雜芳香族、胺基、肼基、疊氮基、羥基、矽基(例如,胺基矽基、烷氧基矽基等)、矽氧基(例如,胺基矽氧基、烷氧基矽氧基等)、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;R
Ak為經任選取代脂肪族(例如,經任選取代烷基)或經任選取代雜脂肪族(例如,經任選取代烷氧基或經任選取代胺基);R
Ar為經任選取代芳香族或經任選取代雜芳香族;a、b及c的各者係≥0;以及a+b+c=3。
在另一實例中,該矽基團為‑Si(R)
a(NR
Ak 2)
b、‑Si(R)
a(NR
AkR
Ar)
b或‑Si(R)
a(NR
Ar 2)
b,其中R係獨立為H、芳香族、雜芳香族、胺基、肼基、疊氮基、羥基、矽基(例如,胺基矽基、烷氧基矽基等)、矽氧基(例如,胺基矽氧基、烷氧基矽氧基等)、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;R
N1及R
N2的各者係獨立為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、經任選取代矽基或經任選取代矽氧基,其中R
N1及R
N2可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基;a及b的各者係≥0;以及a+b=3。
在又一實例中,該矽基團為-O-Si(R)
a(R
Ak)
b(R
Ar)
c、‑O‑Si(R)
a(OR
Ak)
b(OR
Ar)
c、‑O‑Si(R)
a(NR
Ak 2)
b、‑O‑Si(R)
a(NR
AkR
Ar)
b或‑O‑Si(R)
a(NR
Ar 2)
b,其中R、R
Ak及R
Ar為本文所述的任何者;而a、b及c為本文所述的任何者。
在一些實施例中,本文中的任何化學式[例如,化學式(I)或(II)]中的至少一、二、三、四或更多個Rʹ係包括經任選取代的脂肪族-氧基、雜脂肪族-氧基、芳香族-氧基或雜芳香族-氧基。舉例而言,Rʹ可以為-O-R,其中R為經任選取代脂肪族(例如,烷基、烯基、炔基、環烷基、環烯基或環炔基)、經任選取代雜脂肪族(例如,雜烷基、雜烯基、雜炔基或雜環基)、經任選取代芳香族(例如,芳基)、經任選取代雜芳香族(例如,雜芳基)、經任選取代脂肪族-羰基(例如,烷醯基或‑C(O)R
Ak,其中R
Ak為經任選取代脂肪族或本文所述的任何者)、經任選取代矽基(例如,‑SiR
S1R
S2R
S3或-Si(R
ʹ)
a(OR)
b(NR
2)
c,包括本文所述的任何者)或經任選取代胺基(例如,‑NR
N1R
N2,包括本文所述的任何者)。
在特定實施例中,本文中的任何化學式[例如,化學式(I)或(II)]中的至少一、二、三、四或更多個Rʹ係包括經任選取代芳香族或經任選取代雜芳香族。非限制性的芳香族基團及雜芳香族基團包括苯基、苄基、萘基、呋喃基、吡咯基、咪唑基、吡唑基、三唑基、吡啶基、嘧啶基、嗒𠯤基、吡𠯤基、㗁唑基等。
在特定實施例中,本文中的任何化學式[例如,化學式(I)或(II)]中的至少一、二、三、四或更多個Rʹ係包括經任選取代胺基(例如,‑NH
2、‑NR
N1H或‑NR
N1R
N2)。在特定實施例中,R
N1及R
N2的各者係獨立為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、經任選取代胺基、羥基、經任選取代烷基、經任選取代烷氧基、經任選取代芳基、經任選取代芳氧基、經任選取代烷基-芳基、經任選取代芳基-烷基、經任選取代矽基或經任選取代矽氧基。在特定實施例中,R
N1及R
N2可以與其各自附接的氮原子共同形成經任選取代的雜環基。
R
N1及R
N2的非限制性實例可以包括H、脂肪族、烷基(例如,‑R
Ak)、烯基、炔基、脂肪族-羰基(例如,烷醯基或‑C(O)R
Ak)、脂肪族-羰氧基(例如,烷醯基氧基或‑OC(O)R
Ak)、脂肪族-氧基(例如,烷氧基或-OR
Ak)、脂肪族-氧羰基(例如,烷氧基羰基或‑C(O)OR
Ak)、胺基(例如,‑NR
2,其中各個R例如為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族或經任選取代雜芳香族)、芳香族(例如,芳基或‑R
Ar)、芳香族-羰基(例如,芳醯基或-C(O)R
Ar)、芳香族-羰氧基(例如,芳醯基氧基或-OC(O)R
Ar)、芳香族-氧基(例如,芳氧基或-OR
Ar)、芳香族-氧羰基(例如,芳氧基羰基或‑C(O)OR
Ar)、亞胺醯基(例如,-C(NR)H、‑C(NR)R
Ak或‑C(NR)R
Ar,其中各個R例如為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族或經任選取代雜芳香族)、胺甲醯基(例如,‑C(O)NR
2,其中各個R例如為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族或經任選取代雜芳香族)、胺甲醯基氧基(例如,‑OC(O)NR
2,其中各個R例如為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族或經任選取代雜芳香族)、羧基(-CO
2H)、醛基(-C(O)H)、雜芳香族、雜環基(例如,經任選取代呋喃基、四氫呋喃基、吡咯啶基、吡咯基、咪唑基、吡唑基、三唑基、哌啶基、吡啶基、嘧啶基、嗒𠯤基、吡𠯤基、㗁唑基、𠰌啉基等)、羥基(-OH)、矽基(例如, ‑SiR
S1R
S2R
S3或-Si(R
ʹ)
a(OR)
b(NR
2)
c)及矽氧基(例如,‑O-SiR
S1R
S2R
S3或-O-Si(R
ʹ)
a(OR)
b(NR
2)
c)。對於所指出的這些基團的任何者而言,R
Ak、R
Ar、R
ʹ、R、R
S1、R
S2、R
S3、a、b及c可以為本文所述的任何者。
又其他非限制性的胺基團包括‑NH
2、‑NHMe、‑NMe
2、‑NHEt、‑NMeEt、‑Net、‑NHnPr、‑NMenPr、‑NnPr
2、‑NHiPr、‑NMeiPr、‑NiPr
2、‑NHsBu、‑NMesBu、‑NsBu
2、‑NHtBu、‑NMetBu、‑NtBu
2、‑N[SiH
3]
2、‑N[Si(Me)
3]
2、‑N[Si(Et)
3]
2、‑NH[SiH
3] 、‑NH[Si(Me)
3] 、‑NH[Si(Et)
3] 、‑NMe[SiH
3] 、‑NMe[Si(Me)
3] 、‑NMe[Si(Et)
3] 、‑N[SiH
2Me]
2、‑N[SiHMe
2]
2、‑N[SiH
2Et]
2、‑N[SiHEt
2]
2、‑N[SiHMeEt]
2、‑NH[SiH
2Me] 、‑NH[SiHMe
2] 、‑NH[SiH
2Et] 、‑NH[SiHEt
2]
2、‑NH[SiHMeEt] 、‑NMe[SiH
2Me] 、‑NMe[SiHMe
2] 、‑NMe[SiH
2Et] 、‑NMe[SiHEt
2]
2、‑NMe[SiHMeEt]等。
在特定實施例中,本文中的任何化學式[例如,化學式(II)或(III)]中的至少一、二、三、四或更多個Rʹ係包括經任選取代肼基(例如,‑NH‑NH
2或‑NR
N1‑NR
N2R
N3)。在特定實施例中,R
N1、R
N2及R
N3的各者係獨立為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、經任選取代胺基、羥基、經任選取代烷基、經任選取代烷氧基、經任選取代芳基、經任選取代芳氧基、經任選取代烷基-芳基、經任選取代芳基-烷基、經任選取代矽基或經任選取代矽氧基。在特定實施例中,R
N1及R
N2,或是R
N2及R
N3可以與其各自附接的氮原子共同形成經任選取代的雜環基。又其他非限制性的肼基團包括‑NH‑NH
2、‑NMe‑NH
2、‑NH‑NHMe、‑NH‑NMe
2、‑NMe‑NMe
2、‑NEt‑NH
2、‑NH‑NHEt、‑NH‑NEt
2、‑NMe‑NEt
2等。
在一些實施例中,本文中的任何化學式[例如,化學式(II)或(III)]中的至少一、二、三、四或更多個Rʹ係包括經任選取代矽基。在一實施例中,矽基為‑SiR
S1R
S2R
S3,其中R
S1、R
S2及R
S3的各者係獨立為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、經任選取代胺基、經任選取代肼基、疊氮基、羥基、經任選取代矽基、經任選取代矽氧基、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代烷氧基、經任選取代雜烷基、經任選取代雜烯基、經任選取代雜炔基、經任選取代雜環基、經任選取代芳基、經任選取代芳氧基、氰氧基、異氰酸基、氰基、異氰基等。非限制性的矽基團包括本文所述的任何者,例如-Si(R)
a(R
Ak)
b、-Si(R)
a(OR
Ak)
b、-Si(R)
a(R
Ar)
b、-Si(R)
a(OR
Ar)
b、‑Si(R)
a(NR
N1R
N2)
b、-Si(R
ʹ)
a(OR)
b(NR
2)
c等。又其他非限制性的矽基團包括‑SiH
3、‑SiH
2Me、‑SiHMe
2、‑SiMe
3、‑Si(OH)
3、‑SiH
2(OMe) 、‑SiH(OMe)
2、‑Si(OMe)
3、‑SiH
2(NH
2) 、‑SiHMe(NH
2) 、‑SiMe
2(NH
2) 、‑SiH(NH
2)
2、‑SiMe(NH
2)
2、‑Si(NH
2)
3、‑SiH
2(NMe
2) 、‑SiH
2(NMe
2) 、‑SiHMe(NMe
2) 、‑Si(Me)
2(NMe
2)
2、‑SiMe(NMe
2)
2、‑Si(NMe
2)
3、‑SiH
2(NHMe) 、‑SiHMe(NHMe) 、‑SiH(NHMe)
2、‑SiMe(NHMe)
2、‑Si(NHMe)
3等。
在其他實施例中,本文中的任何化學式[例如,化學式(II)或(III)]中的至少一、二、三、四或更多個Rʹ係包括經任選取代矽氧基。非限制性的矽氧基團包括本文所述的任何者,例如-O‑Si(R)
a(R
Ak)
b、-O‑Si(R)
a(OR
Ak)
b、‑O‑Si(R)
a(R
Ar)
b、‑O‑Si(R)
a(OR
Ar)
b、‑O‑Si(R)
a(NR
N1R
N2)
b、-O-Si(R
ʹ)
a(OR)
b(NR
2)
c等。又其他非限制性的矽氧基團包括‑O‑SiH
3、‑O‑SiH
2Me、‑O-SiHMe
2, ‑O-SiMe
3、‑O-Si(OH)
3、‑O‑SiH
2(OMe) 、‑O‑SiH(OMe)
2、‑O‑Si(OMe)
3、‑O‑SiH
2(NH
2) 、‑O‑SiHMe(NH
2) 、‑O‑SiMe
2(NH
2) 、‑O‑SiH(NH
2)
2、‑O‑SiMe(NH
2)
2、‑O‑Si(NH
2)
3、‑O‑SiH
2(NMe
2) 、‑O‑SiH
2(NMe
2) 、‑O‑SiHMe(NMe
2) 、‑O‑Si(Me)
2(NMe
2)
2、‑O‑SiMe(NMe
2)
2、‑O‑Si(NMe
2)
3、‑O‑SiH
2(NHMe) 、‑O‑SiHMe(NHMe) 、‑O‑SiH(NHMe)
2、‑O‑SiMe(NHMe)
2、‑O‑Si(NHMe)
3等。
在又其他實施例中,本文中的任何化學式[例如,化學式(II)或(III)]中的至少一、二、三、四或更多個Rʹ係包括疊氮基(‑N
3)、羥基(‑OH)、氰氧基(‑OCN)、異氰酸基(‑NCO)、氰基(‑CN)及/或異氰基(‑NC)。
有機含矽前驅物可以選自於矽烷、二矽烷、三矽烷、四矽烷、任何前述矽烷的經胺基取代形式,以及三矽基胺。
無機含矽反應物的示例包括但不限於矽烷類、聚矽烷類、鹵代矽烷類及胺基矽烷類。矽烷係包含氫及/或碳基團,但不包含鹵素。聚矽烷可以具有H
3Si‑(SiH
2)
n‑SiH
3的化學式,其中n
>1。矽烷類的示例包括矽烷(SiH
4)、二矽烷(Si
2H
6)、三矽烷、四矽烷,以及有機矽烷,例如甲基矽烷、乙基矽烷、異丙基矽烷、三級丁基矽烷、二甲基矽烷、二乙基矽烷、二(三級丁基)矽烷、烯丙基矽烷、二級丁基矽烷、己基矽烷、異戊基矽烷、三級丁基二矽烷、二(三級丁基)二矽烷、正矽酸四乙酯(也稱為四乙氧基矽烷或TEOS)等。
胺基矽烷包括至少一個與矽原子鍵結的氮原子,但也可以包含氫、氧、鹵素及碳。胺基矽烷的示例為單胺基矽烷(H
3Si(NH
2)
4)、二胺基矽烷(H
2Si(NH
2)
2)、三胺基矽烷(HSi(NH
2)
3)及四胺基矽烷(Si(NH
2)
4),以及經取代的單胺基矽烷、二胺基矽烷、三胺基矽烷及四胺基矽烷,例如三級丁基胺基矽烷、甲基胺基矽烷、三級丁基矽烷胺(tert-butylsilanamine)、雙(三級丁基胺基)矽烷(SiH
2(NHC(CH
3)
3)
2,BTBAS)、矽基胺基甲酸三級丁基酯(tert-butyl silylcarbamate)、SiH(CH
3)-(N(CH
3)
2)
2、SiHCl-(N(CH
3)
2)
2、(Si(CH
3)
2NH)
3、二(二級丁基胺基)矽烷(DSBAS)、二(異丙基胺基)矽烷(DIPAS)、雙(二乙基胺基)矽烷(BDEAS)等。胺基矽烷的進一步示例為三矽基胺(N(SiH
3)
3)。
含矽反應物的示例包括矽氧烷、烷基矽烷或經烴取代矽烷,或是含氮的含碳反應物。矽氧烷的示例包括2,4,6,8-四甲基環四矽氧烷(TMCTS)、七甲基環四矽氧烷(HMCTS)、倍半矽氧烷、二矽氧烷類[例如,五甲基二矽氧烷(PMDSO)或四甲基二矽氧烷(TMDSO)],以及三矽氧烷類,例如六甲基三矽氧烷或七甲基三矽氧烷。烷基矽烷包括一個中心矽原子,伴隨著一或多個烷基與其鍵結,以及一或多個氫原子與其鍵結。在一些實施例中,該一或多個烷基的任何者係包含1~5個碳原子。烴基團可以是飽和或不飽和的[例如,烯烴(例如,乙烯基)、炔烴及芳香族基團]。示例包括但不限於三甲基矽烷(3MS)、三乙基矽烷、五甲基二矽甲烷[(CH
3)
2Si-CH
2-Si(CH
3)
3]及二甲基矽烷(2MS)。此外,可以使用二矽烷、三矽烷或其他更高的矽烷來取代甲矽烷。在一些實施例中,其中一個矽原子可以具有與其附接的含碳基團或烴基團,且其中一個矽原子可以具有與其附接的氫原子。含有氮的示例性含碳反應物包括經甲基取代的二矽氮烷及三矽氮烷,例如四甲基二矽氮烷及六甲基三矽氮烷。
有機含矽反應物的又其他示例可以包括矽氧烷,例如環四矽氧烷,例如七甲基環四矽氧烷(HMCTS)及四甲基環四矽氧烷。其他環矽氧烷還可以包括但不限於環三矽氧烷及環五矽氧烷。合適前驅物的其他示例包括直鏈矽氧烷,例如但不限於二矽氧烷[例如,五甲基二矽氧烷(PMDSO)、四甲基二矽氧烷(TMDSO)]、六甲基三矽氧烷及七甲基三矽氧烷。針對未經摻雜的矽碳化物,合適前驅物的示例包括被含有例如1~5個碳原子的一或多個烷基、烯烴及/或炔烴基團所取代的甲矽烷。示例包括但不限於三甲基矽烷(3MS)、二甲基矽烷(2MS)、三乙基矽烷(TES)及五甲基二矽甲烷。此外,可以使用二矽烷、三矽烷或其他更高的矽烷來取代甲矽烷。來自烷基矽烷分類的一種這樣的二矽烷的示例是六甲基二矽烷(HMDS)。來自該烷基矽烷分類的二矽烷的另一示例可以包括五甲基二矽烷(PMDS)。其他類型的烷基矽烷可以包括烷基碳矽烷,其可具有分枝聚合結構,伴隨著碳鍵結至矽原子且烷基鍵結至矽原子。示例包括二甲基(三甲基矽基)甲烷(DTMSM)及雙(二甲基矽基)乙烷(BDMSE)。其他合適前驅物的示例包括例如烷基二矽氮烷,以及包括與一或多個矽原子分別鍵結的胺基(-NH
2)及烷基的可能化合物。烷基二矽氮烷包括矽氮烷,以及與兩個矽原子鍵結的烷基團。示例包括1,1,3,3-四甲基二矽氮烷(TMDSN)。
在本文所述的含矽反應物中,可以將不同種類的R
ʹ連接至矽原子上。進一步的含Si前驅物係描述於本文中。
胺基矽烷
含矽前驅物可以包括一或更多經任選取代的胺基團,從而提供非限制性的胺基矽烷。在一實施例中,該前驅物具有(Rʹ)
4-xSi(NRʺ
2)
x的化學式,其中:
x為1、2、3或4;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰氧基、氰基或異氰基,其中這些基團的任何者可以被任選取代;以及
各個Rʺ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族或胺基,其中這些基團的任何者可以被任選取代;或者,兩個Rʺ可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基。
在另一實施例中,該前驅物具有(Rʺ
2N)
x(Rʹ)
3-xSi−L−Si(Rʹ)
3-x(NRʺ
2)
x的化學式,其中:
各個x係獨立為0、1、2或3;
L為連結部分,例如共價鍵、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、氧基(-O-)、亞胺基或矽基;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;而各個Rʺ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族或胺基,其中這些基團的任何者可以被任選取代;或者,兩個Rʺ可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基。
在特定實施例中,L為經任選取代的亞胺基,例如-NR-,其中R為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基或經任選取代芳香族。在其他實施例中,L為經任選取代的矽基,例如-SiR
2-,其中各個R係獨立為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基或經任選取代芳香族。
在一實例中,至少一個x不為0。在另一實施例中,x可以為0(例如,若L包括碳原子或雜原子)。在又一實施例中,x為0;及/或L包括經任選取代脂肪族、經任選取代伸烷基、經任選取代伸烯基、經任選取代伸炔基、經任選取代雜脂肪族、經任選取代雜伸烷基、經任選取代雜伸烯基、經任選取代雜伸炔基、經任選取代芳香族、經任選取代伸芳基、經任選取代雜芳香族、經任選取代雜伸芳基、氧基(-O-)、亞胺基或矽基。
在特定實施例中,至少一個Rʹ或Rʺ不為H。該前驅物可以具有與一或更多矽原子附接的Rʹ基團及胺基團(NRʺ
2)的任何實用組合。
在一些實施例中,Rʹ為H、經任選取代胺基(例如,-NR
2)、脂肪族-氧基(例如,烷氧基或-OR)、脂肪族-羰基(例如,烷醯基或‑C(O)R)、脂肪族-羰氧基(例如,烷醯基氧基或‑OC(O)R)、脂肪族-氧羰基(例如,烷氧基羰基或‑C(O)OR)、矽基(例如,‑SiR
3)、脂肪族-氧基-矽基(例如,烷氧基矽基或‑Si(R)
a(OR)
b)、胺基矽基(例如,‑Si(R)
a(NR
2)
b)、矽氧基(例如,‑O-SiR
3)、脂肪族-氧基-矽氧基(例如,烷氧基矽氧基或‑O-Si(R)
a(OR)
b)、胺基矽氧基(例如,‑O-Si(R)
a(NR
2)
b)、芳香族(例如,芳基)、芳香族-氧基(例如,芳氧基或-OR)、羥基(-OH)、醛基(-C(O)H)等。在特定實施例中,各個R係獨立為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代雜脂肪族、經任選取代芳香族、經任選取代芳基及經任選取代雜芳香族;a≥0;b≥1;以及a+b=3。在一些實施例中,兩個R基團可以與其各自附接的氮原子共同形成經任選取代的雜環基。在其他實施例中,各個R係獨立為H、經任選取代烷基、經任選取代烯基、經任選取代炔基或經任選取代芳基。
在其他實施例中,Rʺ為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代烷基、經任選取代矽基或經任選取代矽氧基。在一些實施例中,Rʺ為經任選取代烷基(例如,Me、Et、nPr、iPr、sBu或tBu)。在其他實施例中,Rʺ為‑SiR
ʹ 3、‑SiR
3、‑Si(R
ʹ)
a(OR)
b、‑Si(R)
a(OR)
b、-Si(R
ʹ)
a(NR
2)
b、‑Si(R)
a(NR
2)
b、‑Si(R
ʹ)
a(OR)
b(NR
2)
c、‑Si(R)
a(OR)
b(NR
2)
c、‑O‑SiR
ʹ 3、‑O‑SiR
3、‑O‑Si(R
ʹ)
a(OR)
b、‑O‑Si(R)
a(OR)
b、-O‑Si(R
ʹ)
a(NR
2)
b、-O-Si(R)
a(NR
2)
b、‑O‑Si(R
ʹ)
a(OR)
b(NR
2)
c或‑O‑Si(R)
a(OR)
b(NR
2)
c,其中各個Rʹ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;各個R係獨立為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族或經任選取代雜芳香族;a、b及c的各者係≥0;以及a+b+c=3或a+b=3(若不存在c)。在特定實施例中,R為H、經任選取代烷基、經任選取代烯基或經任選取代炔基。
含矽反應物可以包括與矽原子附接的至少一個Rʹ基團。在一實施例中,前驅物具有(Rʹ)(H)
3-xSi(NRʺ
2)
x的化學式,其中Rʹ及Rʺ可以為本文所述的任何者,且其中x為1、2或3。在另一實施例中,該前驅物具有(Rʹ)(H)
2Si(NRʺ
2)的化學式,其中Rʹ及Rʺ可以為本文所述的任何者。在一實施例中,該前驅物具有(Rʹ)(H)Si(NRʺ
2)
2的化學式,其中Rʹ及Rʺ可以為本文所述的任何者。在另一實施例中,該前驅物具有(Rʹ)
2(H)Si(NRʺ
2)的化學式,其中Rʹ及Rʺ可以為本文所述的任何者。在又一實施例中,該前驅物具有(Rʹ)
2Si(NRʺ
2)
2的化學式,其中Rʹ及Rʺ可以為本文所述的任何者。在一實施例中,前驅物具有(Rʹ)
3Si(NRʺ
2)的化學式,其中Rʹ及Rʺ可以為本文所述的任何者。
該含矽反應物可以缺乏與矽原子附接的Rʹ基團。在一實施例中,該前驅物具有(H)
4-xSi(NRʺ
2)
x的化學式,其中各個Rʺ可以獨立為本文所述的任何者,且其中x為1、2、3或4。在另一實施例中,該前驅物具有Si(NRʺ
2)
x的化學式,其中各個Rʺ可以獨立為本文所述的任何者。在特定實施例中,各個Rʺ係獨立為脂肪族、雜脂肪族、芳香族或雜芳香族。
該含矽反應物可以包括與矽原子附接的一或更多氫原子。在一實施例中,該前驅物具有(H)
3Si(NRʺ
2)、(H)
2Si(NRʺ
2)
2或(H)Si(NRʺ
2)
3的化學式,其中各個Rʺ可以獨立為本文所述的任何者。在特定實施例中,各個Rʺ係獨立為脂肪族、雜脂肪族、芳香族、雜芳香族或胺基,其中這些基團的任何者可以被任選取代。
該含矽反應物可以包括雜環基團,其中該雜環基團具有氮原子。在一實施例中,該前驅物具有H
3Si‑Het的化學式,其中Het為經任選取代且包括至少一氮原子的雜環基。在特定實施例中,該前驅物具有
的化學式,其中該雜環基團可以被任選取代(例如,被本文針對烷基的取代所描述的任何取代基所取代),且其中n為1、2、3、4或5。在一實施例中,該前驅物具有Rʹ
3Si‑Het的化學式,其中Het為經任選取代且包括至少一氮原子的雜環基,且各個Rʹ可以獨立為本文所述的任何者。在特定實施例中,該前驅物具有
的化學式,其中該雜環基團可以被任選取代(例如,被本文針對烷基的取代所描述的任何取代基所取代);各個Rʹ可以獨立為本文所述的任何者;且其中n為1、2、3、4或5。
在一些實例中,該含矽反應物可以具有二或更多矽原子,其中該前驅物可以包括Si-Si鍵。在特定實施例中,該前驅物具有(Rʺ
2N)
x(Rʹ)
3‑xSi−Si(Rʹ)
3‑x(NRʺ
2)
x的化學式,其中Rʹ及Rʺ可以為本文所述的任何者。在一實施例中,該含矽反應物具有(Rʺ
2N)(Rʹ)
2Si−Si(Rʹ)
2(NRʺ
2)的化學式,其中Rʹ及Rʺ可以為本文所述的任何者。在另一實施例中,該含矽反應物具有(Rʺ
2N)
2(Rʹ)Si−Si(Rʹ)(NRʺ
2)
2的化學式,其中Rʹ及Rʺ可以為本文所述的任何者。在又一實施例中,該含矽反應物具有(Rʺ
2N)
3Si−Si(NRʺ
2)
3的化學式,其中Rʹ及Rʺ可以為本文所述的任何者。
該含矽反應物可以包括與矽原子附接的不同基團。在一實例中,該前驅物具有(Rʺ
2N)
x(Rʹ)
3-xSi−SiH
3的化學式,其中Rʹ及Rʺ可以為本文所述的任何者。
連結部分可以存在於二個矽原子之間。在一實例中,該含矽反應物可以具有(Rʺ
2N)
x(Rʹ)
3-xSi−NR−Si(Rʹ)
3-x(NRʺ
2)
x的化學式,其中Rʹ及Rʺ可以為本文所述的任何者,且其中R為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基或經任選取代芳香族。在另一實例中,該含矽反應物具有(Rʺ
2N)
x(H)
3-xSi−NR−Si(H)
3-x(NRʺ
2)
x的化學式,其中R、Rʹ及Rʺ可以為本文所述的任何者。
該含矽反應物可以包括Rʹ基團與具有雜原子的連結部分的組合。在一實例中,該含矽反應物可以具有(Rʹ)
3Si−NR−Si(Rʹ)
3的化學式,其中R及Rʹ可以為本文所述的任何者。在另一實例中,該前驅物可以具有(Rʹ)
3Si−L−Si(Rʹ)
3的化學式,其中L及Rʹ可以為本文所述的任何者。在特定實施例中,L為氧基(-O-)、經任選取代亞胺基(例如,-NR-)或經任選取代矽基(例如,‑SiR
2‑)。
該含矽反應物可以包括Rʹ基團及NRʺ
2基團的組合,其與二個矽原子結合。在一實例中,該前驅物可以具有(Rʺ
2N)(Rʹ)
2Si−L−Si(Rʹ)
2(NRʺ
2)
x的化學式,其中L、Rʹ及Rʺ可以為本文所述的任何者。
該含矽反應物可以包括雜環基團,且該雜環基團包括矽原子及氮原子。在一實施例中,該前驅物具有
的化學式,其中Rʹ及Rʺ可以為本文所述的任何者,且其中n為1、2、3或4。
在另一實施例中,該含矽反應物具有
的化學式,其中Rʹ及Rʺ可以為本文所述的任何者,且其中n為1、2、3或4。在又一實施例中,該前驅物具有
的化學式,其中各個Rʺ可以獨立為本文所述的任何者,且其中n為1、2、3或4。
在另一實施例中,該含矽反應物具有
的化學式,其中Rʹ及Rʺ可以為本文所述的任何者,且其中n為1、2、3或4。在又一實施例中,該含矽反應物具有
的化學式,其中Rʺ可以獨立為本文所述的任何者,且其中n為1、2、3或4。
在本文中的任何含矽反應物中,兩個Rʺ可以與其各自附接的氮原子共同形成經任選取代的雜環基。
含矽反應物可以包括以下的任何者,例如(R
Ak)Si(NH
2)(NR
Ak 2)
2、(R
Ak)Si(NR
Ak 2)
3、(R
Ak)
2Si(NHR
Ak 2)
2、(R
Ak)(H)Si(NHR
Ak)
2、(R
Ak)
3Si(NR
Ak 2)、(R
Ak)
3Si(NHR
Ak)、H
2Si(NHR
Ak 2)
2、(R
Ak)(H)Si(NR
Ak 2)
2、HSi(NH
2)(NR
Ak 2)
2、HSi(NR
Ak 2)
3、Si(NR
Ak 2)
4、(Rʹ)(H)Si(NRʺ
2)
2、(Rʹ)
2Si(NR
Ak 2)
2、(Rʹ)
2Si(N[SiH
3]
2)
2、(Rʹ)
2Si(N[SiRʺ
3]
2)
2或(Rʹ)
3Si(NHR
Ak)。在一些實施例中,Rʹ及Rʺ的各者可以獨立為本文所述的任何者(例如,H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代烷基、經任選取代烯基或經任選取代炔基)。在其他實施例中,各個R
Ak係獨立為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代烷基、經任選取代烯基或經任選取代炔基。在特定實施例中,R
Ak為甲基(Me)、乙基(Et)、正丙基(nPr)、異丙基(iPr)、正丁基(nBu)、二級丁基(sBu)、異丁基(iBu)、三級丁基(tBu)等。
含矽反應物的非限制性示例包括以下的任何者:甲基胺基三甲基矽烷(SiMe
3[NHMe])、二甲基胺基二甲基矽烷(SiMe
2H[NMe
2])、二甲基胺基三甲基矽烷(SiMe
3[NMe
2])、二甲基胺基二乙基矽烷(SiHEt
2[NMe
2])、二甲基胺基三乙基矽烷(SiEt
3[NMe
2])、乙基甲基胺基二甲基矽烷(SiHMe
2[NMeEt])、乙基甲基胺基三甲基矽烷(SiMe
3[NMeEt])、乙基甲基胺基二乙基矽烷(SiHEt
2[NMeEt])、乙基甲基胺基三乙基矽烷(SiEt
3[NMeEt])、二乙基胺基甲基矽烷(SiH
2Me[NEt
2])、二乙基胺基乙基矽烷(SiH
2Et[NEt
2])、乙基胺基三甲基矽烷(SiMe
3[NHEt])、二乙基胺基二甲基矽烷(SiHMe
2[NEt
2])、二乙基胺基二乙基矽烷(SiHEt
2[NEt
2])、二乙基胺基三甲基矽烷(SiMe
3[NEt
2])、二乙基胺基三乙基矽烷(SiEt
3[NEt
2])、異丙基胺基二甲基矽烷(SiHMe
2[NHiPr])、異丙基胺基三甲基矽烷(SiMe
3[NHiPr])、異丙基胺基二乙基矽烷(SiHEt
2[NHiPr])、異丙基胺基三乙基矽烷(SiEt
3[NHiPr])、二異丙基胺基三甲基矽烷(SiMe
3[NiPr
2])、二異丙基胺基矽烷(SiH
3[NiPr
2]、C
6H
17NSi或DIPAS)、二異丙基胺基甲基矽烷(SiH
2Me[NiPr
2])、二異丙基胺基二甲基矽烷(SiHMe
2[NiPr
2])、二異丙基胺基二乙基矽烷(SiHEt
2[NiPr
2])、二異丙基胺基三乙基矽烷(SiEt
3[NiPr
2])、正丙基胺基三甲基矽烷(SiMe
3[NHnPr])、二(二級丁基)胺基矽烷(SiH
3[NsBu
2]或DSBAS)、二(二級丁基)胺基甲基矽烷(SiH
2Me[NsBu
2])、異丁基胺基三甲基矽烷(SiMe
3[NHiBu])、正丁基胺基三甲基矽烷(SiMe
3[NHnBu])、三級丁基胺基二甲基矽烷(SiHMe
2[NHtBu])、三級丁基胺基三甲基矽烷(SiMe
3[NHtBu])、三級丁基胺基二乙基矽烷(SiHEt
2[NHtBu])、三級丁基胺基三乙基矽烷(SiEt
3[NHtBu])、二環己基胺基矽烷(SiH
3[NCy
2],其中Cy為環己基)、正丙基異丙基胺基矽烷(SiH
3[NiPrnPr])、N-甲基環己基胺基矽烷(SiH
3[NMeCy])、
N-乙基環己基胺基矽烷(SiH
3[NEtCy])、烯丙基苯基胺基矽烷(SiH
3[NAllPh])、
N-異丙基環己基胺基矽烷(SiH
3[NiPrCy])、烯丙基環戊基胺基矽烷(SiH
3[NAllCp])、苯基環己基胺基矽烷(SiH
3[NPhCy])、環己基胺基三甲基矽烷(SiMe
3[NHCy],其中Cy為環己基)、吡咯基三甲基矽烷(SiMe
3[NHPy],其中Py為吡咯基)、吡咯啶基三甲基矽烷(SiMe
3[NHPyr],其中Pyr為吡咯啶基)、哌啶基三甲基矽烷(SiMe
3[NHPip],其中Pip為哌啶基)、哌𠯤基三甲基矽烷(SiMe
3[NHPz],其中Pz為哌𠯤基)、咪唑基三甲基矽烷(SiMe
3[NHIm],其中Im為咪唑基)、雙(二甲基胺基)矽烷(SiH
2[NMe
2]
2或BDMAS)、雙(二甲基胺基)甲基矽烷(SiMeH[NMe
2]
2)、雙(二甲基胺基)二甲基矽烷(SiMe
2[NMe
2]
2或BDMADMS)、雙(二甲基胺基)二乙基矽烷(SiEt
2[NMe
2]
2)、雙(二甲基胺基)甲基乙烯基矽烷(SiMeVi[NMe
2]
2)、雙(乙基胺基)二甲基矽烷(SiMe
2[NHEt]
2)、雙(乙基甲基胺基)矽烷(SiH
2[NMeEt]
2)、雙(乙基甲基胺基)二甲基矽烷(SiMe
2[NMeEt]
2)、雙(乙基甲基胺基)二乙基矽烷(SiEt
2[NMeEt]
2)、雙(乙基甲基胺基)甲基乙烯基矽烷(SiMeVi[NMeEt]
2)、雙(二乙基胺基)矽烷(SiH
2[NEt
2]
2、C
8H
22N
2Si或BDEAS)、雙(二乙基胺基)二甲基矽烷(SiMe
2[NEt
2]
2)、雙(二乙基胺基)甲基乙烯基矽烷(SiMeVi[NEt
2]
2)、雙(二乙基胺基)二乙基矽烷(SiEt
2[NEt
2]
2)、雙(異丙基胺基)二甲基矽烷(SiMe
2[NHiPr]
2)、雙(異丙基胺基)二乙基矽烷(SiEt
2[NHiPr]
2)、雙(異丙基胺基)甲基乙烯基矽烷(SiMeVi[NHiPr]
2)、雙(二異丙基胺基)矽烷(SiH
2[NiPr
2]
2)、雙(二異丙基胺基)二甲基矽烷(SiMe
2[NiPr
2]
2)、雙(二異丙基胺基)二乙基矽烷(SiEt
2[NiPr
2]
2)、雙(二異丙基胺基)甲基乙烯基矽烷(SiMeVi[NiPr
2]
2)、雙(甲基胺基)矽烷(SiH
2[NHMe]
2)、雙(二級丁基胺基)矽烷(SiH
2[NHsBu]
2)、雙(二級丁基胺基)甲基矽烷(SiHMe[NHsBu]
2)、雙(二級丁基胺基)乙基矽烷(SiHEt[NHsBu]
2)、雙(三級丁基胺基)矽烷(SiH
2[NHtBu]
2或BTBAS)、雙(三級丁基胺基)二甲基矽烷(SiMe
2[NHtBu]
2)、雙(三級丁基胺基)甲基乙烯基矽烷(SiMeVi[NHtBu]
2)、雙(三級丁基胺基)二乙基矽烷(SiEt
2[NHtBu]
2)、雙(1-咪唑基)二甲基矽烷(SiMe
2[Im]
2,其中Im為咪唑基)、參(二甲基胺基)矽烷(SiH[NMe
2]
3或3DMAS)、參(二甲基胺基)苯基矽烷(SiPh[NMe
2]
3)、參(二甲基胺基)甲基矽烷(SiMe[NMe
2]
3)、參(二甲基胺基)乙基矽烷(SiEt[NMe
2]
3)、參(乙基甲基胺基)矽烷(SiH[NEtMe]
3)、參(二乙基胺基)矽烷(SiH[NEt
2]
3)、參(異丙基胺基)矽烷(SiH[NHiPr]
3、C
9H
25N
3Si或TIPAS)、參(二甲基胺基)矽基醯胺(Si[NMe
2]
3[NH
2])、肆(二甲基胺基)矽烷(Si[NMe
2]
4)、肆(乙基甲基胺基)矽烷(Si[NEtMe]
4)、肆(二乙基胺基)矽烷(Si[NMe
2]
4)、1,2-二乙基肆(二乙基胺基)二矽烷([Et
2N]
2EtSi−SiEt[NEt
2]
2)、1,2-二甲基肆(二甲基胺基)二矽烷([Me
2N]
2MeSi−SiMe[NMe
2]
2)、1,2-二甲基肆(二乙基胺基)二矽烷([Et
2N]
2MeSi−SiMe[NEt
2]
2)、陸(甲基胺基)二矽烷([MeHN]
3Si−Si[NHMe]
3)、陸(乙基胺基)二矽烷([EtHN]
3Si−Si[NHEt]
3)、陸(二甲基胺基)二矽氮烷(Me
2N−Si[NMe
2]
2−Si[NMe
2]
2−NMe
2)等。
異氰氧基矽烷
含矽反應物可以包括一或更多異氰氧基團,從而提供非限制性的異氰氧基矽烷。在一實施例中,該含矽反應物具有(Rʹ)
4‑xSi(NCO)
x的化學式,其中:
x為1、2、3或4;以及
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰氧基、氰基或異氰基,其中這些基團的任何者可以被任選取代。
在另一實施例中,該含矽反應物具有(Rʹ)
zSi(NCO)
x(NRʺ
2)
y的化學式,其中:
x為1、2、3或4;
y及z係各自獨立為0、1、2或3;
x+y+z=4;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;以及
各個Rʺ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族或胺基,其中這些基團的任何者可以被任選取代;或者,兩個Rʺ可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基。
在又一實施例中,該含矽反應物具有(NCO)
x(Rʹ)
3-xSi−L−Si(Rʹ)
3‑x(NCO)
x的化學式,其中:
各個x係各自獨立為0、1、2或3;
L為連結部分,例如共價鍵、經任選取代脂肪族、經任選取代伸烷基、經任選取代伸烯基、經任選取代伸炔基、經任選取代雜脂肪族、經任選取代雜伸烷基、經任選取代雜伸烯基、經任選取代雜伸炔基、經任選取代芳香族、經任選取代伸芳基、經任選取代雜芳香族、經任選取代雜伸芳基、氧基(-O-)、亞胺基或矽基;以及
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代。
在一些實施例中,Rʹ為H、經任選取代胺基(例如,-NR
2)、脂肪族-氧基(例如,烷氧基或-OR)、脂肪族-羰基(例如,烷醯基或‑C(O)R)、脂肪族-羰氧基(例如,烷醯基氧基或‑OC(O)R)、脂肪族-氧羰基(例如,烷氧基羰基或‑C(O)OR)、矽基(例如,‑SiR
3)、脂肪族-氧基-矽基(例如,烷氧基矽基或‑Si(R)
a(OR)
b)、胺基矽基(例如,‑Si(R)
a(NR
2)
b)、矽氧基(例如,‑O-SiR
3)、脂肪族-氧基-矽氧基(例如,烷氧基矽氧基或‑O-Si(R)
a(OR)
b)、胺基矽氧基(例如,‑O-Si(R)
a(NR
2)
b)、芳香族(例如,芳基)、芳香族-氧基(例如,芳氧基或-OR)、羥基(-OH)、醛基(-C(O)H)等。在特定實施例中,各個R係獨立為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代雜脂肪族、經任選取代芳香族、經任選取代芳基及經任選取代雜芳香族;a≥0;b≥1;以及a+b=3。在一些實施例中,兩個R基團可以與其各自附接的氮原子共同形成經任選取代的雜環基。在其他實施例中,各個R係獨立為H、經任選取代烷基、經任選取代烯基、經任選取代炔基或經任選取代芳基。
在其他實施例中,Rʺ為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代烷基、經任選取代矽基或經任選取代矽氧基。在一些實施例中,Rʺ為經任選取代烷基(例如,Me、Et、nPr、iPr、sBu或tBu)。在其他實施例中,Rʺ為‑SiR
ʹ 3、‑SiR
3、‑Si(R
ʹ)
a(OR)
b、‑Si(R)
a(OR)
b、-Si(R
ʹ)
a(NR
2)
b、‑Si(R)
a(NR
2)
b、‑Si(R
ʹ)
a(OR)
b(NR
2)
c、‑Si(R)
a(OR)
b(NR
2)
c、‑O‑SiR
ʹ 3、‑O‑SiR
3、‑O‑Si(R
ʹ)
a(OR)
b、‑O‑Si(R)
a(OR)
b、-O‑Si(R
ʹ)
a(NR
2)
b、-O-Si(R)
a(NR
2)
b、‑O‑Si(R
ʹ)
a(OR)
b(NR
2)
c或‑O‑Si(R)
a(OR)
b(NR
2)
c,其中各個Rʹ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;各個R係獨立為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族或經任選取代雜芳香族;a、b及c的各者係≥0;以及a+b+c=3或a+b=3(若不存在c)。在特定實施例中,R為H、經任選取代烷基、經任選取代烯基或經任選取代炔基。
含矽反應物可以包括下列任何者,例如:(Rʹ)Si(NCO)(NRʺ
2)
2、(Rʹ)
2Si(NCO)(NRʺ
2)、(Rʹ)
2Si(NCO)(N[SiR
3]
2)或肆異氰氧基矽烷(Si[NCO]
4)。在一些實施例中,Rʹ及Rʺ的各者可以獨立為本文所述的任何者(例如,H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代烷基、經任選取代烯基或經任選取代炔基)。在 其他實施例中,各個R係獨立為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代烷氧基、經任選取代芳香族、經任選取代雜芳香族、經任選取代芳基或經任選取代雜芳基。
疊氮基矽烷
含矽反應物可以包括一或更多疊氮基團,從而提供非限制性的疊氮基矽烷。在一實施例中,該前驅物具有(Rʹ)
4-xSi(N
3)
x的化學式,其中:
x為1、2、3或4;以及
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰氧基、氰基或異氰基,其中這些基團的任何者可以被任選取代。
在另一實施例中,該含矽反應物具有(Rʹ)
zSi(N
3)
x(NRʺ
2)
y的化學式,其中:
x為1、2、3或4;
y及z係各自獨立為0、1、2或3;
x+y+z=4;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;以及
各個Rʺ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族或胺基,其中這些基團的任何者可以被任選取代;或者,兩個Rʺ可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基。
在又一實施例中,該含矽反應物具有(N
3)
x(Rʹ)
3-xSi−L−Si(Rʹ)
3‑x(N
3)
x的化學式,其中:
各個x係各自獨立為0、1、2或3;
L為連結部分,例如共價鍵、經任選取代脂肪族、經任選取代伸烷基、經任選取代伸烯基、經任選取代伸炔基、經任選取代雜脂肪族、經任選取代雜伸烷基、經任選取代雜伸烯基、經任選取代雜伸炔基、經任選取代芳香族、經任選取代伸芳基、經任選取代雜芳香族、經任選取代雜伸芳基、氧基(-O-)、亞胺基或矽基;以及
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代。
在一些實施例中,Rʹ為H、經任選取代胺基(例如,-NR
2)、脂肪族-氧基(例如,烷氧基或-OR)、脂肪族-羰基(例如,烷醯基或‑C(O)R)、脂肪族-羰氧基(例如,烷醯基氧基或‑OC(O)R)、脂肪族-氧羰基(例如,烷氧基羰基或‑C(O)OR)、矽基(例如,‑SiR
3)、脂肪族-氧基-矽基(例如,烷氧基矽基或‑Si(R)
a(OR)
b)、胺基矽基(例如,‑Si(R)
a(NR
2)
b)、矽氧基(例如,‑O-SiR
3)、脂肪族-氧基-矽氧基(例如,烷氧基矽氧基或‑O-Si(R)
a(OR)
b)、胺基矽氧基(例如,‑O-Si(R)
a(NR
2)
b)、芳香族(例如,芳基)、芳香族-氧基(例如,芳氧基或-OR)、羥基(-OH)、醛基(-C(O)H)等。在特定實施例中,各個R係獨立為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代雜脂肪族、經任選取代芳香族、經任選取代芳基及經任選取代雜芳香族;a≥0;b≥1;以及a+b=3。在一些實施例中,兩個R基團可以與其各自附接的氮原子共同形成經任選取代的雜環基。在其他實施例中,各個R係獨立為H、經任選取代烷基、經任選取代烯基、經任選取代炔基或經任選取代芳基。
在其他實施例中,Rʺ為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代烷基、經任選取代矽基或經任選取代矽氧基。在一些實施例中,Rʺ為經任選取代烷基(例如,Me、Et、nPr、iPr、sBu或tBu)。在其他實施例中,Rʺ為‑SiR
ʹ 3、‑SiR
3、‑Si(R
ʹ)
a(OR)
b、‑Si(R)
a(OR)
b、-Si(R
ʹ)
a(NR
2)
b、‑Si(R)
a(NR
2)
b、‑Si(R
ʹ)
a(OR)
b(NR
2)
c、‑Si(R)
a(OR)
b(NR
2)
c、‑O‑SiR
ʹ 3、‑O‑SiR
3、‑O‑Si(R
ʹ)
a(OR)
b、‑O‑Si(R)
a(OR)
b、-O‑Si(R
ʹ)
a(NR
2)
b、-O-Si(R)
a(NR
2)
b、‑O‑Si(R
ʹ)
a(OR)
b(NR
2)
c或‑O‑Si(R)
a(OR)
b(NR
2)
c,其中各個Rʹ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;各個R係獨立為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族或經任選取代雜芳香族;a、b及c的各者係≥0;以及a+b+c=3或a+b=3(若不存在c)。在特定實施例中,R為H、經任選取代烷基、經任選取代烯基或經任選取代炔基。
含矽反應物可以包括下列任何者,例如:(Rʹ)
3Si(N
3)、(Rʹ)
2Si(N
3)
2、(Rʹ)Si(N
3)
3或Si(N
3)(NRʺ
2)
3。在一些實施例中,Rʹ及Rʺ的各者可以獨立為本文所述的任何者(例如,H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代烷基、經任選取代烯基或經任選取代炔基)。前驅物的非限制性示例還包括疊氮參(二甲基胺基)矽烷([Me
2N]
3SiN
3)、二(三級丁基)二疊氮基矽烷、三疊氮基乙基矽烷(EtSi(N
3)
3)等。
肼基矽烷
含矽前驅物可以包括一或更多經任選取代的肼基團,從而提供非限制性的肼基矽烷。在一實施例中,該前驅物具有(Rʹ)
4-xSi(NRʺ-NRʺ
2)
x的化學式,其中:
x為1、2、3或4;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰氧基、氰基或異氰基,其中這些基團的任何者可以被任選取代;以及
各個Rʺ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族或胺基,其中這些基團的任何者可以被任選取代;或者,兩個Rʺ可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基。
在另一實施例中,該前驅物具有(NRʺ
2-NRʺ)
x(Rʹ)
3-xSi−L−Si (Rʹ)
3-x(NRʺ-NRʺ
2)
x的化學式,其中:
各個x係獨立為0、1、2或3;
L為連結部分,例如共價鍵、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、氧基(-O-)、亞胺基或矽基;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;以及
各個Rʺ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族或胺基,其中這些基團的任何者可以被任選取代;或者,兩個Rʺ可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基。
在又一實施例中,該含矽反應物具有(Rʹ)
4-xSi(NRʺ-L-NRʺ
2)
x的化學式,其中:x為1、2、3或4;且L、Rʹ及Rʺ各自可以為本文所述的任何者。
在特定實施例中,L為經任選取代亞胺基,例如-NR-,其中R為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基或經任選取代芳香族。在其他實施例中,L為經任選取代矽基,例如-SiR
2-,其中各個R係獨立為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基或經任選取代芳香族。在又一實施例中,L為-NR-NR-,其中R為本文所述的任何者(例如,R為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基或經任選取代芳香族)。
在一實例中,至少一個x不為0。在另一實施例中,x可以為0(例如,若L包括碳原子或雜原子)。在又一實施例中,x為0;及/或L包括經任選取代脂肪族、經任選取代伸烷基、經任選取代伸烯基、經任選取代伸炔基、經任選取代雜脂肪族、經任選取代雜伸烷基、經任選取代雜伸烯基、經任選取代雜伸炔基、經任選取代芳香族、經任選取代伸芳基、經任選取代雜芳香族、經任選取代雜伸芳基、氧基(-O-)、亞胺基或矽基。
該含矽反應物可以包括Rʹ及肼基團的任何實用組合。在一實施例中,該前驅物具有(Rʹ)
3Si(NRʺ-L-NRʺ
2)或(Rʹ)
3Si(NRʺ-NRʺ
2)的化學式,其中L、Rʹ及Rʺ可以為本文所述的任何者。
該含矽反應物可以包括複數肼基團。在一實施例中,該前驅物具有(Rʹ)
2Si(NRʺ-L-NRʺ
2)
2、(Rʹ)
2Si(NRʺ-NRʺ
2)
2或(Rʹ)
2Si(NH-NHRʺ)
2的化學式,其中L、Rʹ及Rʺ可以為本文所述的任何者。
該含矽反應物可以包括至少二個矽原子。在一實施例中,該前驅物具有(NRʺ
2-NRʺ)(Rʹ)
2Si−Si(Rʹ)
2(NRʺ-NRʺ
2)的化學式,其中Rʹ及Rʺ各自可以為本文所述的任何者。
非限制性的含矽反應物可以包括雙(三級丁基肼基)二乙基矽烷(SiEt
2[NH−NHtBu]
2)、參(二甲基肼基)矽烷(SiH[NH−NMe
2]
3)等。
矽氧烷及其衍生物
含矽前驅物可以包括一或更多脂肪族-氧基、芳香族-氧基團及/或氧基團,從而提供具有一或更多Si-O、O-Si-O或Si-O-Si鍵的矽氧烷及其衍生物。在一實施例中,該前驅物具有(Rʹ)
4‑xSi(OR‴)
x的化學式,其中:
x為1、2、3或4;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰氧基、氰基或異氰基,其中這些基團的任何者可以被任選取代;以及
各個R‴係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、矽基或矽氧基,其中這些基團的任何者可以被任選取代。
在另一實施例中,該含矽反應物具有(R‴O)
x(Rʹ)
3-xSi−L−Si(Rʹ)
3‑x(OR‴)
x的化學式,其中:
各個x係獨立為0、1、2或3;
L為連結部分,例如共價鍵、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、氧基(-O-)、亞胺基或矽基;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;以及
各個R‴係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、矽基或矽氧基,其中這些基團的任何者可以被任選取代。
在特定實施例中,L為經任選取代亞胺基,例如-NR-,其中R為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基或經任選取代芳香族。在其他實施例中,L為經任選取代矽基,例如-SiR
2-,其中各個R係獨立為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基或經任選取代芳香族。在其他實施例中,L為−O−Lʹ−O−,其中Lʹ為經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、經任選取代矽基(例如,-SiR
2-)、經任選取代伸烷基(例如,-(CH
2)
n-,其中n為1至6)、經任選取代伸芳基等。在又其他實施例中,L為氧基。
在一實例中,至少一個x不為0。在另一實施例中,x可以為0(例如,若L包括碳原子或雜原子)。在又一實施例中,x為0;及/或L包括經任選取代脂肪族、經任選取代伸烷基、經任選取代伸烯基、經任選取代伸炔基、經任選取代雜脂肪族、經任選取代雜伸烷基、經任選取代雜伸烯基、經任選取代雜伸炔基、經任選取代芳香族、經任選取代伸芳基、經任選取代雜芳香族、經任選取代雜伸芳基、氧基(-O-)、亞胺基或矽基。
在一些實施例中,Rʹ為H、經任選取代胺基(例如,-NR
2)、脂肪族-氧基(例如,烷氧基或-OR)、脂肪族-羰基(例如,烷醯基或‑C(O)R)、脂肪族-羰氧基(例如,烷醯基氧基或‑OC(O)R)、脂肪族-氧羰基(例如,烷氧基羰基或‑C(O)OR)、矽基(例如,‑SiR
3)、脂肪族-氧基-矽基(例如,烷氧基矽基或‑Si(R)
a(OR)
b)、胺基矽基(例如,‑Si(R)
a(NR
2)
b)、矽氧基(例如,‑O-SiR
3)、脂肪族-氧基-矽氧基(例如,烷氧基矽氧基或‑O-Si(R)
a(OR)
b)、胺基矽氧基(例如,‑O-Si(R)
a(NR
2)
b)、芳香族(例如,芳基)、芳香族-氧基(例如,芳氧基或-OR)、羥基(-OH)、醛基(-C(O)H)等。在特定實施例中,各個R係獨立為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代雜脂肪族、經任選取代芳香族、經任選取代芳基及經任選取代雜芳香族;a≥0;b≥1;以及a+b=3。在一些實施例中,兩個R基團可以與其各自附接的氮原子共同形成經任選取代的雜環基。在其他實施例中,各個R係獨立為H、經任選取代烷基、經任選取代烯基、經任選取代炔基或經任選取代芳基。
在其他實施例中,R‴為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代烷基、經任選取代矽基或經任選取代矽氧基。在一些實施例中,R‴為經任選取代烷基(例如,Me、Et、nPr、iPr、sBu或tBu)。在其他實施例中,R‴為‑SiR
ʹ 3、‑SiR
3、‑Si(R
ʹ)
a(OR)
b、‑Si(R)
a(OR)
b、-Si(R
ʹ)
a(NR
2)
b、‑Si(R)
a(NR
2)
b、‑Si(R
ʹ)
a(OR)
b(NR
2)
c、‑Si(R)
a(OR)
b(NR
2)
c、‑O‑SiR
ʹ 3、‑O‑SiR
3、‑O‑Si(R
ʹ)
a(OR)
b、‑O‑Si(R)
a(OR)
b、-O‑Si(R
ʹ)
a(NR
2)
b、-O-Si(R)
a(NR
2)
b、‑O‑Si(R
ʹ)
a(OR)
b(NR
2)
c或‑O‑Si(R)
a(OR)
b(NR
2)
c,其中各個Rʹ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;各個R係獨立為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族或經任選取代雜芳香族;a、b及c的各者係≥0;以及a+b+c=3或a+b=3(若不存在c)。在特定實施例中,R為H、經任選取代烷基、經任選取代烯基或經任選取代炔基。
該含矽反應物可以包括與矽原子附接的一或更多氫原子。在一實施例中,該前驅物具有H
3Si(OR‴)、H
2Si(OR‴)
2或HSi(OR‴)
3的化學式,其中各個R‴可以獨立為本文所述的任何者。
該含矽反應物可以包括該前驅物內的Rʹ及OR‴基團的任何組合。在一實施例中,該前驅物具有(Rʹ)
3Si(OR‴)、(Rʹ)
2Si(OR‴)
2或(Rʹ)Si(OR‴)
3的化學式,其中Rʹ及R‴可以各自獨立為本文所述的任何者。該前驅物可以包括烷基團,例如在化學式為(R
Ak)
3Si(OR
Ak)、(R
Ak)
2Si(OR
Ak)
2或(R
Ak)Si(OR
Ak)
3的前驅物中,其中R
Ak為經任選取代烷基。
在一些實例中,含矽反應物可以包括二或更多矽原子,其中該前驅物可以包括Si-Si鍵。在特定實施例中,該前驅物具有(R‴O)
x(Rʹ)
3‑xSi−Si(Rʹ)
3‑x(OR‴)
x的化學式,其中Rʹ及R‴可以為本文所述的任何者。在一實施例中,該前驅物具有(R‴O)(Rʹ)
2Si−Si(Rʹ)
2(OR‴)的化學式,其中Rʹ及R‴可以為本文所述的任何者。
含矽反應物可以包括Rʹ基團與具有雜原子的連結部分的組合。在一實例中,該前驅物具有(Rʹ)
3Si−O−Si(Rʹ)
3的化學式,其中Rʹ可以為本文所述的任何者。在另一實例中,該前驅物具有(Rʹ)
3Si−O−Lʹ−O−Si(Rʹ)
3的化學式,其中Lʹ及Rʹ可以為本文所述的任何者。在又一實例中,該前驅物具有(Rʹ)
3Si−(OSiRʹ
2)
z−Rʹ的化學式,其中Rʹ可以為本文所述的任何者;而z為1、2、3、4或更多。在另一實例中,該前驅物具有(Rʹ)
4-xSi−[(OSiRʹ
2)
z−Rʹ]
x的化學式,其中Rʹ可以為本文所述的任何者;x為1、2、3或4;而z為1、2、3、4或更多。
含矽反應物可以包括Rʹ與OR‴基團結合二個矽原子的任何實用組合。在一實例中,該前驅物具有(R‴O)
x(Rʹ)
3‑xSi−O−Si(Rʹ)
3‑x(OR‴)
x的化學式,其中Rʹ及R‴可以為本文所述的任何者。在另一實例中,該前驅物具有(R‴O)
x(Rʹ)
3‑xSi−O−Lʹ−O−Si(Rʹ)
3‑x(OR‴)
x的化學式,其中L、Rʹ及R‴可以為本文所述的任何者。
非限制性含矽反應物可以包括甲氧基二甲基矽烷(SiHMe
2[OMe])、乙氧基二甲基矽烷(SiHMe
2[OEt])、異丙氧基二甲基矽烷(SiHMe
2[OiPr])、三級丁氧基二甲基矽烷(SiHMe
2[OtBu])、三級戊氧基二甲基矽烷(SiHMe
2[OtPe])、苯氧基二甲基矽烷(SiHMe
2[OPh])、乙醯氧基二甲基矽烷(SiHMe
2[OAc])、甲氧基三甲基矽烷(SiMe
3[OMe])、乙氧基三甲基矽烷(SiMe
3[OEt])、異丙氧基三甲基矽烷(SiMe
3[OiPr])、三級丁氧基三甲基矽烷(SiMe
3[OtBu])、三級戊氧基三甲基矽烷(SiMe
3[OtPe])、苯氧基三甲基矽烷(SiMe
3[OPh])、乙醯氧基三甲基矽烷(SiMe
3[OAc])、甲氧基三乙基矽烷(SiEt
3[OMe])、乙氧基三乙基矽烷(SiEt
3[OEt])、異丙氧基三乙基矽烷(SiEt
3[OiPr])、三級丁氧基三乙基矽烷(SiEt
3[OtBu])、三級戊氧基三乙基矽烷(SiEt
3[OtPe])、苯氧基三乙基矽烷(SiEt
3[OPh])、乙醯氧基三乙基矽烷(SiEt
3[OAc])、二甲氧基矽烷(SiH
2[OMe]
2)、二乙氧基矽烷(SiH
2[OEt]
2)、二異丙氧基矽烷(SiH
2[OPr]
2)、二(三級丁氧基)矽烷(SiH
2[OtBu]
2或DTBOS)、二(三級戊氧基)矽烷(SiH
2[OtPe]
2或DTPOS)、二乙醯氧基矽烷(SiH
2[OAc]
2)、二甲氧基二甲基矽烷(SiMe
2[OMe]
2)、二乙氧基二甲基矽烷(SiMe
2[OEt]
2)、二異丙氧基二甲基矽烷(SiMe
2[OPr]
2)、二(三級丁氧基)二甲基矽烷(SiMe
2[OtBu]
2)、二乙醯氧基二甲基矽烷(SiMe
2[OAc]
2)、二甲氧基二乙基矽烷(SiEt
2[OMe]
2)、二乙氧基二乙基矽烷(SiEt
2[OEt]
2)、二異丙氧基二乙基矽烷(SiEt
2[OiPr]
2)、二(三級丁氧基)二乙基矽烷(SiEt
2[OtBu]
2)、二乙醯氧基二乙基矽烷(SiEt
2[OAc]
2)、二甲氧基二苯基矽烷(SiPh
2[OMe]
2)、二甲氧基二異丙基矽烷(Si[iPr]
2[OMe]
2)、二乙氧基二異丙基矽烷(Si[iPr]
2[OEt]
2)、二異丙氧基二異丙基矽烷(Si[iPr]
2[OiPr]
2)、二(三級丁氧基)二異丙基矽烷(Si[iPr]
2[OtBu]
2)、二乙醯氧基二異丙基矽烷(Si[iPr]
2[OAc]
2)、二甲氧基甲基乙烯基矽烷(SiMeVi[OMe]
2)、二乙氧基甲基乙烯基矽烷(SiMeVi[OEt]
2)、二異丙氧基甲基乙烯基矽烷(SiMeVi[OiPr]
2)、二(三級丁氧基)甲基乙烯基矽烷(SiMeVi[OtBu]
2)、二乙醯氧基甲基乙烯基矽烷(SiMeVi[OAc]
2)、三乙氧基矽烷(SiH[OEt]
3或TES)、三甲氧基乙基矽烷(SiEt[OMe]
3)、三乙氧基甲基矽烷(SiMe[OEt]
3)、三乙氧基苯基矽烷(SiPh[OEt]
3)、四甲氧基矽烷(Si[OMe]
4)、四乙氧基矽烷(Si[OEt]
4或TEOS)、四正丙氧基矽烷(Si[OnPr]
4)、四異丙氧基矽烷(Si[OiPr]
4)、四正丁氧基矽烷(Si[OnBu]
4)、四(三級丁氧基)矽烷(Si[OtBu]
4)、四甲基二矽氧烷(O[SiHMe
2]
2或TMDO)、六甲基二矽氧烷(O[SiMe
3]
2)、六乙基二矽氧烷(O[SiEt
3]
2)、六丙基二矽氧烷(O[SiPr
3]
2)、六苯基二矽氧烷(O[SiPh
3]
2)、六甲基三矽氧烷(Me
2SiH-O-SiMe
2-O-SiHMe
2)等。
包括氧及氮的混合矽烷
含矽反應物可以包括被脂肪族-氧基團或芳香族-氧基團所取代的一或更多胺基團,從而提供非限制性的混合矽烷。在一實施例中,該前驅物具有(Rʹ)
zSi(OR‴)
x(NRʺ
2)
y的化學式,其中:
x及y各自獨立為1、2、3或4;
z為0、1或2;
x+y+z=4;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;
各個Rʺ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族或胺基,其中這些基團的任何者可以被任選取代;或者,兩個Rʺ可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基;以及
各個R‴係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、矽基或矽氧基,其中這些基團的任何者可以被任選取代。
在另一實施例中,該前驅物具有(Rʺ
2N)
y(R‴O)
x(Rʹ)
zSi−L−Si(Rʹ)
z(OR‴)
x(NRʺ
2)
y的化學式,其中:
x及y各自係大於0(例如,1或2);
z為0或1;
x+y+z=3;
L為連結部分,例如共價鍵、經任選取代脂肪族、經任選取代伸烷基、經任選取代伸烯基、經任選取代伸炔基、經任選取代雜脂肪族、經任選取代雜伸烷基、經任選取代雜伸烯基、經任選取代雜伸炔基、經任選取代芳香族、經任選取代伸芳基、經任選取代雜芳香族、經任選取代雜伸芳基、氧基(-O-)、亞胺基或矽基;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;
各個Rʺ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族或胺基,其中這些基團的任何者可以被任選取代;或者,兩個Rʺ可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基;以及
各個R‴係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、矽基或矽氧基,其中這些基團的任何者可以被任選取代。
Rʹ、Rʺ及R‴的非限制性示例已於本文中描述,例如針對胺基矽烷、矽氧烷或其衍生物所描述的。
該含矽反應物可以包括Rʹ、NRʺ
2及OR‴基團的任何組合。在一實施例中,該前驅物具有(Rʹ)Si(OR‴)
2(NRʺ
2)或(Rʹ)
2Si(OR‴)
2(NRʺ
2)的化學式,其中Rʹ、Rʺ及R‴係可以各自獨立為本文所述的任何者。在其他實施例中,該前驅物具有(Rʹ)
2Si(OR‴)(N[SiR
3]
2)的化學式,其中Rʹ及R‴係可以各自獨立為本文所述的任何者;以及R係獨立為經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族或經任選取代雜芳香族。
該含矽反應物可以僅包括與矽原子附接的胺基及含氧基(oxy)基團。在一實施例中,該前驅物具有Si(OR‴)
3(NRʺ
2)、Si(OR‴)
2(NRʺ
2)
2或Si(OR‴)(NRʺ
2)
3的化學式,其中Rʺ及R‴係可以各自獨立為本文所述的任何者。
非限制性的含矽反應物可以包括例如二乙氧基(異丙基胺基)矽烷(SiH[NHiPr][OEt]
2)、二乙氧基(三級丁基胺基)矽烷(SiH[NHtBu][OEt]
2)、二乙氧基(三級戊基胺基)矽烷(SiH[NHtPe][OEt]
2)、二(三級丁氧基)(甲基胺基)矽烷(SiH[NHMe][OtBu]
2)、二(三級丁氧基)(乙基胺基)矽烷(SiH[NHEt][OtBu]
2)、二(三級丁氧基)(異丙基胺基)矽烷(SiH[NHiPr][OtBu]
2)、二(三級丁氧基)(正丁基胺基)矽烷(SiH[NHnBu][OtBu]
2)、二(三級丁氧基)(二級丁基胺基)矽烷(SiH[NHsBu][OtBu]
2)、二(三級丁氧基)(異丁基胺基)矽烷(SiH[NHiBu][OtBu]
2)、二(三級丁氧基)(三級丁基胺基)矽烷(SiH[NHtBu][OtBu]
2)、二(三級戊氧基)(甲基胺基)矽烷(SiH[NHMe][OtPe]
2)、二(三級戊氧基)(乙基胺基)矽烷(SiH[NHEt][OtPe]
2)、二(三級戊氧基)(異丙基胺基)矽烷(SiH[NHiPr][OtPe]
2)、二(三級戊氧基)(正丁基胺基)矽烷(SiH[NHnBu][OtPe]
2)、二(三級戊氧基)(二級丁基胺基)矽烷(SiH[NHsBu][OtPe]
2)、二(三級戊氧基)(異丁基胺基)矽烷(SiH[NHiBu][OtPe]
2)、二(三級戊氧基)(三級丁基胺基)矽烷(SiH[NHtBu][OtPe]
2)、二甲氧基(苯基甲基胺基)矽烷(SiH[NPhMe][OMe]
2)、二乙氧基(苯基甲基胺基)矽烷(SiH[NPhMe][OEt]
2)、二甲氧基(苯基甲基胺基)甲基矽烷(SiMe[NPhMe][OMe]
2)、二乙氧基(苯基甲基胺基)甲基矽烷(SiEt[NPhMe][OEt]
2)等。
矽基胺
含矽反應物可以包括一或更多經任選取代且與氮原子附接的矽基團,從而提供非限制性的矽基胺。在一實施例中,該前驅物具有(Rʺ)
3-yN(SiRʹ
3)
y的化學式,其中:
y為1、2或3;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰氧基、氰基或異氰基,其中這些基團的任何者可以被任選取代;以及
各個Rʺ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、胺基、矽基或矽氧基,其中這些基團的任何者可以被任選取代;或者,兩個Rʺ可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基。
在另一實施例中,該含矽反應物具有(Rʹ
3Si)
y(Rʺ)
2-yN−L−N(Rʺ)
2‑y(SiRʹ
3)
y的化學式,其中:
各個y係獨立為0、1或2;
L為連結部分,例如共價鍵、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、氧基(-O-)、亞胺基或矽基;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;以及
各個Rʺ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族或胺基,其中這些基團的任何者可以被任選取代;或者,兩個Rʺ可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基,任選地,其中N-L-N共同形成多價雜環基團。
在一實例中,至少一個y不為0。在另一實施例中,y可以為0(例如,若L包括碳原子或雜原子)。在又一實施例中,y為0;及/或L包括經任選取代脂肪族、經任選取代伸烷基、經任選取代伸烯基、經任選取代伸炔基、經任選取代雜脂肪族、經任選取代雜伸烷基、經任選取代雜伸烯基、經任選取代雜伸炔基、經任選取代芳香族、經任選取代伸芳基、經任選取代雜芳香族、經任選取代雜伸芳基、氧基(-O-)、亞胺基(例如,-NR-或‑N(SiR
3)-)或矽基(例如,-SiR
2-),以及其組合(例如,-SiR
2-NR-、-NR-SiR
2-、-SiR
2-NR-SiR
2-等)。在特定實施例中,各個R係獨立為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代雜脂肪族、經任選取代芳香族、經任選取代芳基及經任選取代雜芳香族。
在一些實施例中,Rʹ為H、經任選取代胺基(例如,-NR
2)、脂肪族-氧基(例如,烷氧基或-OR)、脂肪族-羰基(例如,烷醯基或‑C(O)R)、脂肪族-羰氧基(例如,烷醯基氧基或‑OC(O)R)、脂肪族-氧羰基(例如,烷氧基羰基或‑C(O)OR)、矽基(例如,‑SiR
3或‑SiR
2‑L‑SiR
3)、脂肪族-氧基-矽基(例如,烷氧基矽基或‑Si(R)
a(OR)
b)、胺基矽基(例如,‑Si(R)
a(NR
2)
b)、矽氧基(例如,‑O-SiR
3)、脂肪族-氧基-矽氧基(例如,烷氧基矽氧基或‑O-Si(R)
a(OR)
b)、胺基矽氧基(例如,‑O-Si(R)
a(NR
2)
b)、芳香族(例如,芳基)、芳香族-氧基(例如,芳氧基或-OR)、羥基(-OH)、醛基(-C(O)H)等。在特定實施例中,各個R係獨立為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代雜脂肪族、經任選取代芳香族、經任選取代芳基及經任選取代雜芳香族;a≥0;b≥1;以及a+b=3。在一些實施例中,兩個R基團可以與其各自附接的氮原子共同形成經任選取代的雜環基。在其他實施例中,各個R係獨立為H、經任選取代烷基、經任選取代烯基、經任選取代炔基或經任選取代芳基。L可為任何實用的連結部分(例如,共價鍵、經任選取代伸烷基、經任選取代雜伸烷基、氧基、亞胺基、矽基等)。
在其他實施例中,Rʺ為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代烷基、經任選取代矽基或經任選取代矽氧基。在一些實施例中,Rʺ為經任選取代烷基(例如,Me、Et、nPr、iPr、sBu或tBu)。在其他實施例中,Rʺ為‑SiR
ʹ 3、‑SiR
3、‑Si(R
ʹ)
a(OR)
b、‑Si(R)
a(OR)
b、-Si(R
ʹ)
a(NR
2)
b、‑Si(R)
a(NR
2)
b、‑Si(R
ʹ)
a(OR)
b(NR
2)
c、‑Si(R)
a(OR)
b(NR
2)
c、‑O‑SiR
ʹ 3、‑O‑SiR
3、‑O‑Si(R
ʹ)
a(OR)
b、‑O‑Si(R)
a(OR)
b、-O‑Si(R
ʹ)
a(NR
2)
b、-O-Si(R)
a(NR
2)
b、‑O‑Si(R
ʹ)
a(OR)
b(NR
2)
c或‑O‑Si(R)
a(OR)
b(NR
2)
c,其中各個Rʹ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;各個R係獨立為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族或經任選取代雜芳香族;a、b及c的各者係≥0;以及a+b+c=3或a+b=3(若不存在c)。在特定實施例中,R為H、經任選取代烷基、經任選取代烯基或經任選取代炔基。
該含矽反應物可以包括與氮原子附接的至少一個Rʺ基團。在一實施例中,該前驅物具有(Rʺ)N(SiRʹ
3)
2或(Rʺ)
2N(SiRʹ
3)的化學式,其中Rʹ及Rʺ可以為本文所述的任何者。在另一實施例中,該前驅物具有(Rʺ)
2N(SiH
3)或(Rʺ)N(SiH
3)
2的化學式,其中Rʺ可以為本文所述的任何者。在特定實施例中,Rʹ為經任選取代烷基、胺基或烷氧基;而Rʺ為經任選取代烷基或胺基;任選地,其中兩個Rʺ與其各自附接的氮原子共同形成雜環基。
該含矽反應物可以包括與氮原子附接的至少一個氫原子。在一實施例中,該前驅物具有(H)N(SiRʹ
3)
2的化學式,其中Rʹ可以為本文所述的任何者。在另一實施例中,該前驅物具有(H)N(SiR
Ak 3)
2的化學式,其中R
Ak可以為經任選取代脂肪族、經任選取代烷基、經任選取代烯基或經任選取代炔基。
該含矽反應物可以包括與氮原子附接的三個矽原子。在一實施例中,該前驅物具有N(SiRʹ
3)
3的化學式,其中Rʹ可以為本文所述的任何者。在另一實施例中,該前驅物具有N(SiH
3)(SiRʹ
3)
2的化學式,其中Rʹ可以為本文所述的任何者。在又一實施例中,該前驅物具有N(SiH
3)(SiR
Ak 3)
2的化學式,其中R
Ak可以為經任選取代脂肪族、經任選取代烷基、經任選取代烯基或經任選取代炔基。
該含矽反應物可以具有二或更多氮原子,其中該前驅物包括N-N鍵。在一實例中,該前驅物具有(Rʹ
3Si)
2N−N(SiRʹ
3)
2的化學式,其中Rʹ可以為本文所述的任何者。
連結部分可以存在於複數氮原子之間。在一實例中,該前驅物具有(Rʹ
3Si)(Rʺ)N−L−N(Rʺ)(SiRʹ
3)或(Rʹ
3Si)
2N−L−N(SiRʹ
3)
2的化學式,其中Rʹ及Rʺ可以為本文所述的任何者。在一些實施例中,L為共價鍵、經任選取代伸烷基、經任選取代雜伸烷基、-O-、-SiR
2-或-Si-。在特定實施例中,至少一個Rʺ不為H。在另一實例中,該前驅物具有(H
3Si)(Rʺ)N−L−N(Rʺ)(SiH
3)的化學式,其中Rʺ可以為本文所述的任何者。
該連結部分可以包括矽原子。在一實例中,該前驅物具有(Rʹ
3Si)
2N−SiRʹ
2−N(SiRʹ
3)
2的化學式,其中Rʹ可以為本文所述的任何者。在另一實例中,該前驅物具有(Rʹ
3Si)(Rʺ)N−SiRʹ
2−N(Rʺ)(SiRʹ
3)或(Rʹ
3Si)
2N−SiRʹ
2−N(Rʺ)
2的化學式,其中Rʹ及Rʺ可以為本文所述的任何者。
該連結部分可以包括SiH
2基團。在一實例中,該前驅物具有(Rʹ
3Si)
2N−SiH
2−N(SiRʹ
3)
2的化學式,其中Rʹ可以為本文所述的任何者。在另一實例中,該前驅物具有(Rʹ
3Si)HN−SiH
2−NH(SiRʹ
3)或(Rʹ
3Si)
2N−SiH
2−N(Rʺ)
2的化學式,其中Rʹ及Rʺ可以為本文所述的任何者。
在該前驅物內可以存在複數含氮及矽部分。在一實施例中,該前驅物具有(Rʹ
3Si)(Rʺ)N−SiRʹ
2−N(Rʺ)− SiRʹ
2−N(Rʺ)(SiRʹ
3)的化學式,其中Rʹ及Rʺ可以為本文所述的任何者。
非限制性前驅物例如可以包括1,1,3,3-四甲基二矽氮烷(NH[SiHMe
2]
2或TMDS)、1,1,2,3,3-五甲基二矽氮烷(NMe[SiHMe
2]
2)、1,1,1,3,3,3-六甲基二矽氮烷(NH[SiMe
3]
2或HMDS)、七甲基二矽氮烷(NMe[SiMe
3]
2)、1,1,1,3,3,3-六甲基-2-乙基二矽氮烷(NEt[SiMe
3]
2)、1,1,1,3,3,3-六甲基-2-異丙基二矽氮烷(NiPr[SiMe
3]
2)、1,1,1,3,3,3-六乙基-2-異丙基二矽氮烷(NiPr[SiEt
3]
2)、1,1,3,3-四甲基-2-異丙基二矽氮烷(NiPr[SiHMe
2]
2)、1,1,3,3-四乙基-2-異丙基二矽氮烷(NiPr[SiHEt
2]
2)、1,3-二乙基四甲基二矽氮烷(NH[SiMe
2Et]
2)、1,1,3,3-四乙基二矽氮烷(NH[SiHEt
2]
2)、1,1,3,3-四乙基-2-甲基二矽氮烷(NMe[SiHEt
2]
2)、1,1,1,3,3,3-六乙基二矽氮烷(NH[SiEt
3]
2)、1,1,1,3,3,3-六乙基-2-甲基二矽氮烷(NMe[SiEt
3]
2)、1,1,1,2,3,3,3-七乙基二矽氮烷(NEt[SiEt
3]
2)、1,2,3-三甲基三矽氮烷(N[SiH
2Me]
3)、九甲基三矽氮烷(N[SiMe
3]
3)、二異丙基矽基胺(NiPr
2[SiH
3])、二乙基矽基胺(NEt
2[SiH
3])、二異丙基矽基胺(NiPr
2[SiH
3])、二(二級丁基)矽基胺(NsBu
2[SiH
3])、二(三級丁基)矽基胺(NtBu
2[SiH
3])、二矽基甲基胺(NMe[SiH
3]
2)、二矽基乙基胺(NEt[SiH
3]
2)、二矽基異丙基胺(NEt[SiH
3]
2)、二矽基三級丁基胺(NtBu[SiH
3]
2)、雙(三甲基矽基)胺(NH[SiMe
3]
2)、雙(三乙基矽基)胺(NH[SiEt
3]
2)等。
矽氮烷及其衍生物
含矽反應物可以包括一或更多胺基、矽基及/或亞胺基團,從而提供具有一或更多Si-N、N-Si-N、Si-N-Si、N-Si-Si或N-Si-N-Si鍵的矽氮烷或其衍生物。在一實施例中,該前驅物具有(Rʺ)
3-yN(SiRʹ
2−L−SiRʹ
3)
y的化學式,其中:
y為1、2或3;
L為連結部分,例如共價鍵、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、氧基(-O-)、亞胺基或矽基,以及其組合;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;以及
各個Rʺ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、胺基、矽基或矽氧基,其中這些基團的任何者可以被任選取代;或者,兩個Rʺ可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基。
在另一實施例中,該含矽反應物具有(Rʺ)
3-yN(SiRʹ
2−L−SiRʹ
2−NRʺ
2)
y的化學式,其中y為1、2或3;而L、Rʹ及Rʺ各自可以為本文所述的任何者。
在又一實施例中,該含矽反應物具有(Rʺ)
3-yN(SiRʹ
2−L−NRʺ
2)
y的化學式,其中y為1、2或3;而L、Rʹ及Rʺ各自可以為本文所述的任何者。
在一實施例中,該含矽反應物具有(Rʹ)
4-xSi(NRʺ−L−SiRʹ
3)
x的化學式,其中:
x為1、2、3或4;
L為連結部分,例如共價鍵、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、氧基(-O-)、亞胺基或矽基,以及其組合;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;以及
各個Rʺ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、胺基、矽基或矽氧基,其中這些基團的任何者可以被任選取代;或者,兩個Rʺ可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基。
在另一實施例中,該含矽反應物具有(Rʺ
2N)−(SiRʹ
2−L)
z−SiRʹ
3的化學式,其中z為1、2或3;而L、Rʹ及Rʺ各自可以為本文所述的任何者。
在一些實施例中,L包括經任選取代脂肪族、經任選取代伸烷基、經任選取代伸烯基、經任選取代伸炔基、經任選取代雜脂肪族、經任選取代雜伸烷基、經任選取代雜伸烯基、經任選取代雜伸炔基、經任選取代芳香族、經任選取代伸芳基、經任選取代雜芳香族、經任選取代雜伸芳基、氧基(-O-)、亞胺基(例如,-NR-或‑N(SiR
3)-)或矽基(例如,-SiR
2-),以及其組合(例如,-SiR
2-NR-、-NR-SiR
2-、-SiR
2-NR-SiR
2-等)。在特定實施例中,各個R係獨立為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代雜脂肪族、經任選取代芳香族、經任選取代芳基及經任選取代雜芳香族。
在一些實施例中,Rʹ為H、經任選取代胺基(例如,-NR
2)、脂肪族-氧基(例如,烷氧基或-OR)、脂肪族-羰基(例如,烷醯基或‑C(O)R)、脂肪族-羰氧基(例如,烷醯基氧基或‑OC(O)R)、脂肪族-氧羰基(例如,烷氧基羰基或‑C(O)OR)、矽基(例如,‑SiR
3或‑SiR
2‑L‑SiR
3)、脂肪族-氧基-矽基(例如,烷氧基矽基或‑Si(R)
a(OR)
b)、胺基矽基(例如,‑Si(R)
a(NR
2)
b)、矽氧基(例如,‑O-SiR
3)、脂肪族-氧基-矽氧基(例如,烷氧基矽氧基或‑O-Si(R)
a(OR)
b)、胺基矽氧基(例如,‑O-Si(R)
a(NR
2)
b)、芳香族(例如,芳基)、芳香族-氧基(例如,芳氧基或-OR)、羥基(-OH)、醛基(-C(O)H)等。在特定實施例中,各個R係獨立為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代雜脂肪族、經任選取代芳香族、經任選取代芳基及經任選取代雜芳香族;a≥0;b≥1;以及a+b=3。在一些實施例中,兩個R基團可以與其各自附接的氮原子共同形成經任選取代的雜環基。在其他實施例中,各個R係獨立為H、經任選取代烷基、經任選取代烯基、經任選取代炔基或經任選取代芳基。L可為任何實用的連結部分(例如,共價鍵、經任選取代伸烷基、經任選取代雜伸烷基、氧基、亞胺基、矽基等)。
在其他實施例中,Rʺ為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代烷基、經任選取代矽基或經任選取代矽氧基。在一些實施例中,Rʺ為經任選取代烷基(例如,Me、Et、nPr、iPr、sBu或tBu)。在其他實施例中,Rʺ為‑SiR
ʹ 3、‑SiR
3、‑Si(R
ʹ)
a(OR)
b、‑Si(R)
a(OR)
b、-Si(R
ʹ)
a(NR
2)
b、‑Si(R)
a(NR
2)
b、‑Si(R
ʹ)
a(OR)
b(NR
2)
c、‑Si(R)
a(OR)
b(NR
2)
c、‑O‑SiR
ʹ 3、‑O‑SiR
3、‑O‑Si(R
ʹ)
a(OR)
b、‑O‑Si(R)
a(OR)
b、-O‑Si(R
ʹ)
a(NR
2)
b、-O-Si(R)
a(NR
2)
b、‑O‑Si(R
ʹ)
a(OR)
b(NR
2)
c或‑O‑Si(R)
a(OR)
b(NR
2)
c,其中各個Rʹ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;各個R係獨立為H、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族或經任選取代雜芳香族;a、b及c的各者係≥0;以及a+b+c=3或a+b=3(若不存在c)。在特定實施例中,R為H、經任選取代烷基、經任選取代烯基或經任選取代炔基。
該含矽反應物可以包括一或更多二矽烷基團及胺基團。在一實施例中,該前驅物具有Rʺ
2N−SiRʹ
2−SiRʹ
3的化學式,其中L、Rʹ及Rʺ可以為本文所述的任何者。在其他實施例中,該前驅物具有Rʺ
2N−SiH
2−SiH
3的化學式,其中Rʺ為本文所述的任何者。在另一實施例中,該前驅物具有(Rʺ)
3-yN−(SiRʹ
2−SiRʹ
3)
y的化學式,其中y、Rʹ及Rʺ可以為本文所述的任何者。在又一實施例中,該前驅物具有(Rʺ)
3-yN−(SiH
2−SiH
3)
y的化學式,其中y及Rʺ可以為本文所述的任何者。
該含矽反應物可以包括二價的二矽烷基團。在一實施例中,該前驅物具有Rʺ
2N−SiRʹ
2−SiRʹ
2−L−NRʺ
2的化學式,其中L、Rʹ及Rʺ可以為本文所述的任何者。在另一實施例中,該前驅物具有Rʺ
2N−SiRʹ
2−SiRʹ
2−NRʺ
2的化學式,其中Rʹ及Rʺ可以為本文所述的任何者。
連結部分L可以存在於二個矽基團之間。在一實施例中,該前驅物具有Rʺ
2N−SiRʹ
2−L−SiRʹ
3或RʺN−(SiRʹ
2−L−SiRʹ
3)
2的化學式,其中L、Rʹ及Rʺ可以為本文所述的任何者。在另一實施例中,該前驅物具有Rʺ
2N−SiRʹ
2−L−SiRʹ
2−NRʺ
2的化學式,其中L、Rʹ及Rʺ可以為本文所述的任何者。在又一實施例中,該前驅物具有(Rʺ)
3-yN−(SiRʹ
2−L−SiH
3)
y的化學式,其中y、L、Rʹ及Rʺ可以為本文所述的任何者。
該含矽反應物可以包括−SiH
3作為矽基團。在一實施例中,該前驅物具有Rʺ
2N−SiH
2−SiH
3的化學式,其中Rʺ可以為本文所述的任何者。在另一實施例中,該前驅物具有(Rʺ)N−(SiH
2−L−SiH
3)
2或(Rʺ)
2N−(SiH
2−L−SiH
3)的化學式,其中L及Rʺ可以為本文所述的任何者。
該含矽反應物可以包括經矽基取代的胺基團,例如-NRʺ-SiRʹ
3,其中Rʹ及Rʺ可以為本文所述的任何者。在一實施例中,該前驅物具有(Rʹ)
4-xSi(NRʺ−SiRʹ
3)
x或(Rʹ)
4-xSi(NH−SiRʹ
3)
x的化學式,其中x為1、2、3或4;Rʹ及Rʺ可以為本文所述的任何者。在另一實施例中,該前驅物具有H
2Si(NRʺ−SiRʹ
3)
3的化學式,其中Rʹ及Rʺ可以為本文所述的任何者。
該含矽反應物可以包括雙(三矽基胺基)基團,例如-N(SiRʹ
3)
2,其中Rʹ可以為本文所述的任何者。在一實施例中,該前驅物具有Rʺ
2N−SiRʹ
2−N(SiRʹ
3)
2的化學式,其中Rʹ及Rʺ可以為本文所述的任何者。在另一實施例中,該前驅物具有Rʺ
2N−SiH
2−N(SiH
3)
2的化學式,其中Rʹ可以為本文所述的任何者。在又一實施例中,該前驅物具有(Rʹ
3Si)
2N−[SiRʹ
2−N(SiRʹ
3)]
z(SiRʹ
3)的化學式,其中z為0、1、2或3;而Rʹ及Rʺ可以為本文所述的任何者。
該含矽反應物可以包括被設置在矽原子與氮原子之間的連結部分L。在一實施例中,該前驅物具有Rʺ
2N−SiRʹ
2−L−NRʺ
2的化學式,其中L、Rʹ及Rʺ可以為本文所述的任何者。
該含矽反應物可以包括被設置在二個氮原子之間的連結部分L。在一實施例中,該前驅物具有Rʹ
3Si−SiRʹ
2−NRʺ−L−NRʺ−SiRʹ
2−SiRʹ
3的化學式,其中L、Rʹ及Rʺ可以為本文所述的任何者。
該連結部分可以包括矽基亞胺基團,例如-N(SiRʹ
3)-,其中Rʹ可以為本文所述的任何者。在一實施例中,該前驅物具有Rʺ
2N−[SiRʹ
2−N(SiRʹ
3)]
z−SiRʹ
3或Rʺ
2N−[N(SiRʹ
3)]
z−SiRʹ
3的化學式,其中z為1、2或3以上;Rʹ及Rʺ可以為本文所述的任何者。
該連結部分可以包括矽基團及亞胺基團二者。在一實施例中,該前驅物具有Rʺ
2N−[SiRʹ
2−NRʺ]
z−SiRʹ
3的化學式,其中z為1、2或3以上;Rʹ及Rʺ可以為本文所述的任何者。
非限制性含矽反應物例如包括二異丙基胺基二矽烷([iPr
2N]-SiH
2-SiH
3)、二(二級丁基)胺基二矽烷([sBu
2N]-SiH
2-SiH
3)、甲基環己基胺基二矽烷([MeCyN]-SiH
2-SiH
3)、甲基苯基胺基二矽烷([MePhN]-SiH
2-SiH
3)、哌啶基二矽烷、3,5-二甲基哌啶基二矽烷、二異丙基胺基三矽基胺([iPr
2N]-SiH
2-N[SiH
3]
2)、二乙基胺基三矽基胺([Et
2N]-SiH
2-N[SiH
3]
2)、異丙基胺基三矽基胺([iPrHN]-SiH
2-N[SiH
3]
2)等。
包括矽及氧的混合胺
含矽反應物可以包括被矽基團所取代的一或更多胺基團,從而提供非限制性的混合胺。在一實施例中,該前驅物具有(Rʺ)
3-yN[Si(OR‴)
xRʹ
3-x]
y的化學式,其中:
x及y各自獨立為1、2或3;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;
各個Rʺ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族或胺基,其中這些基團的任何者可以被任選取代;或者,兩個Rʺ可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基;以及
各個R‴係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、矽基或矽氧基,其中這些基團的任何者可以被任選取代。
Rʹ、Rʺ及R‴的非限制性示例已於本文中描述,例如針對胺基矽烷、矽氧烷、矽基胺或其衍生物所描述的。
該含矽反應物可以包括Rʺ基團及含矽基團的任何組合。在一實施例中,該前驅物具有(Rʺ)
3-yN[Si(OR
Ak)
xR
Ak 3-x]
y或(R
Ak)
3‑yN [Si(OR
Ak)
xR
Ak 3-x]
y的化學式,其中Rʺ、x及y為本文所述的任何者;且其中R
Ak為H、經任選取代脂肪族或經任選取代雜脂肪族。在特定實施例中,R
Ak為H、經任選取代烷基、經任選取代伸烷基或經任選取代炔基。在其他實施例中,該前驅物具有(Rʺ)
3‑yN [Si(OR
Ak)
xH
3-x]
y或(Rʺ)
3-yN[Si(OR
Ak)H(R
Ak)]
y的化學式,其中Rʺ、R
Ak、x及y為本文所述的任何者。
該含矽反應物可以包括二個含矽基團。在一實施例中,該前驅物具有(Rʺ)N[Si(OR
Ak)
xR
Ak 3-x]
2或(R
Ak)N[Si(OR
Ak)
xR
Ak 3-x]
2的化學式,其中Rʺ、R
Ak、x及y為本文所述的任何者。在特定實施例中,x為1或2。
該含矽反應物可以包括與氮原子附接的氫原子。在一實施例中,該前驅物具有(H)
3-yN[Si(OR
Ak)
xR
Ak 3-x]
y、(H)
3-yN[Si(OR
Ak)
xH
3-x]
y或(H)
3-yN[Si(OR
Ak)H(R
Ak)]
y的化學式,其中R
Ak、x及y為本文所述的任何者。在特定實施例中,x為1或2。
非限制性含矽反應物例如包括雙(二甲氧基矽基)胺(NH[Si(OMe)
2H]
2)、雙(二乙氧基矽基)胺(NH[Si(OEt)
2H]
2)、N-異丙基雙(二乙氧基矽基)胺(NiPr[Si(OEt)
2H]
2)、雙(甲氧基甲基矽基)胺(NH[Si(OMe)MeH]
2)、參(二甲氧基矽基)胺(N[Si(OMe)
2H]
3)、參(甲氧基甲基矽基)胺(N[Si(OMe)MeH]
3)、參(二乙氧基矽基)胺(N[Si(OEt)
2H]
3)、參(三甲氧基矽基)胺(N[Si(OMe)
3]
3)等。
環矽氮烷
含矽反應物可以包括具有一或更多氮原子的環狀基團。在一實施例中,該前驅物具有[NRʺ−(SiRʹ
2)
n]
z的化學式,其中:
z為1、2、3、4或5以上;
n為1、2或3;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;以及
各個Rʺ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、胺基、矽基或矽氧基,其中這些基團的任何者可以被任選取代;或者,兩個Rʺ可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基。
在一實施例中,該前驅物具有[NRʺ−(SiRʹ
2)
n−L−(SiRʹ
2)
n]
z的化學式,其中:
z為1、2、3、4或5以上;
各個n係獨立為1、2或3;
各個L係獨立為連結部分,例如共價鍵、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、氧基(-O-)、亞胺基或矽基,以及其組合;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;以及
各個Rʺ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、胺基、矽基或矽氧基,其中這些基團的任何者可以被任選取代;或者,兩個Rʺ可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基。
在另一實施例中,該含矽反應物具有[NRʺ−L−NRʺ−(SiRʹ
2)
n]
z的化學式,其中:z為1、2、3、4或5以上;各個n係獨立為1、2或3;而Rʹ及Rʺ可以為本文所述的任何者。
在又一實施例中,該前驅物具有[L−(SiRʹ
2)
n]
z的化學式,其中:z為1、2、3、4或5以上;各個n係獨立為1、2或3;L為亞胺基(例如,-NR-)、經任選取代脂肪族、經任選取代雜脂肪族或其組合;而Rʹ可以為本文所述的任何者。在特定實施例中,若L不包括雜原子,則Rʹ包括一或更多雜原子(例如,氮原子)。
在一實施例中,該含矽反應物具有
的化學式,其中Rʹ及Rʺ可以為本文所述的任何者,而n為1、2、3或4。
在另一實施例中,該含矽反應物具有
的化學式,其中Rʹ及Rʺ可以為本文所述的任何者,而n為1、2、3或4。
在又一實施例中,該含矽反應物具有
的化學式,其中Rʺ及R‴可以為本文所述的任何者,而n為1、2、3或4。在特定實施例中,各個R‴係獨立為H、脂肪族、雜脂肪族、芳香族、雜脂肪族、矽基或矽氧基,其中這些基團的任何者可以被任選取代。
在一實施例中,該含矽反應物具有
的化學式,其中Rʹ可以包括雜原子(例如,在像是經任選取代的胺基、疊氮基、異氰酸基或經任選取代的肼基中的氮原子),而n為1、2、3或4。
在一些實施例中,L包括經任選取代脂肪族、經任選取代伸烷基、經任選取代伸烯基、經任選取代伸炔基、經任選取代雜脂肪族、經任選取代雜伸烷基、經任選取代雜伸烯基、經任選取代雜伸炔基、經任選取代芳香族、經任選取代伸芳基、經任選取代雜芳香族、經任選取代雜伸芳基、氧基(-O-)、亞胺基(例如,-NR-或‑N(SiR
3)-)或矽基(例如,-SiR
2-),以及其組合(例如,-SiR
2-NR-、-NR-SiR
2-、-SiR
2-NR-SiR
2-等)。在特定實施例中,各個R係獨立為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代雜脂肪族、經任選取代芳香族、經任選取代芳基及經任選取代雜芳香族。
在其他實施例中,L為經任選取代伸烷基,而至少一個Rʹ包括經任選取代雜脂肪族、經任選取代胺基、經任選取代脂肪族-氧基或經任選取代烷氧基。
在一些實施例中,各個Rʹ係獨立為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代芳香族或經任選取代芳基。在其他實施例中,各個Rʹ係獨立為經任選取代雜脂肪族、經任選取代胺基或經任選取代烷氧基。
在其他實施例中,各個Rʺ係獨立為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代矽基、經任選取代胺基、經任選取代芳香族、經任選取代芳基、經任選取代雜芳香族或經任選取代雜芳基。
非限制性含矽反應物包括1,3,3-三甲基環二矽氮烷([NH−SiMe
2][NH−SiMeH])、六甲基環三矽氮烷([NH−SiMe
2]
3)、八甲基環四矽氮烷([NH−SiMe
2]
4)等。
環矽氧烷
含矽反應物可以包括具有一或更多氧原子的環狀基團。在一實施例中,該前驅物具有[L−(SiRʹ
2)
n]
z的化學式,其中:
z為1、2、3、4或5以上;
n為1、2或3;
L為含氧連結部分(例如,氧基或雜伸烷基);以及
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代。
在一實施例中,該含矽反應物具有[O−Lʹ−O−(SiRʹ
2)
n]
z的化學式,其中:
z為1、2、3、4或5以上;
n為1、2或3;
各個Lʹ係獨立為連結部分,例如經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、經任選取代矽基(例如,-SiR
2-)、經任選取代伸烷基(例如,‑(CH
2)
n-,其中n為1至6)及經任選取代伸芳基;以及
Rʹ為本文所述的任何者。
在另一實施例中,該含矽反應物具有[O−(SiRʹ
2)
n−L−(SiRʹ
2)
n]
z的化學式,其中:
z為1、2、3、4或5以上;
各個n係獨立為1、2或3;
各個L係獨立為連結部分,例如共價鍵、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、氧基(-O-)、亞胺基或矽基,以及其組合;以及
Rʹ為本文所述的任何者。
在又一實施例中,該含矽反應物具有[L−(SiRʹ
2)
n]
z的化學式,其中:
z為1、2、3、4或5以上;各個n係獨立為1、2或3;L為氧基(-O-)、經任選取代脂肪族、經任選取代雜脂肪族或其組合;以及Rʹ為本文所述的任何者。在特定實施例中,若L不包括雜原子,則Rʹ包括一或更多雜原子(例如,氧原子)。
在一實施例中,該含矽反應物具有
的化學式,其中Rʹ可以為本文所述的任何者,而n為1、2、3或4。
在另一實施例中,該含矽反應物具有
的化學式,其中Rʹ及Rʺ可以為本文所述的任何者,而n為1、2、3或4。
在又一實施例中,該含矽反應物具有
的化學式,其中R‴可以為本文所述的任何者,而n為1、2、3或4。在特定實施例中,各個R‴係獨立為H、脂肪族、雜脂肪族、芳香族、雜脂肪族、矽基或矽氧基,其中這些基團的任何者可以被任選取代。
在一實施例中,該含矽反應物具有
的化學式,其中Rʹ可以包括雜原子(例如,在像是經任選取代脂肪族-氧基、脂肪族-氧羰基、脂肪族-羰基、脂肪族-羰氧基、經任選取代烷氧基、經任選取代烷氧基羰基、經任選取代烷醯基、經任選取代烷醯基氧基中的氧原子),而n為1、2、3或4。
在一些實施例中,各個Rʹ係獨立為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代胺基烷基、經任選取代芳香族或經任選取代芳基。在其他實施例中,各個Rʹ係獨立為經任選取代雜脂肪族、經任選取代胺基或經任選取代烷氧基。
非限制性含矽反應物係包括例如四甲基環四矽氧烷([OSiHMe]
4或TMCTS)、七甲基環四矽氧烷([OSiMe
2OSiHMe]
2或HMCTS)、八甲基環四矽氧烷([OSiMe
2]
4、C
8H
24O
4Si
4或OMCTS)、十甲基環五矽氧烷([OSiMe
2]
5或C
10H
30O
5Si
5)、2-二甲基胺基-2,4,4,6,6-五甲基環三矽氧烷([OSiMe
2]
2[OSiMe(NMe
2)])、2-二甲基胺基-2,4,4,6,6,8,8-七甲基環四矽氧烷([OSiMe
2]
3[OSiMe(NMe
2)])等。
胺基矽氧烷及其衍生物
含矽反應物可以包括具有一或更多胺基取代基的矽氧烷及其衍生物,從而提供具有一或更多Si-O、O-Si-O或Si-O-Si鍵且具有一或更多-NR
2取代基的矽氧烷及其衍生物。在一實施例中,該前驅物具有(Rʺ)
3-yN[SiRʹ
2−(OSiRʹ
2)
z−Rʹ]
y的化學式,其中:
y為1、2或3;
z為1、2或3以上;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;以及
各個Rʺ係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族或胺基,其中這些基團的任何者可以被任選取代;或者,兩個Rʺ可以任選地與其各自附接的氮原子共同形成經任選取代的雜環基。
在另一實施例中,該含矽反應物具有(Rʺ)
3-yN[(SiRʹ
2−O)
z−SiRʹ
3]
y的化學式,其中Rʹ、Rʺ、y及z可以為本文所述的任何者。
該含矽反應物可以包括經任選取代的胺基團,伴隨著經任選取代的矽基團。在一實施例中,該前驅物具有Rʺ
2N−SiRʹ
2−(OSiRʹ
2)
z−Rʹ或Rʺ
2N−SiRʹ
2−O−SiRʹ
3的化學式,其中Rʹ、Rʺ及z可以為本文所述的任何者。在另一實施例中,該前驅物具有Rʺ
2N(SiRʹ
2−O)
z−SiRʹ
3的化學式,其中Rʹ、Rʺ及z可以為本文所述的任何者。
該含矽反應物可以包括二個經任選取代的胺基團。在一實施例中,該前驅物具有Rʺ
2N−SiRʹ
2−(OSiRʹ
2)
z−NRʺ
2的化學式,其中Rʹ、Rʺ及z可以為本文所述的任何者。
在一些實施例中,Rʹ為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基或經任選取代炔基。在其他實施例中,Rʺ為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基或經任選取代炔基。在特定實施例中,z為1、2或3。
非限制性含矽反應物例如可以包括1-(二甲基胺基)五甲基二矽氧烷(Me
2N−SiMe
2−OSiMe
3)、1-(二乙基胺基)五甲基二矽氧烷(Et
2N−SiMe
2−OSiMe
3)、1-(乙基甲基胺基)五甲基二矽氧烷(Et
2N−SiMe
2−OSiMe
3)、1,3-雙(二甲基胺基)四甲基二矽氧烷(Me
2N−SiMe
2−OSiMe
2−NMe
2)、1-(二甲基胺基)七甲基三矽氧烷(Me
2N−SiMe
2−[OSiMe
2]
2−Me)、1,5-雙(二甲基胺基)六甲基三矽氧烷(Me
2N−SiMe
2−[OSiMe
2]
2−NMe
2)等。
矽醇,包括烷基矽醇或烷氧基矽醇
含矽反應物可以包括一或更多羥基團,從而提供非限制性矽醇。在一實施例中,該前驅物具有(Rʹ)
4‑xSi(OH)
x的化學式,其中:
x為1、2、3或4;以及
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代。
在其他實施例中,該前驅物具有(Rʹ)
zSi(OH)
x(OR‴)
y的化學式,其中:
x為1、2、3或4;
y及z係各自獨立為0、1、2或3;
x+y+z=4;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中這些基團的任何者可以被任選取代;以及
各個R‴係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、矽基或矽氧基,其中這些基團的任何者可以被任選取代。
該含矽反應物可以包括一羥基團。在一實施例中,該前驅物具有(Rʹ)
3Si(OH)的化學式,其中Rʹ係可以各自為本文所述的任何者。在另一實施例中,該前驅物具有Si(OH)(OR‴)
3的化學式,其中R‴係可以各自為本文所述的任何者。在特定實施例中,R‴為經任選取代烷基(例如,Me、Et、nPr、iPr、sBu或tBu),其中該經任選取代烷基係直鏈、分枝、經取代或未經取代的。
非限制性的含矽反應物例如包括三(三級丁氧基)矽醇(SiOH[OtBu]
3)、三(三級戊氧基)矽醇(SiOH[OtPe]
3)等。
羰氧基矽烷
含矽反應物可以包括一或更多經任選取代的脂肪族-羰氧基團,從而提供非限制性的羰氧基矽烷。在一實施例中,該前驅物具有(Rʹ)
4-xSi(OC(O)-R⁗)
x的化學式,其中:
x為1、2、3或4;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰氧基、氰基或異氰基,其中這些基團的任何者可以被任選取代;以及
各個R⁗係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、胺基或胺基氧基,其中這些基團的任何者可以被任選取代。
在另一實施例中,該含矽反應物具有(R⁗-C(O)O)
x(Rʹ)
3‑xSi−L−Si(Rʹ)
3-x(OC(O)-R⁗)
x的化學式,其中:
各個x係獨立為0、1、2或3;
L為連結部分,例如共價鍵、經任選取代脂肪族、經任選取代雜脂肪族、經任選取代芳香族、經任選取代雜芳香族、氧基(-O-)、亞胺基或矽基;
各個Rʹ係獨立為H、脂肪族、脂肪族-羰基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰氧基、氰基或異氰基,其中這些基團的任何者可以被任選取代;以及
各個R⁗係獨立為H、脂肪族、雜脂肪族、芳香族、雜芳香族、胺基或胺基氧基,其中這些基團的任何者可以被任選取代。
在一些實施例中,Rʹ為H、經任選取代胺基(例如,-NR
2)、脂肪族-氧基(例如,烷氧基或-OR)、脂肪族-羰基(例如,烷醯基或‑C(O)R)、脂肪族-氧羰基(例如,烷氧基羰基或‑C(O)OR)、矽基(例如,‑SiR
3)、脂肪族-氧基-矽基(例如,烷氧基矽基或‑Si(R)
a(OR)
b)、胺基矽基(例如,‑Si(R)
a(NR
2)
b)、矽氧基(例如,‑O-SiR
3)、脂肪族-氧基-矽氧基(例如,烷氧基矽氧基或‑O-Si(R)
a(OR)
b)、胺基矽氧基(例如,‑O-Si(R)
a(NR
2)
b)、芳香族(例如,芳基)、芳香族-氧基(例如,芳氧基或-OR)、羥基(-OH)、醛基(-C(O)H)等。在特定實施例中,各個R係獨立為H、經任選取代脂肪族、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代雜脂肪族、經任選取代芳香族、經任選取代芳基及經任選取代雜芳香族;a≥0;b≥1;以及a+b=3。在一些實施例中,兩個R基團可以與其各自附接的氮原子共同形成經任選取代的雜環基。在其他實施例中,各個R係獨立為H、經任選取代烷基、經任選取代烯基、經任選取代炔基或經任選取代芳基。
在一些實施例中,R⁗係為H、經任選取代烷基、經任選取代烯基、經任選取代炔基、經任選取代烷氧基、經任選取代芳氧基、經任選取代胺基或經任選取代胺基氧基。
非限制性的含矽反應物包括化學式為(Rʹ)
2Si(OC(O)-R⁗)
2的含矽反應物,其中Rʹ及R⁗可以為本文所述的任何者。
含鉬前驅物
一般而言,含鉬前驅物可以包括氧化態在0至+6的寬廣範圍中的鉬。在一些實施例中,較佳的是鉬化合物具有處於+3、+4及+5的低氧化態中的鉬。所提供的方法對於從含鹵素的含鉬化合物沉積含鉬材料係特別有用的,因為含矽反應物可以協助鹵素清除;然而,也可以使用不含鹵素的含鉬前驅物。合適的含鉬前驅物包括鉬的鹵化物及鹵氧化物,例如氟化物、氯化物、溴化物、氟氧化物、氯氧化物及溴氧化物,其中鉬可以處於+2至+6之中的任何氧化態。不含鹵素的合適含鉬前驅物的示例包括不含鹵素的有機金屬含鉬前驅物,例如雙(乙基苯)鉬。
為了保持合適的揮發性,在本文所討論的許多實施例中係選擇分子量小於約450 g/mol(例如,小於約400 g/mol)的前驅物。
在一些實施例中,該含鉬前驅物具有MoX
nY
m的化學式,其中X為氧族元素(例如,氧或硫),Y為鹵素(例如,氟、氯、溴或碘),n為0、1或2,而m為2、3、4、5或6。含鹵素的含鉬前驅物的示例包括但不限於MoCl
5、Mo
2Cl
10、MoO
2Cl
2及MoOCl
4。含鹵素的含鉬前驅物的另一示例為MoF
6。
在一些實施例中,該含鉬前驅物包括羰基配位基。含羰基前驅物的示例為Mo(CO)
6。
含鹵化物雜配鉬化合物
在一態樣中,含鹵化物的雜配鉬化合物係被使用作為含鉬膜沉積的前驅物,例如作為鉬金屬沉積的前驅物。在一實施例中,前驅物是一種化合物,其包含鉬、與鉬形成鍵結的至少一鹵化物,以及具有N、O及S元素的其中任何者的至少一有機配位基,其中這些元素的任何者的原子係與鉬形成鍵結。提供氮或氧鍵結的合適有機配位基的示例包括脒鹽、依托咪酯(amidate)、亞胺基吡咯啶鹽、二氮二烯類、β-亞胺基醯胺、α-亞胺基烷氧化物、β-胺基烷氧化物、β-二酮亞胺鹽(beta-diketiminate)、β-酮亞胺鹽、β-二酮化物、胺及吡唑鹽。提供硫鍵結的合適有機配位基的示例包括硫醚、硫醇鹽、二硫烯(dithiolene)、二硫醇鹽及α-亞胺基硫烯。這些配位基可以是經取代或未經取代的。在一些實施例中,這些配位基包括一或多個取代基,所述取代基係獨立選自於H、烷基、氟代烷基、烷基矽基、烷基胺基及烷氧基的取代基所構成的群組。這些有機配位基可以是中性的或陰離子性的(例如,單陰離子性或雙陰離子性),而鉬可以處於各種氧化態,例如+1、+2、+3、+4、+5及+6。
合適的示例性含N及/或O有機配位基1-17的結構係如圖4所顯示,而合適的示例性含S有機配位基18-26的結構係如圖5所顯示,其中各個R係獨立地選自於H、烷基 、氟代烷基、烷基矽基、烷基胺基及烷氧基。在一些實施例中,各個R係獨立地選自於H、烷基及氟代烷基。在一些實施例中,各個R係獨立地選自於H、甲基、乙基、正丙基、異丙基、異丁基、正丁基、二級丁基、三級丁基、戊基、己基、環丙基、環丁基、環戊基、環己基、環丙基甲基、環丙基乙基 、環丙基丙基、環丁基甲基及環丁基乙基。在一些實施例中,各個R係經獨立選擇的烷基。在一些實施例中,具有分枝烷基取代基(例如,異丙基及異丁基)的配位基係較佳的,因為這樣的配位基提供較具揮發性的鉬前驅物。
在一些實施例中,前驅物中的至少一有機配位基為胺。合適的胺包括單牙胺(例如,單烷基胺、二烷基胺)、雙牙胺(例如,未經取代或經N-烷基取代的乙二胺),以及更高牙數的胺(例如,經取代或未經取代的二乙烯三胺)。單牙胺的示例為圖1所顯示的胺1,其中至少一個R是烷基或氟代烷基,且各個R係獨立地選自H、烷基及氟代烷基所構成的群組。在一些實施例中,至少一個R為烷基,且各個R係獨立地選自於H及烷基。在一些實施例中,該至少一有機配位基為醯胺,例如單陰離子醯胺16,其中至少一個R為烷基或氟代烷基,且各個R係獨立地選自於H、烷基及氟代烷基。在一些實施例中,該至少一有機配位基為醯亞胺,例如雙陰離子醯亞胺17,其中R為烷基或氟代烷基。雖然含醯亞胺的前驅物通常可以用於沉積各種含鉬膜(包括鉬金屬),但在一些實施例中它們適合更用於沉積鉬氮化物及鉬碳氮化物,因為它們會形成強的鉬-氮鍵,並且可以作為所製得的膜的氮來源。在一些實施例中,前驅物中的至少一種有機配位基為脒鹽。脒鹽的示例為圖4中顯示的脒鹽2,其中各個R係獨立地選自於H、烷基及氟代烷基。脒鹽2為單陰離子配位基,其可以形成二個鉬-氮鍵,而作為雙牙配位基。
在一些實施例中,前驅物中的至少一有機配位基為依托咪酯。依托咪酯的示例為圖4中顯示的依托咪酯3,其中各個R係獨立地選自於H、烷基及氟代烷基。依托咪酯3為單陰離子配位基,其可以形成一個鉬-氮鍵及一個鉬-氧鍵,而作為雙牙配位基。
在一些實施例中,前驅物中的至少一有機配位基為二氮二烯類。二氮二烯的示例為1,4-二氮1,3-丁二烯類(DAD)5、6及7,其中各個R係獨立地選自於H、烷基及氟代烷基。此配位基所關注的性質在於其可以存在於中性形式5、單陰離子自由基形式6及雙陰離子形式7。由於單陰離子(自由基)形式6的氧化還原活性,在沉積期間可以相對容易地將其去除,使得DAD 6的錯合物特別適合用於沉積鉬金屬及高純度的鉬金屬。DAD配位基5、6及7可以作為雙牙配位基,各自形成兩個鉬-氮鍵。在一些實施例中,鉬前驅物包括DAD配位基5、6或7作為有機配位基,其中各個R係獨立地選自於甲基、乙基、丙基、異丙基、正丁基、異丁基、二級丁基及三級丁基。
在一些實施例中,該至少一有機前驅物為亞胺基吡咯啶鹽(例如,亞胺基吡咯啶鹽4,其中各個R係獨立地選自於H、烷基及氟代烷基)、β-亞胺基醯胺(例如,β-亞胺基醯胺8,其中各個R係獨立地選自於H、烷基及氟代烷基)、α-亞胺基烷氧化物(例如,α-亞胺基烷氧化物9,其中各個R係獨立地選自於H、烷基及氟代烷基)、β-二酮亞胺鹽(例如,β-二酮亞胺鹽10,其中各個R係獨立地選自於H、烷基及氟代烷基)、β-酮亞胺鹽(例如,β-酮亞胺鹽11,其中各個R係獨立地選自於H、烷基及氟代烷基)、β-二酮化物(例如,β-二酮化物12,其中各個R係獨立地選自於H、烷基及氟代烷基)、吡唑鹽(例如,吡唑鹽13,其中各個R係獨立地選自於H、烷基及氟代烷基)、β-胺基烷氧化物(例如,β-胺基烷氧化物14,其中各個R係獨立地選自於H、烷基及氟代烷基)或胍鹽(guadinidate,例如,胍鹽15,其中各個R係獨立地選自於H、烷基及氟代烷基)。這些都是能夠以雙牙配位方式與鉬接合的單陰離子配位基。
在一些實施例中,該至少一有機前驅物為含硫配位基,其能夠形成鉬-硫鍵。在一些實施例中,該前驅物中的至少一有機配位基為硫醚。本文中廣泛使用的術語「硫醚」係包括單牙及多牙(例如,雙牙或三牙)硫醚,以及含有硫醚及硫醇鹽(或其他)部分的配位基。單牙硫醚的示例為二烷基硫化物R
2S,其中各個R為烷基,所述二烷基硫化物R
2S例如為二甲基硫醚、二乙基硫醚、二異丁基硫醚等。還包括硫醇鹽部分的多牙硫醚配位基的示例為(SCH
2CH
2SCH
2CH
2S)
2-。單牙硫醚的示例為圖5所顯示的硫醚18,各個R係獨立地選自於烷基及氟代烷基所構成的群組。在一些實施例中,各個R係獨立地選自於甲基、乙基、正丙基、異丙基、正丁基、異丁基、二級丁基及三級丁基所構成的群組。在一些實施例中,該至少一有機配位基為硫醇鹽,例如單陰離子硫醇鹽19,其中R為烷基或氟代烷基。舉例而言,R可以是甲基、乙基、正丙基、異丙基、正丁基、異丁基、二級丁基或三級丁基。在一些實施例中,該硫醇鹽為二硫醇鹽,例如雙陰離子α-二硫醇鹽24(其中各個R係獨立地選自於H、烷基及氟代烷基)或雙陰離子β-二硫醇鹽25(其中各個R係獨立地選自於H、烷基及氟代烷基)。二硫醇鹽能夠與鉬形成二個鉬-硫鍵。
在一些實施例中,該前驅物中的至少一有機配位基為二硫烯。二硫烯的示例為結構20、21及22,其中各個R係獨立地選自於H、烷基及氟代烷基。此配位基(類似於DAD)可以存在於中性形式20、單陰離子自由基形式21及雙陰離子形式22。由於單陰離子自由基形式21的氧化還原活性,在鉬前驅物的沉積及還原期間可以相對容易地將其去除,使得二硫烯21的錯合物特別適合用於沉積鉬金屬及高純度的鉬金屬。二硫烯配位基20、21及22可以作為雙牙配位基,各自能夠形成兩個鉬-硫鍵。在一些實施例中,鉬前驅物包括二硫烯配位基20、21及/或22作為有機配位基,其中各個R係獨立地選自於甲基、乙基、丙基、異丙基、正丁基、二級丁基、異丁基及三級丁基。
在一些實施例中,該前驅物中的至少一有機配位基為α-亞胺基硫烯,例如結構23,其中各個R係獨立地選自於H、烷基及氟代烷基。在一些實施例中,碳原子處的各個R取代基係獨立選自於H、烷基、氟代烷基、烷基矽基、烷基胺基及烷氧基的取代基,而氮原子處的R取代基係獨立選自於烷基及氟代烷基。在一些實施例中,位於氮處的R取代基係獨立地選自於甲基、乙基、丙基、異丙基、正丁基、二級丁基、異丁基及三級丁基。此配位基(類似於DAD及二硫烯)具有單陰離子自由基形式,如結構23所顯示,其具有氧化還原活性且能夠在還原處理期間被容易去除。
在一些實施例中,在前驅物為具有化學式Mo(X)
m(L)
n的化合物,其中m係選自於1~4,n係選自於1~3,各個X係獨立選自於F、Cl、Br及I的鹵化物,而各個L為上述的有機配位基,例如獨立選自於脒鹽、依托咪酯、亞胺基吡咯啶鹽、二氮二烯類、β-亞胺基醯胺、α-亞胺基烷氧化物、β-胺基烷氧化物、β-二酮亞胺鹽、β-酮亞胺鹽、β-二酮化物、胺及吡唑鹽、硫醚、硫醇鹽、二硫烯、二硫醇鹽及α-亞胺基硫烯的配位基。在一些實施例中,經提名的配位基中的各個R係獨立選自於H、烷基及氟代烷基。
在一些實施例中,L為雙牙配位基。圖6中顯示有運用雙牙配位基且化學式為Mo(L)Cl
4的合適含鉬前驅物的示例。這些含鉬前驅物為Mo(V)化合物,且包括脒鹽鉬錯合物27、DAD錯合物28、β-二酮亞胺鹽錯合物29、吡唑鹽錯合物30、依托咪酯錯合物31、β-亞胺基醯胺錯合物32、β-酮亞胺鹽錯合物33、β-胺基烷氧化物錯合物34、亞胺基吡咯啶鹽錯合物35、α-亞胺基烷氧化物錯合物36及β-二酮亞胺鹽錯合物37。
可以藉由使用鉬鹵化物起始材料與包含中性或陰離子形式的有機配位基的化合物之間的反應,從而合成具有本文所述的鉬-鹵化物鍵及有機配位基的雜配錯合物。舉例而言,可以使用MoCl
5作為起始材料來製備鉬(V)前驅物。可以使用MoX
3(THF)
3作為起始材料來製備Mo(III)前驅物,其中X係選自於氯化物、溴化物及碘化物,而THF為四氫呋喃。可以利用中性或陰離子形式的配位基(例如,鹽,像是鋰鹽或鈉鹽)來處理起始材料,從而形成本文所述的雜配錯合物。
含有本文所述的鉬-鹵化物鍵及有機配位基的雜配鉬化合物可以有利地在本文所提供的CVD類型及ALD類型的沉積方法中提供高純度鉬金屬。此外,這些化合物的使用可能係會與減少基板材料的蝕刻(與習知的均配鉬鹵化物相比)有關。這些優點是針對說明目的而進行描述,而不是使這些化合物的使用限於鉬金屬沉積,或是限於在對蝕刻敏感的基板上的沉積。
在一些實施例中,在對氟敏感的材料(例如,含矽材料)上進行沉積時係選擇不含氟的前驅物,例如包括Cl、Br及I 中的任何者作為錯合物中的鹵化物。此外,在這些實施例中可以避免使用具有氟代烷基取代基的化合物。
含硫的鉬化合物
在一態樣中,含硫的鉬化合物係被使用作為含鉬膜沉積的含鉬前驅物,例如作為鉬金屬及鉬矽化物沉積的含鉬前驅物。在一些實施例中,鉬化合物包括鉬,以及提供鉬-硫鍵結的至少一含硫配位基。基於含硫配位基的鉬前驅物可以被使用於沉積實質不含雜質的含鉬膜,因為硫雜質比起氧、碳及氮雜質係較易去除的。在一些實施例中,該鉬化合物不包括鉬-碳鍵及/或不包括鉬-氧雙鍵。在一些實施例中,該鉬化合物不包括鉬-氮雙鍵。在一些實施例中,在所提供的鉬前驅物中,鉬僅與硫原子形成鍵結。
提供硫鍵結的合適含硫配位基的示例包括硫醚、硫醇鹽、二硫烯、二硫醇鹽、硫代胺基甲酸酯及α-亞胺基硫烯。在一些實施例中,這些配位基包括一或多個取代基,所述取代基係獨立選自於H、烷基、氟代烷基、烷基矽基、烷基胺基及烷氧基的取代基所構成的群組。這些有機配位基可以是中性的或陰離子性的(例如,單陰離子性或雙陰離子性),而鉬可以處於各種氧化態,例如0、+1、+2、+3、+4、+5及+6。
在一些實施例中,含硫配位基為圖5所顯示的配位基18~25,其中R取代基係如前述的取代基。合適的鉬前驅物示例包括硫醇鹽鉬Mo(SR)
4,其中R為烷基,例如甲基、乙基、丙基、丁基。在一具體示例中,該前驅物為肆(三級丁基硫醇鹽)鉬(IV):Mo(SR)
4,其中R為三級丁基。合適的鉬前驅物的另一示例為硫代胺基甲酸酯鉬,例如肆(二乙基二硫代胺基甲酸酯)鉬(IV):
其中各個R係獨立選自於烷基(例如,乙基、甲基、丙基、丁基)及氟代烷基(例如,CF
3)。在一具體示例中,該前驅物為肆(二乙基二硫代胺基甲酸酯)鉬(IV)。
在一些實施例中,提供的是鉬的二硫烯錯合物,其中二硫烯可以處於中性形式20、陰離子-自由基形式21及雙陰離子形式22,其中各個R係獨立為H、烷基或氟代烷基。
二硫烯錯合物具有氧化還原活性,並且可以配合各種氧化態的鉬。二硫烯配位基20、21及22的氧化還原反應係顯示於反應式1:
在一實行例中,該前驅物為Mo(21)
3,其中21中的各個R係獨立選自於H、烷基及氟代烷基。舉例而言,R可以為甲基、乙基、CF
3等。此為僅含有鉬-硫鍵的均配Mo(III)化合物。
在一些實施例中,除了硫鍵結之外,這些配位基還可以提供氮鍵結。這樣的配位基的一個示例為α-亞胺基硫烯23,其係可以表現出與硫烯類似的行為的具有氧化還原活性的自由基陰離子配位基。在一些實施例中,該前驅物為Mo(III)化合物:Mo(23)
3,其中23中的各個R係獨立選自於H、烷基及氟代烷基。
在一些實施例中,該前驅物為MoL
n化合物,其中n係從2至6,L為含硫配位基,例如本文所述的含硫配位基的任何者。在一些實施例中,各個L為相同的含硫配位基。在其他實施例中,該前驅物可以包括不同的含硫配位基L。前驅物的示例包括Mo(19)
2、Mo(19)
3、Mo(19)
4、Mo(19)
5、Mo(19)
6、Mo(19)
2(18)
2、Mo(19)
3(18) 、Mo(19)
4(18)
2、Mo(21)
3、Mo(20)(21)
2、Mo(22)
3、Mo(21)(22)
2、Mo(20)(22)
2、Mo(23)
3、Mo(24)
3、Mo(25)
3。可以藉由鉬鹵化物起始材料與包含中性或陰離子形式的有機含硫配位基的化合物的反應而合成本文所述的含硫的鉬化合物。舉例而言,可以使用MoCl
5作為起始材料來製備鉬(V)前驅物。Mo(III)或Mo(IV)前驅物可以藉由使用相應的鹵化物或MoX
3(L)
3或MoX
4(L)
2作為起始材料而製備,其中X係選自於氯化物、溴化物及碘化物,而L為中性的路易斯鹼,例如四氫呋喃或乙醚。可以利用中性或陰離子形式的所需含硫配位基(例如,鹽,像是鋰鹽或鈉鹽)來處理起始材料,從而形成本文所述的含硫錯合物。
在一示例中,Mo(IV)硫醇鹽錯合物係藉由將四氯化鉬與鋰硫醇鹽進行反應而加以製備。舉例而言,可以將MoCl
4與
t-BuSLi在溶劑1,2-二甲氧基乙烷中進行反應,以形成Mo(
t-BuS)
4化合物。
α-亞胺基硫烯配位基可以從相應的α-亞胺基酮,藉由合適的反應試劑(例如,勞森試劑,Lawesson's reagent)進行硫化反應(thionation)而加以製備。接著,α-亞胺基硫烯的自由基陰離子形式可以透過鹼金屬(例如,鋰)的處理而加以製備。所得到的配位基及配位基鹽可以與鉬鹵化物進行反應,從而形成含α-亞胺基硫烯的鉬化合物。
鉬錯合物還可以藉由使用鉬的氧化態為0的化合物(例如,六羰基鉬)而加以製備。可以利用中性配位基(例如,硫醚或二烷基硫化物)對起始材料進行處理,以引發氧化還原中性配位基交換。亦可以利用例如雙(二乙基硫代胺甲醯基)二硫化物或雙(三氟甲基)-1,2-二硫雜環丁烯(dithiete)的配位基前驅物對0價的起始材料進行處理,從而引發氧化加成反應且形成本文所述的含硫錯合物。
這些反應可以在各種非質子溶劑中進行。舉例而言,該反應可以在醚溶劑(例如,四氫呋喃、2-甲基四氫呋喃、二乙醚、甲基-三級丁基醚、1,2-二甲氧基乙烷)中、在烴溶劑(例如,甲苯、苯、庚烷、己烷、 戊烷)中,或鹵代烴溶劑(例如,氯苯、二氯苯、氟苯、二氟苯、二氯甲烷、氯仿等)中進行。取決於溶劑的沸點及產物的溶解度,這些反應可以在寬廣的溫度範圍內進行。在一些實施例中,起始材料、反應中間體及所欲的產物對於水氣及氧氣是不穩定的。因此,反應處理應該在無水且無空氣的條件下,並且使用具保護性的惰性氣體(例如,氮或氬)而進行。
含 1,4- 二氮丁二烯( DAD )前驅物
另一態樣中,提供含DAD的含鉬前驅物。DAD得以中性形式5、自由基-陰離子形式6及雙陰離子形式7而與鉬接合。在一些實施例中,提供化學式為Mo(DAD)m的均配DAD錯合物,其中m係從1至3,而各個DAD係獨立選擇自中性DAD 5、自由基-陰離子DAD 6及雙陰離子DAD 7。這些錯合物中的鉬的氧化態可以從0至+6。合適的均配DAD錯合物的非限制性示例包括參(DAD)Mo(III)前驅物Mo(6)
3、雙(DAD)Mo(IV)前驅物Mo(7)
2、雙(DAD)Mo(III)前驅物Mo(6)(7)及雙(DAD)Mo(II)前驅物Mo(6)
2。
在一些實施例中,均配DAD錯合物係透過使用鉬鹵化物與處於所需電子組態中的DAD配位基來源之間的反應而加以製備。舉例而言,參(DAD)Mo(III)前驅物Mo(6)
3可以藉由將MoCl
3與三當量的自由基陰離子形式的DAD配位基進行反應而合成,其中該自由基陰離子形式的DAD配位基可以藉由在溶劑(例如,THF)中利用鹼金屬(例如,鋰)對中性形式的DAD配位基進行處理而加以製備,如反應式2所顯示:
(反應式2)
在一些實施例中,提供雜配的含DAD的鉬化合物。在一些實行例中,前驅物包括鉬、與鉬接合的至少一DAD配位基,以及至少一第二配位基,其中該DAD可以是中性DAD 6、自由基陰離子DAD 7或雙陰離子DAD 8,而該第二配位基係獨立選自於陰離子配位基及中性配位基。在一些實施例中,該前驅物不包含CO配體作為唯一的第二配位基。在一些實施例中,該前驅物為Mo(DAD)
m(L)
n(X)
p,其中 L為中性的路易斯鹼配位基,且各個L係獨立選自於CO、胺、膦、硫醚、腈及異腈,而X為陰離子配位基,且各個X係獨立選自於鹵化物、烷基、烯丙基及環戊二烯基,而m為1~3,n為0~4,而p為0~4。腈為RCN化合物,其中R為烷基。異腈為RNC化合物,其中R為烷基。其他合適的陰離子配位基包括烷氧化物、醯胺、醯亞胺,以及包含從C、N、O、B、S、Si、Al及P選擇的予體原子(donor atom)的任何其他陰離子配位基。
雜配的含DAD前驅物的示例包括但不限於Mo(7)
2(RCN)Cl、Mo(7)
2(RNC)Cl、Mo(8)(CO)
3、Mo(6)(13)Cl、Mo(6)(18)Cl
2、Mo(6)
2Cl、Mo(6)
2(14)、Mo(6)
2(19)、Mo(6)
2(24)。
雜配的含DAD前驅物可以藉由一鍋(one pot)或複數步驟的依序鹽歧化反應(salt metathesis reaction)而加以製備。可以利用陰離子形式的DAD配位基或其他陰離子配位基來處理鉬鹵化物起始材料,例如Mo(V)、Mo(IV)或Mo(III)鹵化物。可以使用熱處理或光激發使中性的路易斯鹼配位基進行交換。
也可以使用0價的鉬起始材料(例如,六羰基鉬)來製備雜配的含DAD前驅物,其中0價的鉬起始材料可以與具有氧化還原活性的配位基(例如,DAD配位基)進行氧化加成反應。
在一些實施例中,含有自由基陰離子DAD配位基8的前驅物係特別適合用於鉬金屬及高純度鉬金屬的沉積。在自由基陰離子形式7中,DAD配位基係與鉬的空d軌道電子耦合,並且被認為是作為將鉬離子還原為零價金屬態的電子來源。在進行配位基至金屬的電子轉移後,可以將具揮發性的中性DAD配位基6從鉬金屬生長表面吹除。由於可以無損地將DAD配位基從該生長表面去除,因此與其他金屬有機前驅物相比,在使用DAD前驅物時會減少雜質元素(例如,C及N)的摻入。因此,含有自由基陰離子DAD配位基的鉬前驅物可以用於在低溫下沉積高純度鉬金屬。
二鉬前驅物
在另一態樣中,用於沉積含鉬膜的前驅物係含有鉬-鉬鍵(例如,多重鉬-鉬鍵,例如雙鍵;或是鍵級為2~5的任何多重鍵)的二鉬化合物。這樣的前驅物特別適用於鉬金屬及高純度鉬金屬的沉積,因為欲將這樣的化合物還原為金屬鉬比起許多單核鉬化合物係較容易的。
在一些實施例中,提供的是用於沉積含鉬膜的前驅物,其中該前驅物為Mo
2L
n,其中各個L係獨立選自於依托咪酯、脒鹽及胍鹽配位基,n為2~5,且該前驅物包括多重鉬-鉬鍵。在一些實施例中,各個L係獨立地選自於脒鹽配位基2、依托咪酯配位基3及胍鹽配位基15,其中在該脒鹽、依托咪酯及胍鹽中的各個R係獨立選自於H、烷基、氟代烷基、烷基矽基、烷基胺基及烷氧基的取代基。在一些實施例中,各個R係獨立選自於H、烷基及氟代烷基。在一些實施例中,各個L為脒鹽,而該前驅物具有化學式Mo
2(L)
3或Mo
2(L)
4。在一些實施例中,各個L為脒鹽,而該前驅物具有化學式Mo
2(L)
3或Mo
2(L)
4。在一些實施例中,各個L為胍鹽,而該前驅物具有化學式Mo
2(L)
3或Mo
2(L)
4。在這些錯合物中,鉬具有低氧化態2+(在Mo
2(L)
3中)及3+(在Mo
2(L)
4中),使得這些錯合物特別適合被輕易還原為鉬金屬。
結構38顯示出具有四重鉬-鉬鍵的依托咪酯翼輪形(paddlewheel)二Mo(II)前驅物的一種示例性結構:
Mo(II)依托咪酯前驅物
在一些實施例中,R及R’係各自獨立選自於烷基,例如甲基、乙基、異丙基及三級丁基。在一些實施例中,38中的其中一、二、三或四個依托咪酯配位基可以被脒鹽或胍鹽配位基取代。
可以使用四乙酸二鉬作為起始材料,並利用如依托咪酯鋰的配位基鹽進行處理,從而合成本文所述的二鉬前驅物。
鈷前驅物
鈷金屬可以藉由使用各種鈷前驅物而進行沉積,其中鈷可以處於+1、+2或+3的氧化態。鈷前驅物的示例包括乙酸鈷、乙醯丙酮鈷[例如,雙(乙醯丙酮)鈷(III)]、脒鹽鈷[例如,雙(N-三級丁基-N’-乙基丙脒基)鈷(II)]、二茂鈷,以及含羰基鈷前驅物(例如,三羰基亞硝醯基鈷,以及二羰基環戊二烯鈷)。含鹵素鈷前驅物的示例為CoCl
2(TMEDA),其中TMEDA為
N,
N,
N′,
N′-四甲基乙二胺。
釕前驅物
釕金屬可以例如藉由使用可蒸發的釕前驅物,例如雙(乙基環戊二烯基)釕(II)、雙(五甲基環戊二烯基)釕、二茂釕及環戊二烯基-丙基環戊二烯基釕(II)而進行沉積。
鎢前驅物
鎢可以藉由使用各種揮發性前驅物而進行沉積。在一些實施例中,所使用的是含鹵素的鎢前驅物,例如WHal
x,其中Hal為鹵素(例如,F、Cl、Br及/或I),而x係從2至6。在一些實施例中,使用的是鎢氯化物。鎢氯化物包括五氯化鎢(WCl
5)、六氯化鎢(WCl
6)、四氯化鎢(WCl
4)、二氯化鎢(WCl
2)及其混合物。在其他示例中,可以使用鎢氟化物,例如六氟化鎢。
還原劑
許多還原劑可以被用於沉積本文提供的含鉬膜或其他含金屬膜。在一些實施例中,還原劑係經過選擇,使其能夠將含鉬前驅物還原為鉬金屬,或將任何其他金屬前驅物還原為零氧化態的金屬。在一些實施例中,可以透過含矽反應物進行含鉬前驅物(或其他金屬前驅物)的部分還原,而還原劑的功能係將經部分還原的含鉬前驅物還原為鉬金屬(或將其他金屬前驅物還原為金屬)。舉例而言,含矽反應物可以還原Mo(V)前驅物,例如從MoCl
5至Mo(IV)或Mo(III)狀態,例如MoCl
4或MoCl
3。接著,還原劑會將這些經部分還原的前驅物進一步還原為鉬金屬。用於形成鉬金屬的合適反應物的示例包括氫(H
2)、氨(NH
3)、聯胺(N
2H
4)、胺、二硼烷(B
2H
6)、矽烷(SiH
4)、二矽烷(Si
2H
6)、醇、氫硫化物(H
2S)、硫醇及其組合。在一些實施例中,該還原劑為氫。應注意的是,當還原劑為含矽化合物(例如,矽烷)時,該含矽反應物仍可能會被用於表面改質。舉例而言,沉積處理可能會涉及將基板暴露於含矽反應物一段時間(例如,至少10秒或至少15秒),從而對包含暴露金屬層及暴露介電質層的基板表面進行改質;接著,將該基板暴露於含矽還原劑及含鉬前驅物。在一些實施例中,含矽反應物及含矽還原劑係不同的。
設備
本文所述的沉積方法可以在各種設備中執行。合適的設備包括處理腔室,具有用於引進一或更多反應物的一或更多輸入口;基板固持件,位於該處理腔室中且配置以在沉積期間將基板固持在原地;以及任選的電漿生成機構,配置以在處理氣體中生成電漿。該設備可以包括控制器,該控制器具有程式指令,以驅使本文所述的任何方法步驟的執行。本文所述的沉積方法可以在從Lam Research Corp. of Fremont, CA取得的相應ALD及CVD設備(例如,Altus
®、Vector
®及Striker
®工具)中執行。
舉例而言,在一些實施例中,該設備包括具有程式指令的控制器,其中該程式指令包括複數指令,用以:使半導體基板在介於約100℃與約500℃之間的溫度下,以本文所述的任何處理次序暴露於含矽反應物、含鉬前驅物及還原劑,以沉積鉬金屬及/或鉬矽化物。該控制器可以包括使本文所述的任何方法進行所用的程式指令。
圖7顯示出適合使用所提供的方法來沉積含鉬膜的沉積設備的示例。圖7示意性顯示處理站700的實施例,該處理站700可使用原子層沉積(ALD)及/或化學氣相沉積(CVD)以沉積材料,其中ALD及CVD的任一者係可經電漿增強的。為簡潔起見,處理站700係被繪示成獨立的處理站,其具有用於維持低壓環境的處理腔室本體702。然而,將能理解的是,可將複數處理站700包括於公共處理工具環境中。此外,將能理解的是,在一些實施例中,處理站700的一或更多硬體參數(包括本文詳細討論的那些)係可藉由一或更多電腦控制器而以編程方式進行調整。
處理站700係與反應物輸送系統701流體連通,用於將處理氣體輸送至分配噴淋頭706。反應物輸送系統701係包括混合容器704,用於將處理氣體進行混合及/或調合以輸送至噴淋頭706。一或更多混合容器入口閥720可以控制處理氣體往混合容器704的引進。類似地,噴淋頭入口閥705可以控制處理氣體往噴淋頭706的引進。
某些含鉬前驅物得以固體或液體形式儲存,並在汽化後接著輸送至處理站。舉例而言,圖7的實施例包括汽化點703,用於將待供應至混合容器704的固體反應物進行汽化。在一些實施例中,汽化點703可為加熱式汽化器。在一些實施例中,在次大氣壓(sub-atmosphere)下將惰性氣體流通過經加熱的固體鉬前驅物,或是將惰性氣體流鼓泡(bubble)通過經加熱的液體鉬前驅物,以將前驅物蒸氣攜帶至該處理腔室。產生自此汽化器的反應物蒸汽可能會在下游輸送管路中冷凝。將不相容氣體暴露至經冷凝反應物可能會產生小微粒。這些小微粒可能會使管路堵塞、妨礙閥操作、使基板汙染等。解決這些問題的一些方法涉及將該輸送管路進行掃除及/或抽空,以移除殘留的反應物。然而,將該輸送管路進行掃除可能會增加處理站的循環時間而使處理站產量降低。因此,在一些實施例中,汽化點703下游的輸送管路可為經熱追蹤的。在一些示例中,混合容器704亦可為經熱追蹤的。在一非限制性示例中,汽化點703下游的管路具有從大約100°C延伸至混合容器704處大約200°C的上升溫度輪廓。
噴淋頭706將處理氣體分佈朝向基板712。在圖7中顯示的實施例中,基板712係位於噴淋頭706下方,並顯示位在基座708上。將能理解的是,噴淋頭706可以具有任何合適的形狀,並可以具有任何合適的埠口數量及配置以將處理氣體分佈至基板712。雖然未明確顯示,但在一些實施例中,噴淋頭706為雙氣室噴淋頭,其包括至少二類型的導管,其中第一類型的導管係專用於輸送含鉬前驅物的蒸氣,而第二類型的導管係專用於輸送第二(或其他)反應物。在這些實施例中,含鉬前驅物及反應物不被允許在進入該處理腔室之前於導管中混合,且若被連續輸送至該腔室時不會共享導管。
在一些實施例中,微容積707係位於噴淋頭706下方。在微容積中而並非處理站的整體容積中進行ALD及/或CVD處理可以減低反應物暴露及掃除次數,可以減低處理條件(例如,壓力、溫度等)的調整次數,可以限制處理站機器人對於處理氣體的暴露等。示例性的微容積尺寸包括但不限於0.1公升與2公升之間的容積。這樣的微容積亦會對生產量造成影響。由於每一循環的沉積速率下降,故循環時間亦同時降低。在某些情況下,後者的效應係顯著的,足以使對於給定目標膜厚度的模組的整體生產量得到改善。
在一些實施例中,可將基座708升起或降下,以將基板712暴露至微容積707及/或以改變微容積707的容量。舉例而言,在基板轉移階段,可將基座708降下以允許將基板712裝載於基座708上。在沉積處理階段期間,可將基座708升起以將基板712定位在該微容積707內。在一些實施例中,微容積707可完全包圍著基板712及基座708的部分,從而在沉積處理期間產生高流量阻抗區域。
任選地,可在沉積處理的部分期間將基座708降下及/或升起,以調節微容積707內的處理壓力、反應物濃度等。在沉積處理期間將處理腔室本體702維持在基本壓力的一方案中,使基座708降下可允許將微容積707抽空。微容積對於處理腔室容積的示例性比率包括但不限於1:700與1:10之間的容積比率。將能理解的是,在一些實施例中,可藉由合適的電腦控制器以編程方式調整基座高度。
雖然本文所述的示例性微容積變更例係關於可調整高度的基座,但將能理解的是,在一些實施例中,可調整噴淋頭706相對於基座708的位置以改變微容積707的容量。此外,將能理解的是,可藉由本揭露範圍內的任何合適機制以變更基座708及/或噴淋頭706的垂直位置。在一些實施例中,基座708可包括轉動軸,用於轉動該基板712的位向。將能理解的是,在一些實施例中,可藉由一或更多合適的電腦控制器以編程方式執行這些示例性調整的一或更多者。
請回到圖7中顯示的實施例,噴淋頭706及基座708係與RF電源714及匹配網路716電性連通,從而為電漿供電。在其他實施例中係使用不具備電漿產生器的設備,從而使用所提供的方法沉積含鉬膜。在一些實施例中,可藉由控制處理站壓力、氣體濃度、RF來源功率、RF來源頻率及電漿功率脈衝時間的其中一或更多者而控制電漿能量。舉例而言,可在任何合適功率操作RF電源714及匹配網路716,以形成具有所欲自由基物種組成的電漿。同樣地,RF電源714可提供任何合適頻率的RF功率。在一些實施例中,可將RF電源714配置以彼此獨立地控制高頻率RF功率源及低頻率RF功率源。示例性低頻率RF頻率可包括但不限於介於50 kHz與700 kHz之間的頻率。示例性高頻率RF頻率可包括但不限於介於1.8 MHz與2.45 GHz之間的頻率。將能理解的是,可以間斷地或連續地調整任何合適的參數以提供表面反應所用的電漿能量。在一非限制性示例中,可將電漿功率間歇地進行脈衝,以相對於連續供電的電漿而減低對於基板表面的離子轟擊。
在一些實施例中,可藉由一或更多電漿監測器而在原位監測電漿。在一方案中,可藉由一或更多電壓、電流感測器(例如,VI探針)而監測電漿功率。在另一方案中,可藉由一或更多光學發射光譜(OES)感測器而測量電漿密度及/或處理氣體濃度。在一些實施例中,可基於得自此種原位電漿監測器的量測值而以編程方式調整一或更多電漿參數。舉例而言,可將OES感測器用於回饋迴路中,以提供對於電漿功率的編程控制。將能理解的是,在一些實施例中,可使用其他監測器以監測電漿及其他處理特性。此種監測器可包括但不限於紅外線(IR)監測器、聲學監測器及壓力轉換器。
在一些實施例中,可經由輸入/輸出控制(IOC)序列指令而控制電漿。在一示例中,設定電漿處理階段所用的電漿條件的指令可被包括在沉積處理配方的相應電漿活化配方階段中。在一些情況下,可將處理配方階段依序編排,使得用於沉積處理階段的所有指令係與該處理階段同時執行。在一些實施例中,用於設定一或更多電漿參數的指令可被包括在電漿處理階段之前的配方階段中。舉例而言,第一配方階段可包括用於設定惰性氣體及/或反應物氣體的流率的指令、用於將電漿產生器設定至功率設定點的指令,以及用於該第一配方階段的時間延遲指令。後續的第二配方階段可包括用於啟動電漿產生器的指令,以及用於該第二配方階段的時間延遲指令。第三配方階段可包括用於停用電漿產生器的指令,以及用於該第三配方階段的時間延遲指令。將能理解的是,這些配方階段可在本揭露的範圍內以任何合適的方式進一步細分及/或重複。
在一些實施例中,可經由加熱器710對基座708進行溫度控制。此外,在一些實施例中,可藉由蝶形閥718提供對沉積處理站700的壓力控制。如圖7的實施例中顯示,蝶形閥718調節由下游真空幫浦(未顯示)所提供的真空。然而,在一些實施例中,還可藉由改變被引進處理站700的一或更多氣體的流率而調整處理站700的壓力控制。
圖8顯示多站處理工具800之實施例的示意圖,該多站處理工具800具有入站(inbound)負載鎖室802及出站(outbound)負載鎖室804,且其中一者或兩者可包括遠端電漿來源。這樣的工具可以使用本文所提供的方法來處理基板。處於大氣壓力下的機器人806係配置以將晶圓從透過傳送盒808進行裝載的晶舟通過大氣埠口810進到入站負載鎖室802中。藉由機器人806將晶圓放置在入站負載鎖室802中的基座812上,將大氣埠口810關閉並且將負載鎖室進行抽氣。在該入站負載鎖室802包括遠端電漿來源的情況下,可在晶圓被導引至處理腔室814中之前將該晶圓暴露於負載鎖室內的遠端電漿處理。此外,還可在入站負載鎖室802中對晶圓進行加熱,以例如移除濕氣及所吸附的氣體。接下來,開啟往處理腔室814的腔室傳輸埠口816,且另一機器人(未顯示)將晶圓放入反應器中且位於該反應器中所顯示的第一站的基座上以進行處理。雖然在圖8中所繪示的實施例係包括負載鎖室,但將能理解的是,在一些實施例中,可將晶圓直接提供至處理站中。
所繪示的處理腔室814包括四個處理站,在圖8中所顯示的實施例中係從1到4進行編號。各站具有加熱式基座(顯示為站1的818)以及氣體管線入口。將能理解的是,在一些實施例中,各處理站可具有不同或複數用途。雖然所繪示的處理腔室814包括四個站,但將能理解的是,根據本揭露的處理腔室可具有任何合適數量的站。舉例來說,在一些實施例中,處理腔室可具有五或更多站;而在其他實施例中,處理腔室可具有三或更少站。
圖8還繪示晶圓搬運系統890的實施例,用於在處理腔室814內傳輸晶圓。在一些實施例中,晶圓搬運系統890可在各種處理站之間及/或在處理站與負載鎖室之間傳輸晶圓。將能理解的是,可使用任何合適的晶圓搬運系統。非限制性示例包括晶圓旋轉料架(carousel)及晶圓搬運機器人。圖8還繪示系統控制器850的實施例,該系統控制器850係用以控制處理工具800的處理條件與硬體狀態。系統控制器850可包括一或更多記憶裝置856、一或更多大量儲存裝置854以及一或更多處理器852。處理器852可包括CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。
在一些實施例中,系統控制器850控制著處理工具800的所有活動。系統控制器850執行系統控制軟體858,其中該系統控制軟體858係儲存在大量儲存裝置854中、載入至記憶裝置856中以及在處理器852上執行。系統控制軟體858可包括複數指令,用於控制:時間、氣體混合、腔室及/或站的壓力、腔室及/或站的溫度、吹掃條件及時間、晶圓溫度、RF功率層級、RF頻率、基板、基座、卡盤及/或承受器位置、以及由處理工具800所執行的特定處理之其他參數。系統控制軟體858得以任何合適的方式進行配置。舉例而言,可將各種處理工具構件的子程式或控制物件進行編寫,以對根據所揭露方法執行各種處理工具的處理所需的處理工具構件之操作進行控制。系統控制軟體858可在任何合適的電腦可讀編程語言中進行編碼。
在一些實施例中,系統控制軟體858可包括用於控制上述各種參數的輸入/輸出控制(IOC)序列指令。舉例而言,ALD處理的各階段可包括由系統控制器850執行的一或更多指令。在相應ALD配方階段中可以包括設定ALD處理階段的處理條件所用的指令。在一些實施例中,可將ALD配方階段依序編排,使ALD處理階段的所有指令係與該處理階段同時執行。
在一些實施例中,可使用儲存在與系統控制器850相關的大量儲存裝置854及/或記憶裝置856上的其他電腦軟體及/或程式。針對此目的的程式或程式部分的示例包括基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式及電漿控制程式。
基板定位程式可包括處理工具構件所用的程式編碼,其中所述處理工具構件係用以將基板裝載至基座818上,以及用以控制該基板與處理工具800的其他部件之間的間距。
處理氣體控制程式可包括編碼,用於控制氣體組成及流率,並任選地用於在進行沉積之前將氣體流入一或更多處理站中以穩定該處理站內的壓力。處理氣體控制程式可包括將氣體組成及流率控制在所揭露範圍的任何者內的編碼。壓力控制程式可包括例如透過調節處理站的排氣系統中的節流閥、進入該處理站內的氣流等因素,從而控制該處理站內的壓力的編碼。壓力控制程式可包括將處理站內的壓力控制在所揭露壓力範圍的任何者內的編碼。
加熱器控制程式可包括控制往加熱該基板所用的加熱單元的電流的編碼。或者,加熱器控制程式可控制熱傳輸氣體(例如,氦)往該基板的輸送。加熱器控制程式可包括將基板的溫度保持在所揭露範圍的任何者內的指令。
電漿控制程式可包括編碼,用於例如使用本文所揭露的任何RF功率位準對施加至一或更多處理站內的處理電極的RF功率位準和頻率進行設定。電漿控制程式還可包括控制各電漿暴露的持續時間的編碼。
在一些實施例中,可存在與系統控制器850相關的使用者介面。使用者介面可包括顯示螢幕、設備及/或處理條件的圖像軟體顯示器、以及例如指向裝置、鍵盤、觸控螢幕、麥克風等的使用者輸入裝置。
在一些實施例中,由系統控制器850所調整的參數可與處理條件有關。非限制性的示例包括處理氣體的組成及流率、溫度、壓力、電漿條件(例如,RF功率位準、頻率及暴露時間)等。這些參數得以配方形式而提供至使用者,該配方可應用使用者介面進行輸入。
可透過系統控制器850的類比及/或數位輸入連接件以從各種處理工具感測器提供監控處理所用的複數信號。可將用於控制處理的該等信號輸出在處理工具800的類比及數位輸出連接件上。可受監控的處理工具感測器的非限制性示例包括質量流量控制器、壓力感測器(例如,壓力計)、熱電耦等。經適當編程的回饋及控制演算法可與來自這些感測器的數據一起使用以維持處理條件。
可使用任何合適的腔室以實施所揭露的實施例。示例性沉積設備包括但不限於來自ALTUS
®產品家族(其係可取得自Lam Research Corp., of Fremont, California)的設備,或是各種其他可商業取得的處理系統的任何者。所述站的其中二或更多者可執行相同功能。類似地,二或更多站可執行不同功能。各站可根據需求而進行設計/配置,以執行特定功能/方法。
圖9為適合根據某些實施例而執行薄膜沉積處理的處理系統的方塊圖。系統900包括傳輸模組903。傳輸模組903提供乾淨且加壓的環境,使正接受處理的基板在各種反應器模組之間移動時受到汙染的風險最小化。安裝在傳輸模組903上的是兩個多站反應器909及910,其各者能夠根據某些實施例而執行原子層沉積(ALD)及/或化學氣相沉積(CVD)。反應器909及910可包括複數站911、913、915及917,而該等站可依序或不依序地根據所揭露的實施例而執行操作。該等站可包括加熱式基座或基板支撐件、一或更多氣體入口、噴淋頭或擴散板。
亦安裝在傳輸模組903上的得以是能夠執行電漿或化學(非電漿)預清潔,或是關於所揭露方法所描述的任何其他處理的一或更多單一或多站模組907。在一些情況下,該模組907可以用於各種處理,從而例如使基板準備進行沉積處理。該模組907還可以被設計/配置以執行各種其他處理,例如蝕刻或拋光。系統900還包括在處理前後儲存著晶圓的一或更多晶圓來源模組901。位在大氣傳輸腔室919中的大氣機器人(未顯示)可率先將晶圓從來源模組901移動至負載鎖室921。位在傳輸模組903中的晶圓傳輸裝置(通常為機器手臂單元)將晶圓從負載鎖室921移動至安裝在傳輸模組903上的複數模組,以及在該等模組之間移動。
在各種實施例中,系統控制器929係用於在沉積期間控制處理條件。控制器929通常將包括一或更多記憶裝置與一或更多處理器。處理器可包括CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。
控制器929可控制著沉積設備的所有活動。系統控制器929執行系統控制軟體,其中該系統控制軟體包括指令組,用於控制時間、氣體混合、腔室壓力、腔室溫度、晶圓溫度、射頻(RF)功率位準、晶圓卡盤或基座位置及特定處理的其他參數。在一些實施例中,可使用儲存在與該控制器929相關的記憶裝置上的其他電腦程式。
通常,將存在著與該控制器929相關的使用者界面。該使用者界面可包括顯示螢幕、設備及/或處理條件的圖像軟體顯示器、及例如指向裝置、鍵盤、觸控螢幕、麥克風等使用者輸入裝置。
系統控制邏輯得以任何合適方法進行配置。一般而言,可將該邏輯設計或配置在硬體及/或軟體中。可將用於控制驅動電路的指令硬編碼或提供為軟體。可透過「編程」而提供指令。此編程係被理解為包括任何邏輯形式,包括數位信號處理器、特殊應用積體電路、及具有實施作為硬體的特定演算法的其他裝置中的硬編碼邏輯。編程亦被理解為包括可在普通目的處理器上執行的軟體或韌體指令。系統控制軟體可在任何合適的電腦可讀編程語言中進行編碼。
用於控制處理次序中的含矽反應物流、還原劑流及含金屬前驅物流,以及其他處理的電腦程式編碼可被編寫於任何習知的電腦可讀編程語言中:例如組合語言、C、C++、Pascal、Fortran等。編譯物件編碼或腳本係藉由處理器加以執行,以執行該程式中所認證的任務。另外,如上所述,該程式編碼可為經硬編碼的。
控制器的參數係有關於處理條件,例如處理氣體的組成及流率、溫度、壓力、冷卻氣體壓力、基板溫度及腔室壁溫度。這些參數係以配方形式提供予使用者,並可利用使用者介面進行輸入。用於監測該處理的信號可藉由該系統控制器929的類比及/或數位輸入連接件而加以提供。用於控制該處理的信號係輸入於該沉積設備900的類比及數位輸出連接件上。
系統軟體得以許多方式進行設計或配置。舉例來說,可對各種腔室構件子程式或控制物件進行編寫,以控制根據所揭露實施例而執行沉積處理(以及其他處理,在一些情況下)所需的腔室構件的操作。針對此目的之程式或程式部分的示例包括基板定位編碼、處理氣體控制編碼、壓力控制編碼及加熱器控制編碼。
在一些實行例中,控制器929為系統的一部份,且該系統可為上述示例的一部分。此系統可包括半導體處理設備,而該半導體處理設備包括一或更多處理工具、一或更多腔室、一或更多處理平台及/或特定處理構件(晶圓基座、氣體流動系統等)。可將這些系統與電子元件進行整合以在處理半導體晶圓或基板之前、期間及之後控制它們的操作。所述電子元件可被稱為「控制器」,其可控制一或更多系統的各種構件或子部件。取決於處理需求及/或系統類型,可將控制器929進行編程以控制本文所揭露的任何處理,包括處理氣體的運輸、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、一些系統中的射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體運輸設定、定位及操作設定,與特定系統連接或接合的一工具及其他傳輸工具及/或負載鎖室的晶圓傳輸進出。
廣義來說,可將控制器定義成具有各種積體電路、邏輯、記憶體、及/或軟體的電子元件,以接收指令、發送指令、控制操作、啟用清潔操作、啟用端點測量等。所述積體電路可包括以韌體形式儲存程式指令的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片,及/或執行程式指令(例如,軟體)的一或更多微處理器或微控制器。程式指令得為以各種獨立設定(或程式檔案)形式而被傳送至控制器的指令,而定義出用於在半導體晶圓上、針對半導體晶圓或對系統執行特定步驟的操作參數。在一些實施例中,操作參數可為製程工程師所定義之配方的一部分,以在一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路及/或晶圓的晶粒的製造期間完成一或更多的處理步驟。
在一些實行例中,控制器可為電腦的一部分或被耦接至電腦,所述電腦係整合並耦接至所述系統,或以其他方式與所述系統網路連接,或是其組合。例如,控制器可位於「雲端」,或是FAB主電腦系統的全部或一部分中而可允許晶圓處理的遠端存取。電腦可使對系統的遠端存取能夠監控加工操作的當前進程、檢視過去加工操作的歷史、檢視來自複數加工操作的趨勢或性能度量、變更當前處理的參數、設定當前處理之後的處理步驟或開始新的處理。在一些示例中,遠端電腦(例如,伺服器)可透過網路向系統提供處理配方,其中該網路可包括區域網路或網際網路。遠端電腦可包括使用者介面,而能夠對參數及/或設定進行輸入或編程,所述參數及/或設定則接著從遠端電腦傳送至系統。在一些示例中,控制器接收數據形式的指令,其中所述指令係指明一或更多操作期間待執行之各處理步驟所用的參數。應當理解的是,所述參數可特定於待執行的步驟類型及控制器所配置以連接或控制的工具類型。因此,如上所述,控制器可例如藉由包括一或更多離散控制器而進行分佈,其中所述離散控制器係彼此以網路連接且朝向共同的目的(例如本文所述的步驟與控制)而運作。為此目的所分佈的控制器之示例將係位於腔室上的一或更多積體電路,其與遠端設置(例如,位於平台層或作為遠端電腦的一部分)且結合以控制腔室上之處理的一或更多積體電路連通。
不具限制地,示例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-淋洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、晶邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組,以及可能有關於或使用於半導體晶圓之加工及/或製造中的任何其他半導體處理系統。
如上所述,取決於工具所待執行的一或更多處理步驟,控制器可連通至一或更多其他工具電路或模組、其他工具組件、群集式工具、其他工具介面、相鄰工具、鄰近工具、遍布於工廠的工具、主電腦、另一控制器,或是材料輸送中所使用的工具,而將晶圓的容器帶進及帶出半導體製造工廠的工具位置及/或裝載埠口。
進一步的實施方式
本文所述的設備及處理係可與微影圖案化工具或處理結合使用,以例如用於加工或製造半導體裝置、顯示器、LED、光電板等。一般而言,雖然並非必要,但將會在公共的製造設施中共同使用或執行這種工具/處理。膜的微影圖案化通常包括下列步驟的一些或全部,其中各步驟係由數種可行工具而提供:(1)使用旋轉塗佈或噴灑塗佈工具將光阻塗覆在工件(即,基板)上;(2)使用加熱板、爐膛或UV固化工具將光阻固化;(3)利用如晶圓步進器的工具將光阻暴露至可見光、UV光或X光;(4)將光阻顯影以選擇性地移除光阻,從而使用如濕式工作台的工具將光阻進行圖案化;(5)使用乾式或電漿輔助蝕刻工具以將光阻圖案轉移至下方膜或工件中;及(6)使用如RF或微波電漿光阻剝除器的工具將光阻移除。
實驗示例
示例1(比較例)。使用一系列的無矽沉積循環將鉬金屬沉積在介電質(矽氧化物)及金屬(低氟含量的鎢)上。各循環包括第一暴露階段及第二暴露階段;在第一暴露階段中,MoCl
5及氫被輸送至處理腔室,而在第二暴露階段中,氫被輸送至該處理腔室,但鉬前驅物並未進行輸送。這些沉積是在375ºC至500ºC的不同溫度下執行200個沉積循環而進行。所沉積的鉬的厚度係藉由X射線螢光分析法(XRF)而測量。圖10A提供一圖表,其繪示在各溫度下所得到的鉬厚度。針對各溫度的上方點係指涉在鎢上方的沉積。針對各溫度的下方點係指涉在介電質上方的沉積。對於所有的溫度而言,鎢上方的沉積厚度均大於介電質上方的沉積厚度。可以看到的是,在低於500ºC的溫度下,金屬上方/介電質上方的選擇性(在鎢上方沉積的鉬的厚度對於在介電質上方沉積的鉬的厚度的比率)是非常高的,在375ºC時到達13。該選擇性隨著溫度上升而減低,且在500ºC時為1.3。此圖表顯示出,在低於500ºC的溫度下可能無法達成低於1.3的選擇性。
示例2。根據本文所提供的實施例,在400ºC下於鎢及矽氧化物上執行含鉬材料的矽輔助沉積。矽輔助沉積的各循環包括三個階段。在第一階段中,將矽烷(SiH
4)輸送至處理腔室,並且允許在未輸送氫且未輸送鉬前驅物的情況下將基板接觸矽烷一段預定時間(10至30秒)。此階段被稱為矽烷預沉浸(pre-soak)。在第二階段中,將MoCl
5及H
2同時輸送至該處理腔室,並允許其接觸該基板。在第三階段中,在未同時輸送鉬前驅物的情況下將H
2輸送至該處理腔室。該沉積係藉由執行200個矽輔助沉積循環而進行,其中各循環包括矽烷預沉浸階段、鉬前驅物與氫輸送階段,以及氫輸送階段。所得到的含鉬膜的厚度係藉由XRF而測量。圖10B係一圖表,其繪示針對不同的矽烷預沉浸持續時間而在鎢上方及在二氧化矽上方沉積的含鉬材料的厚度。上方點係指涉在鎢上方的沉積。下方點係指涉在二氧化矽上方的沉積。可以看到的是,低於15秒的預沉浸時間不會造成金屬上方/介電質上方的選擇性明顯降低,而預沉浸持續時間為15秒及30秒的選擇性分別係1.33及1.20。應注意到的是,這麼低的選擇性是無法在400ºC的低溫下使用無矽沉積而得到。此示例顯示出,使用本文所提供的矽輔助沉積可以在低溫下達成選擇性降低。
101:凹陷特徵部
103:介電質層
105:金屬層
107:含鉬材料
109:含鉬層
201,203,205,207,209,211,213,215,217,219,221,223,225:步驟
301,305:步驟
700:處理站
701:反應物輸送系統
702:處理腔室本體
703:汽化點
704:混合容器
705:噴淋頭入口閥
706:噴淋頭
707:微容積
708:基座
710:加熱器
712:基板
714:RF電源
716:匹配網路
718:蝶形閥
720:混合容器入口閥
800:多站處理工具
802:入站負載鎖室
804:出站負載鎖室
806:機器人
808:傳送盒
810:大氣埠口
812:基座
814:處理腔室
816:腔室傳輸埠口
818:加熱式基座
850:系統控制器
852:處理器
854:大量儲存裝置
856:記憶裝置
858:系統控制軟體
890:晶圓搬運系統
900:系統
901:晶圓來源模組
903:傳輸模組
907:模組
909,910:多站反應器
911,913,915,917:站
919:大氣傳輸腔室
921:負載鎖室
929:系統控制器
圖1A~1C繪示根據本文所提供的實施例的在含鉬膜沉積期間的基板的橫截面示意圖。
圖2A係根據本文所提供的實施例的含鉬膜形成方法的處理流程圖。
圖2B係根據本文所提供的實施例的含鉬膜形成方法的處理流程圖。
圖2C係根據本文所提供的實施例的含鉬膜形成方法的處理流程圖。
圖2D係根據本文所提供的實施例的含鉬膜形成方法的處理流程圖。
圖3係根據本文所提供的實施例的含鉬膜形成方法的處理流程圖。
圖4提供根據本文所提供的實施例的可以用於鉬前驅物的配位基示例。
圖5提供根據本文所提供的實施例的可以用於鉬前驅物的含硫配位基示例。
圖6表列出根據本文所提供的實施例的鉬前驅物的示例。
圖7係根據本文所提供的實施例的適合用於沉積含鉬膜的設備的示意圖。
圖8顯示根據本文所提供的實施例的多站處理系統的示意圖。
圖9顯示根據本文所提供的實施例的多站處理系統的示意圖。
圖10A係比較例的實驗圖表,其繪示針對無矽沉積在不同溫度下的金屬上方/介電質上方的鉬金屬沉積選擇性。
圖10B係根據本文所提供的實施例的實驗圖表,其繪示針對矽輔助沉積在400ºC下取決於SiH
4預沉浸時間的金屬上方/介電質上方的含鉬層沉積選擇性。
201,203,205,207:步驟
Claims (24)
- 一種含鉬層的形成方法,包括: (a) 將半導體基板提供至處理腔室,其中該半導體基板具有凹陷特徵部;以及 (b) 在介於約100ºC與約500ºC之間的溫度下將該半導體基板暴露至含鉬前驅物、還原劑及含矽反應物,從而還原該含鉬前驅物,並且在該半導體基板上形成含鉬層,其中該含鉬層包括鉬金屬層。
- 如請求項1之含鉬層的形成方法,其中該含鉬層更包括鉬矽化物子層。
- 如請求項1或2之含鉬層的形成方法,其中該含鉬前驅物為MoX nY m,其中X為氧族元素,Y為鹵素,n為0、1或2,而m為2、3、4、5或6。
- 如請求項1或2之含鉬層的形成方法,其中該含鉬前驅物包括MoCl 5、Mo 2Cl 10、MoO 2Cl 2、MoOCl 4、雙(乙基苯)鉬或其任何組合。
- 如請求項1或2之含鉬層的形成方法,其中該含矽反應物為Si xR y,其中x為1~4,y為4~18,且各個R係獨立選自於由H、鹵素及烷基所構成的群組。
- 如請求項1或2之含鉬層的形成方法,其中該含矽反應物包括矽烷、氯矽烷、二氯矽烷、三氯矽烷、四氯矽烷、六氯二矽烷、五氯二矽烷、四氯二矽烷、三氯二矽烷、二氯二矽烷、氯二矽烷、二矽烷或其任何組合。
- 如請求項1或2之含鉬層的形成方法,其中(b)包括: (i) 在未同時將該含鉬前驅物輸送至該處理腔室的情況下,將該半導體基板與該含矽反應物接觸一段時間;以及 (ii) 在(i)後,使該半導體基板接觸該含鉬前驅物,以及使該半導體基板接觸該還原劑。
- 如請求項7之含鉬層的形成方法,其中(ii)包括在未同時將該還原劑輸送至該處理腔室的情況下,使該半導體基板接觸該含鉬前驅物。
- 如請求項7之含鉬層的形成方法,其中(ii)包括使該半導體基板同時接觸該含鉬前驅物及該還原劑。
- 如請求項7之含鉬層的形成方法,更包括重複進行步驟(i)及(ii)。
- 如請求項7之含鉬層的形成方法,其中(ii)包括使該半導體基板依序接觸該含鉬前驅物及該還原劑,並且重複使該半導體基板依序接觸該含鉬前驅物及該還原劑;該方法更包括(iii)在(ii)之後使該半導體基板接觸該含矽反應物。
- 如請求項1或2之含鉬層的形成方法,其中(b)包括將該半導體基板同時接觸該還原劑、該含鉬前驅物及該含矽反應物。
- 如請求項1之含鉬層的形成方法,其中(b)包括: (i) 使該半導體基板同時接觸該還原劑及該含矽反應物;以及 (ii) 在未同時將該含矽反應物輸送至該處理腔室的情況下,使該半導體基板接觸該含鉬前驅物。
- 如請求項1之含鉬層的形成方法,其中(b)包括: (i) 使該半導體基板同時接觸該含鉬前驅物及該含矽反應物;以及 (iii) 在未同時將該含矽反應物輸送至該處理腔室的情況下,使該半導體基板接觸該還原劑。
- 如請求項1之含鉬層的形成方法,其中在(a)中提供的該凹陷特徵部包括位於該凹陷特徵部的複數側壁上的暴露含矽介電質,以及在該凹陷特徵部的底部處露出的金屬,其中該含鉬層係以約為1.3:1以下的選擇性(底部比側壁)沉積在該凹陷特徵部的該底部上及該凹陷特徵部的該等側壁上。
- 如請求項1之含鉬層的形成方法,其中(b)包括以該含鉬層完全填充該凹陷特徵部,其中該含鉬層包括鉬金屬層。
- 如請求項1之含鉬層的形成方法,其中該還原劑係選自於由氫(H 2)、氨(NH 3)、聯胺(N 2H 4)、胺、二硼烷(B 2H 6)、矽烷(SiH 4)、二矽烷(Si 2H 6)、醇、氫硫化物(H 2S)、硫醇及其組合所構成的群組。
- 如請求項1之含鉬層的形成方法,其中該還原劑包括氫(H 2)。
- 一種半導體基板的處理設備,包括: (a) 處理腔室,具有用於固持該半導體基板的基板固持件,以及用於將反應物引進該處理腔室的一或更多輸入口;以及 (b) 控制器,包括複數程式指令,用於: 在具有凹陷特徵部的半導體基板上,在介於約100ºC與約500ºC之間的溫度下驅使該半導體基板接觸含鉬前驅物、還原劑及含矽反應物,從而形成含鉬材料層。
- 如請求項19之半導體基板的處理設備,其中該等程式指令包括複數指令,用於驅使: (i) 在未同時將該含鉬前驅物輸送至該處理腔室的情況下,將該半導體基板接觸該含矽反應物;以及 (ii) 在(i)後,使該半導體基板接觸該含鉬前驅物及該還原劑。
- 如請求項19之半導體基板的處理設備,其中該等程式指令包括複數指令,用於驅使: (i) 在未同時將該含鉬前驅物輸送至該處理腔室的情況下,將該半導體基板同時接觸氫及該含矽反應物;以及 (ii) 在未同時將該含矽反應物輸送至該處理腔室的情況下,將該半導體基板接觸該含鉬前驅物。
- 如請求項19之半導體基板的處理設備,其中該等程式指令包括複數指令,用於驅使: (i) 在未同時將氫輸送至該處理腔室的情況下,將該半導體基板同時接觸該含鉬前驅物及該含矽反應物;以及 (ii) 在未同時將該含矽反應物輸送至該處理腔室的情況下,將該半導體基板接觸氫。
- 一種含金屬層的形成方法,包括: (a) 將半導體基板提供至處理腔室,其中該半導體基板具有凹陷特徵部;以及 (b) 在介於約100ºC與約500ºC之間的溫度下將該半導體基板暴露至金屬前驅物、還原劑及含矽反應物,從而還原該金屬前驅物,並且在該半導體基板上形成含金屬層,其中該含金屬層包括零氧化態的金屬層。
- 如請求項23之含金屬層的形成方法,其中該含金屬層更包括金屬矽化物層。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202163265437P | 2021-12-15 | 2021-12-15 | |
US63/265,437 | 2021-12-15 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202344705A true TW202344705A (zh) | 2023-11-16 |
Family
ID=86773516
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW111147082A TW202344705A (zh) | 2021-12-15 | 2022-12-08 | 藉由含矽反應物輔助的低溫鉬沉積 |
Country Status (5)
Country | Link |
---|---|
EP (1) | EP4449479A1 (zh) |
KR (1) | KR20240114775A (zh) |
CN (1) | CN118402040A (zh) |
TW (1) | TW202344705A (zh) |
WO (1) | WO2023114648A1 (zh) |
Family Cites Families (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102466639B1 (ko) * | 2017-04-10 | 2022-11-11 | 램 리써치 코포레이션 | 몰리브덴을 함유하는 저 저항률 막들 |
US11295980B2 (en) * | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
TW202020203A (zh) * | 2018-07-26 | 2020-06-01 | 美商蘭姆研究公司 | 純金屬膜的沉積 |
US11891690B2 (en) * | 2019-08-12 | 2024-02-06 | Applied Materials, Inc. | Molybdenum thin films by oxidation-reduction |
KR20220082023A (ko) * | 2019-10-15 | 2022-06-16 | 램 리써치 코포레이션 | 몰리브덴 충진 |
-
2022
- 2022-12-02 EP EP22908571.7A patent/EP4449479A1/en active Pending
- 2022-12-02 KR KR1020247023348A patent/KR20240114775A/ko unknown
- 2022-12-02 CN CN202280083468.4A patent/CN118402040A/zh active Pending
- 2022-12-02 WO PCT/US2022/080863 patent/WO2023114648A1/en active Application Filing
- 2022-12-08 TW TW111147082A patent/TW202344705A/zh unknown
Also Published As
Publication number | Publication date |
---|---|
EP4449479A1 (en) | 2024-10-23 |
WO2023114648A1 (en) | 2023-06-22 |
CN118402040A (zh) | 2024-07-26 |
KR20240114775A (ko) | 2024-07-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US8993460B2 (en) | Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants | |
JP6578353B2 (ja) | Si含有膜堆積用カルボシラン置換アミン前駆体及びその方法 | |
JP6732782B2 (ja) | アンモニア、アミンおよびアミジンによるカルボシランの触媒的脱水素カップリング | |
TWI783956B (zh) | 不含N-H且富含Si之全氫化聚矽氮烷化合物,其合成及應用 | |
TW201900660A (zh) | 作為高成長速率含矽膜的前驅物的官能化環矽氮烷 | |
CN109476848B (zh) | 形成含si膜的组合物及其制造与使用方法 | |
CN115735263A (zh) | 使用锡氧化物的先进自对准多重图案化 | |
TW202309327A (zh) | 經由選擇性沉積及電阻率降低的完全對準貫孔之整合 | |
TW202344705A (zh) | 藉由含矽反應物輔助的低溫鉬沉積 | |
US20230098270A1 (en) | Precursors for high-temperature deposition of silicon-containing films | |
TW202405234A (zh) | 矽氮化物的保形沉積 | |
WO2023178203A1 (en) | Seam-free and crack-free deposition | |
TW202340511A (zh) | 保形碳摻雜矽氮化物膜及其方法 | |
TW202400826A (zh) | 使用胺基矽烷及氯矽烷前驅物的保形矽氧化物沉積 | |
TW202348830A (zh) | 熱膜沉積 | |
CN118830053A (zh) | 硅氮化物沉积 | |
TW202407128A (zh) | 矽氮化物之電漿沉積期間的低k介電質保護 | |
WO2023230170A1 (en) | Hybrid atomic layer deposition | |
CN118805241A (zh) | 热膜沉积 | |
KR20240046605A (ko) | 멀티-패터닝에서 인-시츄 코어 보호 |