TW202329245A - Method for preparing a conductive stack with a gate contact - Google Patents

Method for preparing a conductive stack with a gate contact Download PDF

Info

Publication number
TW202329245A
TW202329245A TW111113883A TW111113883A TW202329245A TW 202329245 A TW202329245 A TW 202329245A TW 111113883 A TW111113883 A TW 111113883A TW 111113883 A TW111113883 A TW 111113883A TW 202329245 A TW202329245 A TW 202329245A
Authority
TW
Taiwan
Prior art keywords
layer
interposer
gate contact
forming
gate
Prior art date
Application number
TW111113883A
Other languages
Chinese (zh)
Inventor
廖哲賢
許越
Original Assignee
南亞科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/573,832 external-priority patent/US20230223300A1/en
Priority claimed from US17/573,781 external-priority patent/US11876051B2/en
Application filed by 南亞科技股份有限公司 filed Critical 南亞科技股份有限公司
Publication of TW202329245A publication Critical patent/TW202329245A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers

Abstract

The present disclosure provides a method for fabricating a conductive layer stack including forming an intervening layer on an under-layer; and forming a filler layer on the intervening layer, wherein the filler layer comprises tungsten. The intervening layer comprises tungsten silicide and a thickness of the intervening layer is greater than 4.1 nm. The under-layer comprises titanium nitride and comprises a columnar grain structure.

Description

具有閘極接觸點之導電層堆疊的製備方法Method for fabricating conductive layer stack with gate contact

本申請案主張美國第17/573,781及17/573,832號專利申請案之優先權(即優先權日為「2022年1月12日」),其內容以全文引用之方式併入本文中。This application claims priority to US Patent Application Nos. 17/573,781 and 17/573,832 (ie, the priority date is "January 12, 2022"), the contents of which are incorporated herein by reference in their entirety.

本揭露關於一種導電層堆疊的製備方法。特別是有關於一種具有閘極接觸點之導電層堆疊的製備方法。The disclosure relates to a method for preparing a conductive layer stack. In particular, it relates to a method of manufacturing a conductive layer stack with gate contacts.

半導體元件使用在不同的電子應用,例如個人電腦、手機、數位相機,或其他電子設備。半導體元件的尺寸逐漸地變小,以符合計算能力所逐漸增加的需求。然而,在尺寸變小的製程期間,增加不同的問題,且如此的問題在數量與複雜度上持續增加。因此,仍然持續著在達到改善品質、良率、效能與可靠度以及降低複雜度方面的挑戰。Semiconductor components are used in various electronic applications, such as personal computers, mobile phones, digital cameras, or other electronic devices. The size of semiconductor devices is gradually reduced to meet the increasing demand for computing power. However, during the process of shrinking dimensions, different problems are added, and such problems continue to increase in number and complexity. Therefore, challenges remain in achieving improved quality, yield, performance and reliability, and reduced complexity.

上文之「先前技術」說明僅提供背景技術,並未承認上文之「先前技術」說明揭示本揭露之標的,不構成本揭露之先前技術,且上文之「先前技術」之任何說明均不應作為本案之任一部分。The above "prior art" description only provides background technology, and does not acknowledge that the above "prior art" description discloses the subject of this disclosure, and does not constitute the prior art of this disclosure, and any description of the above "prior art" is It should not be part of this case.

本揭露之一實施例提供一種導電層堆疊,包括一中介層,包括矽化鎢並設置在一下層上;一填充層,包括鎢並設置在該中介層上。該下層包括氮化鈦且包括一柱狀顆粒結構。該中介層的一厚度大於大約4.1nm。An embodiment of the present disclosure provides a conductive layer stack, including an interposer including tungsten silicide and disposed on a lower layer; a filling layer including tungsten and disposed on the interposer. The lower layer includes titanium nitride and includes a columnar grain structure. A thickness of the interposer is greater than about 4.1 nm.

本揭露之另一實施例提供一種半導體元件,包括一基底;一閘極結構,設置在該基底上;一閘極接觸點,包括:一閘極接觸阻障層,設置在該閘極結構上並包括具有一柱狀顆粒結構的氮化鈦;一閘極接觸中介層,設置在該閘極接觸阻障層並包括矽化鎢;一閘極接觸填充層,設置在該閘極接觸阻障層上並包括鎢。該閘極接觸中介層的一厚度大於大約4.1nm。Another embodiment of the present disclosure provides a semiconductor device, including a substrate; a gate structure disposed on the substrate; a gate contact, including: a gate contact barrier layer disposed on the gate structure It also includes titanium nitride with a columnar grain structure; a gate contact intermediary layer disposed on the gate contact barrier layer and including tungsten silicide; a gate contact filling layer disposed on the gate contact barrier layer on and includes tungsten. A thickness of the gate contact interposer is greater than about 4.1 nm.

本揭露之另一實施例提供一種導電層堆疊的製備方法,包括形成一中介層在一下層上;以及形成一填充層在該中介層上,其中該填充層包括鎢。該中介層包括矽化鎢且該中介層的一厚度大於大約4.1nm。該下層包括氮化鈦且包括一柱狀顆粒結構。Another embodiment of the present disclosure provides a method for fabricating a conductive layer stack, including forming an interposer on a lower layer; and forming a filling layer on the interposer, wherein the filling layer includes tungsten. The interposer includes tungsten silicide and a thickness of the interposer is greater than about 4.1 nm. The lower layer includes titanium nitride and includes a columnar grain structure.

本揭露之另一實施例提供一種半導體元件的製備方法,包括提供一基底;形成一閘極結構在該基底上;以及形成一閘極接觸點在該閘極結構上,包括:形成一閘極接觸阻障層在該閘極結構上;形成一閘極接觸中介層在該閘極接觸阻障層上;以及形成一閘極接觸填充層在該閘極接觸阻障層上。該閘極接觸阻障層包括具有一柱狀顆粒結構的氮化鈦。該閘極接觸中介層包括矽化鎢且該閘極接觸中介層的一厚度大於大約4.1nm。該閘極接觸填充層包括α-鎢。Another embodiment of the present disclosure provides a method for fabricating a semiconductor device, including providing a substrate; forming a gate structure on the substrate; and forming a gate contact point on the gate structure, including: forming a gate A contact barrier layer is on the gate structure; a gate contact intermediate layer is formed on the gate contact barrier layer; and a gate contact filling layer is formed on the gate contact barrier layer. The gate contact barrier layer includes titanium nitride with a columnar grain structure. The gate contact interposer includes tungsten silicide and a thickness of the gate contact interposer is greater than about 4.1 nm. The gate contact filling layer includes α-tungsten.

由於本揭露該半導體元件的設計,該中介層形成有一厚度,該厚度大於4.1nm,以降低或避免電阻不均勻的問題。因此,可改善該半導體元件的可靠度、良率以及效能。此外,使用含鍺之還原劑所沉積的該填充層可降低電阻,導致α-鎢生長之薄的填充成核層,所導致的填充塊狀層幾乎沒有或沒有缺陷。Due to the design of the semiconductor device disclosed in the present disclosure, the interposer layer is formed with a thickness greater than 4.1 nm to reduce or avoid the problem of non-uniform resistance. Therefore, the reliability, yield and performance of the semiconductor device can be improved. In addition, the fill layer deposited using a germanium-containing reducing agent reduces electrical resistance, resulting in a thin fill nucleation layer of alpha-tungsten growth, resulting in a fill bulk layer with little or no defects.

上文已相當廣泛地概述本揭露之技術特徵及優點,俾使下文之本揭露詳細描述得以獲得較佳瞭解。構成本揭露之申請專利範圍標的之其它技術特徵及優點將描述於下文。本揭露所屬技術領域中具有通常知識者應瞭解,可相當容易地利用下文揭示之概念與特定實施例可作為修改或設計其它結構或製程而實現與本揭露相同之目的。本揭露所屬技術領域中具有通常知識者亦應瞭解,這類等效建構無法脫離後附之申請專利範圍所界定之本揭露的精神和範圍。The technical features and advantages of the present disclosure have been broadly summarized above, so that the following detailed description of the present disclosure can be better understood. Other technical features and advantages constituting the subject matter of the claims of the present disclosure will be described below. Those skilled in the art of the present disclosure should understand that the concepts and specific embodiments disclosed below can be easily used to modify or design other structures or processes to achieve the same purpose as the present disclosure. Those with ordinary knowledge in the technical field to which the disclosure belongs should also understand that such equivalent constructions cannot depart from the spirit and scope of the disclosure defined by the appended claims.

以下描述了組件和配置的具體範例,以簡化本揭露之實施例。當然,這些實施例僅用以例示,並非意圖限制本揭露之範圍。舉例而言,在敘述中第一部件形成於第二部件之上,可能包含形成第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不會直接接觸的實施例。另外,本揭露之實施例可能在許多範例中重複參照標號及/或字母。這些重複的目的是為了簡化和清楚,除非內文中特別說明,其本身並非代表各種實施例及/或所討論的配置之間有特定的關係。Specific examples of components and configurations are described below to simplify embodiments of the present disclosure. Certainly, these embodiments are only for illustration, and are not intended to limit the scope of the present disclosure. For example, where a first component is formed on a second component, it may include embodiments where the first and second components are in direct contact, or may include an additional component formed between the first and second components, An embodiment such that the first and second parts do not come into direct contact. In addition, embodiments of the present disclosure may repeat reference numerals and/or letters in many instances. These repetitions are for the purpose of simplicity and clarity and, unless otherwise indicated in the context, do not in themselves imply a specific relationship between the various embodiments and/or configurations discussed.

此外,為易於說明,本文中可能使用例如「之下(beneath)」、「下面(below)」、「下部的(lower)」、「上方(above)」、「上部的(upper)」等空間相對關係用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對關係 用語旨在除圖中所繪示的取向外亦囊括元件在使用或操作中的不同取向。所述裝置可具有其他取向(旋轉90度或處於其他取向)且本文中所用的空間相對關係描述語可同樣相應地進行解釋。Additionally, for ease of description, spaces such as "beneath", "below", "lower", "above", "upper" may be used herein Relative relationship terms are used to describe the relationship of one element or feature to another (other) element or feature shown in the figures. The spatially relative terms are intended to encompass different orientations of elements in use or operation in addition to the orientation depicted in the figures. The device may be at other orientations (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

應當理解,當形成一個部件在另一個部件之上(on)、與另一個部件相連(connected to)、及/或與另一個部件耦合(coupled to),其可能包含形成這些部件直接接觸的實施例,並且也可能包含形成額外的部件介於這些部件之間,使得這些部件不會直接接觸的實施例。It should be understood that when forming a component on, connected to, and/or coupled to another component, it may include implementations where these components are formed in direct contact. Examples, and may also include embodiments in which additional components are formed between these components such that the components do not come into direct contact.

應當理解,儘管這裡可以使用術語第一,第二,第三等來描述各種元件、部件、區域、層或區段(sections),但是這些元件、部件、區域、層或區段不受這些術語的限制。相反,這些術語僅用於將一個元件、組件、區域、層或區段與另一個區域、層或區段所區分開。因此,在不脫離本發明進步性構思的教導的情況下,下列所討論的第一元件、組件、區域、層或區段可以被稱為第二元件、組件、區域、層或區段。It will be understood that although the terms first, second, third etc. may be used herein to describe various elements, components, regions, layers or sections, these elements, components, regions, layers or sections are not constrained by these terms. limits. Rather, these terms are only used to distinguish one element, component, region, layer or section from another region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the presently advanced concepts.

除非內容中另有所指,否則當代表定向(orientation)、布局(layout)、位置(location)、形狀(shapes)、尺寸(sizes)、數量(amounts),或其他量測(measures)時,則如在本文中所使用的例如「同樣的(same)」、「相等的(equal)」、「平坦的(planar)」,或是「共面的(coplanar)」等術語(terms)並非必要意指一精確地完全相同的定向、布局、位置、形狀、尺寸、數量,或其他量測,但其意指在可接受的差異內,包含差不多完全相同的定向、布局、位置、形狀、尺寸、數量,或其他量測,而舉例來說,所述可接受的差異可因為製造流程(manufacturing processes)而發生。術語「大致地(substantially)」可被使用在本文中,以表現出此意思。舉例來說,如大致地相同的(substantially the same)、大致地相等的(substantially equal),或是大致地平坦的(substantially planar),為精確地相同的、相等的,或是平坦的,或者是其可為在可接受的差異內的相同的、相等的,或是平坦的,而舉例來說,所述可接受的差異可因為製造流程而發生。Unless the context indicates otherwise, when referring to orientation, layout, location, shapes, sizes, amounts, or other measures, Then terms such as "same", "equal", "planar", or "coplanar" as used herein are not necessarily Means an exact identical orientation, arrangement, position, shape, size, quantity, or other measurement, but it is meant to include, within acceptable variance, nearly identical orientation, arrangement, position, shape, size , quantity, or other measure, and for example, the acceptable variance may occur due to manufacturing processes (manufacturing processes). The term "substantially" may be used herein to express this meaning. For example, as substantially the same, substantially equal, or substantially planar, as being exactly the same, equal, or planar, or Yes, they may be the same, equal, or flat within acceptable variances that may occur, for example, due to manufacturing processes.

在本揭露中,一半導體元件通常意指可藉由利用半導體特性(semiconductor characteristics)運行的一元件,而一光電元件(electro-optic device)、一發光顯示元件(light-emitting display device)、一半導體線路(semiconductor circuit)以及一電子元件(electronic device),均包括在半導體元件的範疇中。In this disclosure, a semiconductor device generally refers to a device that can operate by utilizing semiconductor characteristics, and an electro-optic device, a light-emitting display device, a Both a semiconductor circuit and an electronic device are included in the category of semiconductor devices.

應當理解,在本揭露的描述中,上方(above)(或之上(up))對應Z方向箭頭的該方向,而下方(below)(或之下(down))對應Z方向箭頭的相對方向。It should be understood that in the description of the present disclosure, above (or above (up)) corresponds to the direction of the Z-direction arrow, and below (or below (down)) corresponds to the relative direction of the Z-direction arrow .

應當理解,「正在形成(forming)」、「已經形成(formed)」以及「形成(form)」的術語,可表示並包括任何產生(creating)、構建(building)、圖案化(patterning)、植入(implanting)或沉積(depositing)一元件(element)、一摻雜物(dopant)或一材料的方法。形成方法的例子可包括原子層沉積(atomic layer deposition)、化學氣相沉積(chemical vapor deposition)、物理氣相沉積(physical vapor deposition)、噴濺(sputtering)、旋轉塗佈(spin coating)、擴散(diffusing)、沉積(depositing)、生長(growing)、植入(implantation)、微影(photolithography)、乾蝕刻以及濕蝕刻,但並不以此為限。It should be understood that the terms "forming", "formed" and "form" may denote and include any creating, building, patterning, planting A method of implanting or depositing an element, a dopant, or a material. Examples of formation methods may include atomic layer deposition, chemical vapor deposition, physical vapor deposition, sputtering, spin coating, diffusion (diffusing), deposition (depositing), growth (growing), implantation (implantation), photolithography (photolithography), dry etching and wet etching, but not limited thereto.

應當理解,在本揭露的描述中,文中所提到的功能或步驟可發生不同於各圖式中之順序。舉例來說,連續顯示的兩個圖式實際上可以大致同時執行,或者是有時可以相反順序執行,其取決於所包含的功能或步驟。It should be understood that, in the description of the present disclosure, functions or steps mentioned herein may occur out of the order shown in the accompanying drawings. For example, two figures shown in succession may, in fact, be executed substantially concurrently, or may sometimes be executed in the reverse order, depending upon the functions or steps involved.

圖1是流程示意圖,例示本揭露一實施例之導電層堆疊100的製備方法10。圖2及圖3是剖視示意圖,例示本揭露一實施例製備導電層堆疊100的一流程。FIG. 1 is a schematic flow diagram illustrating a method 10 for fabricating a conductive layer stack 100 according to an embodiment of the present disclosure. FIG. 2 and FIG. 3 are schematic cross-sectional views illustrating a process for preparing the conductive layer stack 100 according to an embodiment of the present disclosure.

請參考圖1及圖2,在步驟S11,可提供一基底201,一下層110可形成在基底201上。Please refer to FIG. 1 and FIG. 2 , in step S11 , a substrate 201 may be provided, and the lower layer 110 may be formed on the substrate 201 .

請參考圖2,基底201可包括一含矽材料。適合於基底201之含矽材料的例子可包括矽、矽鍺、摻碳矽鍺,矽鍺碳化物、摻碳矽、氮化矽及其多層,但並不以此為限。雖然矽是在晶片製造中主要使用的半導體材料,但在一些實施例中,可採用替代半導體材料作為多個附加層,例如鍺、砷化鎵、氮化鎵、矽鍺、碲化鎘(cadmium telluride) 、硒化鋅(zinc selenide)、鍺錫(germanium tin)等等,但並不以此為限。Please refer to FIG. 2 , the substrate 201 may include a silicon-containing material. Examples of silicon-containing materials suitable for the substrate 201 include, but are not limited to, silicon, silicon germanium, carbon-doped silicon germanium, silicon-germanium carbide, carbon-doped silicon, silicon nitride and multilayers thereof. Although silicon is the primary semiconductor material used in wafer fabrication, in some embodiments alternative semiconductor materials may be used for additional layers such as germanium, gallium arsenide, gallium nitride, silicon germanium, cadmium telluride (cadmium telluride) telluride), zinc selenide (zinc selenide), germanium tin (germanium tin), etc., but not limited thereto.

請參考圖2,下層110可為一阻障層或一黏著層。下層110的非限制性例子包括一導電層或是一介電層以及金屬層,介電層則例如氧化矽、氮化矽、碳化矽、金屬氧化物、金屬氮化物、金屬碳化物。在一些實施例中,下層110可為氮化鈦、鈦金屬、氮化鎢、鋁化鈦或是一鈦氧化物。在本實施例中,下層110可為一阻障層並可包含氮化鈦。氮化鈦阻障層可包括一柱狀顆粒結構(columnar grain structure)。Please refer to FIG. 2 , the lower layer 110 can be a barrier layer or an adhesive layer. Non-limiting examples of the lower layer 110 include a conductive layer or a dielectric layer such as silicon oxide, silicon nitride, silicon carbide, metal oxide, metal nitride, and metal carbide, and a metal layer. In some embodiments, the lower layer 110 can be titanium nitride, titanium metal, tungsten nitride, titanium aluminide or a titanium oxide. In this embodiment, the lower layer 110 may be a barrier layer and may include titanium nitride. The titanium nitride barrier layer may include a columnar grain structure.

請參考圖1及圖2,在步驟S13,一中介層120可形成在下層110上。Referring to FIG. 1 and FIG. 2 , in step S13 , an interposer layer 120 may be formed on the lower layer 110 .

請參考圖2,中介層120可包括非結晶矽化鎢(amorphous tungsten silicide)。中介層120的厚度T1可大於大約4.1nm。在一些實施例中,中介層120的厚度T1可大於大約4.3nm、大於大約4.6nm,或是大於大約5.2nm。在一些實施例中,中介層120的厚度T1可介於4.3nm到4.6nm之間。Please refer to FIG. 2 , the interposer 120 may include amorphous tungsten silicide. The thickness T1 of the interposer 120 may be greater than about 4.1 nm. In some embodiments, the thickness T1 of the interposer 120 may be greater than about 4.3 nm, greater than about 4.6 nm, or greater than about 5.2 nm. In some embodiments, the thickness T1 of the interposer 120 may be between 4.3 nm and 4.6 nm.

應當理解,術語「大約(about)」修飾成分(ingredient)、部件的一數量(quantity),或是本揭露的反應物(reactant),其表示可發生的數值數量上的變異(variation),舉例來說,其經由典型的測量以及液體處理程序(liquid handling procedures),而該液體處理程序用於製造濃縮(concentrates)或溶液(solutions)。再者,變異的發生可源自於應用在製造組成成分(compositions)或實施該等方法或其類似方式在測量程序中的非故意錯誤(inadvertent error)、在製造中的差異(differences)、來源(source)、或成分的純度(purity)。在一方面,術語「大約(about)」意指報告數值的10%以內。在另一方面,術語「大約(about)」意指報告數值的5%以內。在再另一方面,術語「大約(about)」意指報告數值的10、9、8、7、6、5、4、3、2或1%以內。It should be understood that the term "about" modifies a quantity of an ingredient, a component, or a reactant of the present disclosure, and represents a numerical variation that may occur, for example In general, it goes through typical measurements and liquid handling procedures used to make concentrates or solutions. Furthermore, variations may arise from inadvertent errors in measurement procedures applied to the manufacture of compositions or in the implementation of the methods or the like, differences in manufacture, source (source), or the purity of ingredients (purity). In one aspect, the term "about" means within 10% of the reported value. On the other hand, the term "about" means within 5% of the reported value. In yet another aspect, the term "about" means within 10, 9, 8, 7, 6, 5, 4, 3, 2, or 1% of the reported value.

請參考圖2,在一些實施例中,中介層120可包括一中介成核層121以及一中介塊狀層123。首先,中介成核層121可形成在下層110上。接下來,中介塊狀層123可形成在中介成核層121上。Please refer to FIG. 2 , in some embodiments, the interposer layer 120 may include an intermediary nucleation layer 121 and an intermediary bulk layer 123 . First, a mediation nucleation layer 121 may be formed on the lower layer 110 . Next, a mediation bulk layer 123 may be formed on the mediation nucleation layer 121 .

在一些實施例中,中介成核層121與中介塊狀層123可包含矽化鎢。詳而言之,反應氣體(例如六氟化鎢(tungsten hexafluoride))、惰性載體氣體(例如氬、氮以及氦),以及期望的矽源氣體可在一預混腔室中組合,然後在包括下層110之中間半導體元件上流動。初始,矽源氣體可為矽烷(silane)。氣體混合物可用於形成中介成核層121。形成中介成核層121之後,可轉換矽源氣體且二氯矽烷(dichlorosilane)可當成用於沉積中介塊狀層123的矽源氣體。矽源氣體的轉換可以突然進行,或是逐漸進行。In some embodiments, the intermediate nucleation layer 121 and the intermediate bulk layer 123 may include tungsten silicide. Specifically, reactive gases (such as tungsten hexafluoride), inert carrier gases (such as argon, nitrogen, and helium), and the desired silicon source gas can be combined in a premixed chamber, and then The lower layer 110 flows over the intermediate semiconductor elements. Initially, the silicon source gas may be silane. The gas mixture may be used to form the intermediary nucleation layer 121 . After the intermediate nucleation layer 121 is formed, the silicon source gas can be switched and dichlorosilane can be used as the silicon source gas for depositing the intermediate bulk layer 123 . The switching of the silicon source gas can be performed suddenly or gradually.

在一些實施例中,該等惰性載體氣體的流量可為矽源氣體(不是矽烷就是二氯矽烷)之流量的5到10倍大。在一些實施例中,矽源氣體(不是矽烷就是二氯矽烷)之流量又可以是反應氣體之流量的大約50到100倍。在一些實施例中,矽烷流量可大約為400每分鐘標準立方公分(standard cubic centimeters per minute,sccm)。反應氣體的流量可為大約4sccm。該等惰性載體氣體的流量可為大約2800sccm。In some embodiments, the flow rate of the inert carrier gas may be 5 to 10 times greater than the flow rate of the silicon source gas (either silane or dichlorosilane). In some embodiments, the flow rate of the silicon source gas (either silane or dichlorosilane) may be about 50 to 100 times the flow rate of the reactant gas. In some embodiments, the silane flow rate may be approximately 400 standard cubic centimeters per minute (sccm). The flow rate of the reactant gas may be about 4 sccm. The flow rate of the inert carrier gas may be about 2800 sccm.

在一些實施例中,形成中介成核層121的製程溫度可小於500°C。在一些實施例中,形成中介成核層121的製程溫度可大約為450°C。在一些實施例中,形成中介成核層121的製程溫度可大約為400°C或小於400°C。在一些實施例中,形成中介成核層121的製程溫度可大約為250°C或大約為400°C。在一些實施例中,中介塊狀層123可以與形成中介成核層121的製程溫度相同之一溫度而形成。In some embodiments, the process temperature for forming the intermediate nucleation layer 121 may be less than 500°C. In some embodiments, the process temperature for forming the intermediate nucleation layer 121 may be about 450°C. In some embodiments, the process temperature for forming the intermediate nucleation layer 121 may be about 400°C or less than 400°C. In some embodiments, the process temperature for forming the intermediate nucleation layer 121 may be about 250°C or about 400°C. In some embodiments, the intervening bulk layer 123 may be formed at the same process temperature as the intervening nucleation layer 121 .

在一些實施例中,形成中介成核層121的製程持續時間(process duration)可介於大約1秒到大約25秒之間。In some embodiments, the process duration for forming the intermediate nucleation layer 121 may be between about 1 second and about 25 seconds.

在一些實施例中,形成中介成核層121的基底溫度可介於大約200°C到大約500°C之間。In some embodiments, the substrate temperature for forming the intermediary nucleation layer 121 may be between about 200°C and about 500°C.

由於中介成核層121的存在,因此中介塊狀層123可使用無須任何電漿加強技術之輔助的一製程進行沉積。因此,用於形成中介層120之設備需求可更簡易,並可降低形成中介層120的成本。Due to the presence of the intervening nucleation layer 121, the intervening bulk layer 123 can be deposited using a process that does not require any assistance from plasma-enhanced techniques. Therefore, the equipment requirements for forming the interposer 120 can be simplified, and the cost of forming the interposer 120 can be reduced.

在一些實施例中,中介成核層121的形成是可選擇的。中介塊狀層123可直接形成在下層110上。In some embodiments, the formation of the intermediary nucleation layer 121 is optional. The intervening bulk layer 123 may be directly formed on the lower layer 110 .

請參考圖1及圖3,在步驟S15,一填充層130可形成在中介層120上。Referring to FIG. 1 and FIG. 3 , in step S15 , a filling layer 130 may be formed on the interposer layer 120 .

請參考圖3,在一些實施例中,填充層130可包括一填充成核層131以及一填充塊狀層133。首先,填充成核層131可形成在中介層120的中介塊狀層123上。接下來,填充塊狀層133可形成在填充成核層131上。在一些實施例中,填充塊狀層133的一顆粒尺寸可大於30nm、大於50nm、大於70nm、大於80nm、大於85nm或是大於87nm。在一些實施例中,填充塊狀層133可包括α-鎢。Referring to FIG. 3 , in some embodiments, the filling layer 130 may include a filling nucleation layer 131 and a filling bulk layer 133 . First, a filling nucleation layer 131 may be formed on the interposer bulk layer 123 of the interposer 120 . Next, a filling bulk layer 133 may be formed on the filling nucleation layer 131 . In some embodiments, a grain size of the filled bulk layer 133 may be greater than 30 nm, greater than 50 nm, greater than 70 nm, greater than 80 nm, greater than 85 nm, or greater than 87 nm. In some embodiments, the filler bulk layer 133 may include α-tungsten.

在一些實施例中,填充成核層131與填充塊狀層133可包括鎢。鎢在多個積體電路元件之多個動態隨機存取記憶體類型的多個閘極電極以及多個字元線與位元線中可能特別有用,因為其在接下來的高溫製程期間之熱穩定性,其中製程溫度可到達900°C或是更高。此外,鎢是一種高折射材料,其具有良好的抗氧化性以及較低的一電阻率。In some embodiments, the filling nucleation layer 131 and the filling bulk layer 133 may include tungsten. Tungsten may be particularly useful in gate electrodes of DRAM types and word and bit lines of integrated circuit components because of its heat loss during the subsequent high temperature process. Stability, where the process temperature can reach 900°C or higher. In addition, tungsten is a high-refractive material with good oxidation resistance and low resistivity.

在一些實施例中,填充成核層131可具有一薄共形層,其用於促進隨後在其上所形成的塊狀材料(即填充塊狀層133)。符合下面的中介層120對於支持高品質沉積可能是關鍵的。在一些實施例中,填充成核層131的製作技術可包含一脈衝成核層方法。In some embodiments, the filled nucleation layer 131 may have a thin conformal layer that serves to facilitate subsequent formation of bulk material thereon (ie, filled bulk layer 133 ). Compliance with the underlying interposer 120 may be critical to support high quality deposition. In some embodiments, the fabrication technique for filling the nucleation layer 131 may include a pulsed nucleation layer method.

在該脈衝成核層方法中,反應物的脈衝可依序注入反應腔室或是從反應腔室淨化,通常是藉由在該等反應物之間的一淨化氣體的一脈衝。一第一反應物可被吸收到基底上(例如中介層120),可用於與下一個反應物進行反應。該製程以一循環方式重複,直到達到期望的厚度為止。應當理解,該脈衝成核層方法與原子層沉積的區別通常在於其更高的操作壓力範圍(大於1Torr)以及每個循環之更高的生長速率(每個循環大於1個單層膜生長)。在該脈衝成核層方法期間,腔室壓力可介於大約1Torr到大約400Torr的範圍之間。In the pulsed nucleation layer approach, pulses of reactants may be sequentially injected into or purged from the reaction chamber, typically by a pulse of a purge gas between the reactants. A first reactant can be absorbed onto the substrate (eg, interposer 120 ) and can be used to react with the next reactant. This process is repeated in a loop until the desired thickness is achieved. It should be understood that the pulsed nucleation layer method is generally distinguished from ALD by its higher operating pressure range (greater than 1 Torr) and higher growth rate per cycle (greater than 1 monolayer growth per cycle) . During the pulsed nucleation layer process, the chamber pressure may range from about 1 Torr to about 400 Torr.

在一些實施例中,舉例來說,形成填充成核層131的反應物可為一含硼還原劑、一含矽還原劑、一含鍺還原劑以及一含鎢前驅物。在一些實施例中,該含硼還原劑可為甲硼烷(borane)或乙硼烷(diborane)。在一些實施例中,該含矽還原劑可為矽烷(silane)。在一些實施例中,該含鎢前驅物可包括六氟化鎢(tungsten hexafluoride)、六氯化鎢(tungsten hexachloride)或是六羰基鎢(tungsten hexacarbonyl)。在一些實施例中,該含鎢前驅物可包括不含氟的有機金屬化合物,例如甲基環戊二烯-二羰基亞硝醯-鎢 (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten,MDNOW)以及乙基環戊二烯-二羰基亞硝醯-鎢 (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten,EDNOW)。In some embodiments, for example, the reactant for forming the filling nucleation layer 131 may be a boron-containing reducing agent, a silicon-containing reducing agent, a germanium-containing reducing agent, and a tungsten-containing precursor. In some embodiments, the boron-containing reducing agent can be borane or diborane. In some embodiments, the silicon-containing reducing agent can be silane. In some embodiments, the tungsten-containing precursor may include tungsten hexafluoride, tungsten hexachloride or tungsten hexacarbonyl. In some embodiments, the tungsten-containing precursor may include fluorine-free organometallic compounds, such as methylcyclopentadienyl-dicarbonylnitrosyl-tungsten (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten, MDNOW) and ethylcyclopentadienyl Diene-dicarbonylnitrosyl-tungsten (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten, EDNOW).

在一些實施例中,含鍺還原劑可為錯烷(germane),例如Ge nH n+4、Ge nH n+6、Ge nH n+8、Ge nH m,其中n為從1到10的一整數,且n為不同於m的一整數。舉例來說,亦可使用其他含鍺化合物,例如烷基鍺烷(alkyl germanes)、烷基鍺(alkyl germaniums)、胺基鍺烷(aminogermanes)、碳鍺烷(carbogermanes)、及鹵素鍺烷(halogermanes)。 In some embodiments, the germanium-containing reducing agent can be a germane, such as Ge n H n+4 , Ge n H n+6 , Ge n H n+8 , Gen H m , wherein n is from 1 an integer up to 10, and n is an integer different from m. For example, other germanium-containing compounds such as alkyl germanes, alkyl germaniums, aminogermanes, carbogermanes, and halogen germanes ( Halogermanes).

形成填充成核層131之例示的製程說明如下。首先,在圖2中所描述的中間半導體元件可暴露在一氫環境中之含鍺還原劑的多個脈衝,以形成一層鍺在中介塊狀層123上。在一些實施例中,含氫對鍺還原劑比率可為大約10:1、大約50:1、大約70:1或是大約100:1。氫的存在可減少每周期所沉積的厚度,並降低所沉積之填充層130的電阻。An exemplary process for forming the filling nucleation layer 131 is described below. First, the intermediate semiconductor device depicted in FIG. 2 may be exposed to multiple pulses of a germanium-containing reducing agent in a hydrogen environment to form a layer of germanium on the intervening bulk layer 123 . In some embodiments, the ratio of hydrogen-containing to germanium-containing reducing agent may be about 10:1, about 50:1, about 70:1, or about 100:1. The presence of hydrogen reduces the deposited thickness per cycle and reduces the resistance of the deposited fill layer 130 .

在一些實施例中,可使用一或多個額外之還原劑的多個脈衝,例如含硼或是含矽還原劑的多個脈衝。該等額外的還原劑可與含鍺還原劑依序或是同時進行脈衝。在一些實施例中,該等脈衝之間的時間區間可介於大約0.5秒到大約5秒之間。在一些實施例中,含鍺還原劑的該等脈衝是可選擇的,可僅使用含硼或含矽還原劑的該等脈衝。In some embodiments, multiple pulses of one or more additional reducing agents may be used, such as multiple pulses of boron-containing or silicon-containing reducing agents. The additional reducing agents can be pulsed sequentially or simultaneously with the germanium-containing reducing agent. In some embodiments, the time period between the pulses may be between about 0.5 seconds and about 5 seconds. In some embodiments, the pulses of germanium-containing reducing agents are optional, and only boron- or silicon-containing reducing agents may be used.

在一些實施例中,脈衝的持續時間(duration)可介於大約0.25秒到大約30秒之間、介於大約0.25秒到大約5秒之間,或是介於大約0.5秒到大約3秒之間。該脈衝可能足以使中介塊狀層123的表面飽和或是過飽和。在一些實施例中,可使用一載體氣體,例如氬、氦或氮。在一些實施例中,可執行一可選擇的淨化(purge)製程,以清除仍處於氣相但未吸附到中介塊狀層123之表面的多餘含鍺還原劑。該淨化製程可藉由在一固定壓力下流動一惰性氣體來進行,藉此降低該腔室的壓力並在開始另一次氣體暴露之前重新加壓該腔室。In some embodiments, the duration of the pulse may be between about 0.25 seconds to about 30 seconds, between about 0.25 seconds to about 5 seconds, or between about 0.5 seconds to about 3 seconds between. This pulse may be sufficient to saturate or supersaturate the surface of the intervening bulk layer 123 . In some embodiments, a carrier gas such as argon, helium or nitrogen may be used. In some embodiments, an optional purge process may be performed to remove excess germanium-containing reductant that is still in the gas phase but not adsorbed to the surface of the intervening bulk layer 123 . The purge process can be performed by flowing an inert gas at a fixed pressure, thereby depressurizing the chamber and repressurizing the chamber before initiating another gas exposure.

接著,該中間半導體元件可暴露在該含鎢前驅物的多個脈衝。該含鎢前驅物與所沉積之該層鍺進行反應,以形成元素鎢。在一些實施例中,脈衝的持續時間可介於大約0.25秒到大約30秒、介於大約0.25秒到大約5秒或是介於大約0.5秒到大約3秒。該脈衝可足以與中介塊狀層123之表面上的鍺吸附到表面上的多個反應位點進行反應。在一些實施例中,該等脈衝之間的時間區間可介於大約0.5秒到大約5秒之間。在一些實施例中,可使用一載體氣體,例如氬、氦或氮。在一些實施例中,可在一氫環境中執行暴露在該含鎢前驅物。在一些實施例中,可執行一可選擇的淨化(purge)製程,以清除仍處於氣相但未吸附到中介塊狀層123之表面的多餘含鎢還原劑。該淨化製程可藉由在一固定壓力下流動一惰性氣體來進行,藉此降低該腔室的壓力並在開始另一次氣體暴露之前重新加壓該腔室。Next, the intermediate semiconductor element can be exposed to pulses of the tungsten-containing precursor. The tungsten-containing precursor reacts with the deposited layer of germanium to form elemental tungsten. In some embodiments, the duration of the pulse may be between about 0.25 seconds to about 30 seconds, between about 0.25 seconds to about 5 seconds, or between about 0.5 seconds to about 3 seconds. The pulse may be sufficient to react with multiple reaction sites on the surface of the intervening bulk layer 123 where germanium is adsorbed onto the surface. In some embodiments, the time period between the pulses may be between about 0.5 seconds and about 5 seconds. In some embodiments, a carrier gas such as argon, helium or nitrogen may be used. In some embodiments, exposure to the tungsten-containing precursor may be performed in a hydrogen environment. In some embodiments, an optional purge process may be performed to remove excess tungsten-containing reducing agent that is still in the gas phase but not adsorbed to the surface of the intervening bulk layer 123 . The purge process can be performed by flowing an inert gas at a fixed pressure, thereby depressurizing the chamber and repressurizing the chamber before initiating another gas exposure.

最後,可重複暴露在含鍺還原劑與含鎢前驅物,直到填充成核層131的一期望厚度沉積在中介塊狀層123的表面上為止。暴露在含鍺還原劑與含鎢前驅物之該等脈衝的每一個重複可視為一周期(cycle)。在一些實施例中,填充成核層131的厚度T2可小於1nm。在一些實施例中,填充成核層131的厚度T2可介於大約1nm到大約20nm之間。在一些實施例中,填充成核層131的厚度T2可介於大約1nm到大約10nm之間。Finally, the exposure to the germanium-containing reducing agent and the tungsten-containing precursor may be repeated until a desired thickness of the fill nucleation layer 131 is deposited on the surface of the intervening bulk layer 123 . Each repetition of the pulses of exposure to the germanium-containing reducing agent and the tungsten-containing precursor can be considered a cycle. In some embodiments, the thickness T2 of the filled nucleation layer 131 may be less than 1 nm. In some embodiments, the thickness T2 of the filled nucleation layer 131 may be between about 1 nm and about 20 nm. In some embodiments, the thickness T2 of the filled nucleation layer 131 may be between about 1 nm and about 10 nm.

在一些實施例中,暴露在含鍺還原劑與含鎢前驅物之該等脈衝的順序可相反,以使含鎢前驅物先進行脈衝。In some embodiments, the order of the pulses of exposure to the germanium-containing reducing agent and the tungsten-containing precursor may be reversed such that the tungsten-containing precursor is pulsed first.

請參考圖3,舉例來說,填充塊狀層133可藉由物理氣相沉積、原子層沉積、分子層沉積、化學氣相沉積、原位激化輔助沉積(in-situ radical assisted deposition)、金屬有機氣相沉積(metalorganic chemical vapor deposition)、分子束磊晶法(molecular beam epitaxy)、噴濺、鍍覆、蒸鍍、離子束沉積、電子束沉積、雷射輔助沉積、化學溶液沉積或其組合而形成在填充成核層131上。Referring to FIG. 3, for example, the bulk layer 133 can be filled by physical vapor deposition, atomic layer deposition, molecular layer deposition, chemical vapor deposition, in-situ radical assisted deposition (in-situ radical assisted deposition), metal organic chemical vapor deposition, molecular beam epitaxy, sputtering, plating, evaporation, ion beam deposition, electron beam deposition, laser assisted deposition, chemical solution deposition, or combinations thereof And formed on the filling nucleation layer 131 .

舉例來說,使用化學氣相沉積之填充塊狀層133的沉積可包括將一含鎢前驅物以及一共反應物流動到該中間半導體元件,該共反應物例如一還原劑,而該中間半導體元件則包括填充成核層131。製程壓力的例子可介於大約10Torr到大約500Torr之間。基底溫度的例子可介於大約250°C到大約495°C之間。舉例來說,含鎢前驅物可為六氟化鎢(tungsten hexafluoride)、氯化鎢(tungsten chloride)或是六羰基鎢(tungsten hexacarbonyl)。舉例來說,還原劑可為氫氣、矽烷(silane)、二矽烷(disilane)、肼(hydrazine)、乙硼烷(diborane)或是錯烷(germane)。For example, deposition of the filling bulk layer 133 using chemical vapor deposition can include flowing a tungsten-containing precursor and a co-reactant, such as a reducing agent, to the intermediate semiconductor element, and the intermediate semiconductor element The nucleation layer 131 is then filled. An example process pressure may be between about 10 Torr and about 500 Torr. An example substrate temperature can be between about 250°C and about 495°C. For example, the tungsten-containing precursor can be tungsten hexafluoride, tungsten chloride or tungsten hexacarbonyl. For example, the reducing agent can be hydrogen, silane, disilane, hydrazine, diborane or germane.

替代地,在一些實施例中,填充成核層131可為可選擇的。填充成核層133可直接藉由物理氣相沉積而形成在中介塊狀層123上。Alternatively, filling the nucleation layer 131 may be optional in some embodiments. The filling nucleation layer 133 can be directly formed on the intervening bulk layer 123 by physical vapor deposition.

應當理解,在藉由物理氣相沉積所形成之填充塊狀層133的期間,可能會消耗由矽化鎢所形成的中介層120。若是中介層120的厚度小於4.0nm的話,則在晶圓邊緣的中介層120可能被完全消耗(或消耗更多),而在晶圓中心的中介層120可能被部分消耗(或消耗更少)。因此,在晶圓邊緣處具有柱狀顆粒結構之下層110的底部在填充層130形成期間可能接觸填充層130,以影響填充層130在晶圓邊緣處的最終顆粒結構。因此,在晶圓邊緣處之填充層130的電阻可能比在晶圓中心處之填充層130的電阻更差。換言之,填充層的均勻度可能更差。It should be understood that interposer 120 formed of tungsten silicide may be consumed during the formation of filling bulk layer 133 by physical vapor deposition. If the thickness of the interposer 120 is less than 4.0 nm, the interposer 120 at the edge of the wafer may be completely consumed (or more consumed), while the interposer 120 at the center of the wafer may be partially consumed (or less consumed) . Therefore, the bottom of the layer 110 having the columnar grain structure at the wafer edge may contact the filling layer 130 during the formation of the filling layer 130 to affect the final grain structure of the filling layer 130 at the wafer edge. Therefore, the resistance of the fill layer 130 at the edge of the wafer may be worse than the resistance of the fill layer 130 at the center of the wafer. In other words, the uniformity of the filling layer may be worse.

在本實施例中,中介層120形成有大於4.0nm的一厚度,以降低或避免電阻均勻度的問題。In this embodiment, the interposer 120 is formed with a thickness greater than 4.0 nm to reduce or avoid the problem of resistance uniformity.

請參考圖3,下層110、中介層120以及填充層130一起配置成導電層堆疊100。Referring to FIG. 3 , the lower layer 110 , the interposer layer 120 and the filling layer 130 are configured together to form a conductive layer stack 100 .

圖4是流程示意圖,例示本揭露一實施例之半導體元件1A的製備方法20。圖5是頂視示意圖,例示本揭露一實施例之中間半導體元件。圖6是剖視示意圖,例示本揭露一實施例製備沿著圖5之剖線A-A’的剖面。圖7是頂視示意圖,例示本揭露一實施例之中間半導體元件。圖8是剖視示意圖,例示沿著圖7之剖線A-A’的剖面。FIG. 4 is a schematic flowchart illustrating a method 20 for manufacturing a semiconductor device 1A according to an embodiment of the present disclosure. FIG. 5 is a schematic top view illustrating an intermediate semiconductor device according to an embodiment of the present disclosure. FIG. 6 is a schematic cross-sectional view illustrating an embodiment of the present disclosure along the section line A-A' of FIG. 5 . FIG. 7 is a schematic top view illustrating an intermediate semiconductor device according to an embodiment of the present disclosure. Fig. 8 is a schematic cross-sectional view illustrating a cross-section taken along line A-A' of Fig. 7 .

請參考圖4到圖8,在步驟S21,可提供一基底201,一絕緣層203可形成在基底201中,以界定一主動區205,且一井區301可形成在主動區205中。Please refer to FIG. 4 to FIG. 8 , in step S21 , a substrate 201 may be provided, an insulating layer 203 may be formed in the substrate 201 to define an active region 205 , and a well region 301 may be formed in the active region 205 .

請參考圖5及圖6,基底201可包括一含矽材料。適合於基底201之含矽材料的例子可包括矽、矽鍺、摻碳矽鍺,矽鍺碳化物、摻碳矽、氮化矽及其多層,但並不以此為限。雖然矽是在晶片製造中主要使用的半導體材料,但在一些實施例中,可採用替代半導體材料作為多個附加層,例如鍺、砷化鎵、氮化鎵、矽鍺、碲化鎘(cadmium telluride) 、硒化鋅(zinc selenide)、鍺錫(germanium tin)等等,但並不以此為限。Please refer to FIG. 5 and FIG. 6 , the substrate 201 may include a silicon-containing material. Examples of silicon-containing materials suitable for the substrate 201 include, but are not limited to, silicon, silicon germanium, carbon-doped silicon germanium, silicon-germanium carbide, carbon-doped silicon, silicon nitride and multilayers thereof. Although silicon is the primary semiconductor material used in wafer fabrication, in some embodiments alternative semiconductor materials may be used for additional layers such as germanium, gallium arsenide, gallium nitride, silicon germanium, cadmium telluride (cadmium telluride) telluride), zinc selenide (zinc selenide), germanium tin (germanium tin), etc., but not limited thereto.

可執行一系列的沉積製程以沉積一墊氧化物層(圖未示)以及一墊氮化物層(圖未示)在基底201上。可執行一微影製程以藉由形成一遮罩層(圖未示)在該墊氮化物層上而界定絕緣層203的位置。在微影製程之後,可執行一蝕刻製程,例如一非等向性乾蝕刻製程,以形成沿著該墊氮化物以及該墊氧化物穿過並延伸到基底201的一溝槽(圖未示)。一隔離材料可沉積進入該溝槽中。可依序執行一平坦化製程,例如化學機械研磨,以移除多餘材料直到基底201的上表面暴露為止,以便形成絕緣層203。絕緣層203的上表面以及基底201的上表面可大致呈共面。舉例來說,隔離材料可為氧化矽、氮化矽、氮氧化矽、氧化氮化矽或是摻氟矽酸鹽。A series of deposition processes can be performed to deposit a pad oxide layer (not shown) and a pad nitride layer (not shown) on the substrate 201 . A lithography process may be performed to define the location of the insulating layer 203 by forming a mask layer (not shown) on the pad nitride layer. After the lithography process, an etching process, such as an anisotropic dry etching process, may be performed to form a trench (not shown) along the pad nitride and the pad oxide through and extending to the substrate 201. ). An isolation material can be deposited into the trench. A planarization process, such as chemical mechanical polishing, may be performed sequentially to remove excess material until the upper surface of the substrate 201 is exposed, so as to form the insulating layer 203 . The upper surface of the insulating layer 203 and the upper surface of the substrate 201 may be substantially coplanar. For example, the isolation material can be silicon oxide, silicon nitride, silicon oxynitride, silicon oxynitride or fluorine-doped silicate.

應當理解,在本揭露的描述中,氮氧化矽表示一物質(substance),其包含矽、氮以及氧,且氧的一比例大於氮的一比例。氧化氮化物表示一物質,其包含矽、氧以及氮,且氮的一比例大於氧的一比例。It should be understood that in the description of the present disclosure, silicon oxynitride refers to a substance including silicon, nitrogen and oxygen, and a proportion of oxygen is greater than a proportion of nitrogen. Oxynitride refers to a substance that includes silicon, oxygen and nitrogen, and a proportion of nitrogen is greater than a proportion of oxygen.

請參考圖5及圖6,基底201被絕緣層203所圍繞的該等部分可視為主動區205。Referring to FIG. 5 and FIG. 6 , the parts of the substrate 201 surrounded by the insulating layer 203 can be regarded as the active region 205 .

請參考圖7及圖8,井區301可形成在主動區205中。在一些實施例中,可執行一p型雜質植入製程,以形成一井區301在主動區205中。該p型雜質植入製程可將多個雜質添加到一本質半導體中,而該本質半導體產生多個價電子的多個缺陷。在一含矽基底中,p型摻雜物的例子,即雜質,則包括硼、鋁、鎵或銦,但並不以此為限。在一些實施例中,井區3201可具有一第一電類型(例如p型)。Referring to FIG. 7 and FIG. 8 , the well region 301 may be formed in the active region 205 . In some embodiments, a p-type impurity implantation process may be performed to form a well region 301 in the active region 205 . The p-type impurity implantation process can add impurities to an intrinsic semiconductor, and the intrinsic semiconductor generates defects of valence electrons. In a silicon-containing substrate, examples of p-type dopants, ie impurities, include, but are not limited to, boron, aluminum, gallium or indium. In some embodiments, the well region 3201 may have a first electrical type (eg, p-type).

圖9是頂視示意圖,例示本揭露一實施例之中間半導體元件。圖10是剖視示意圖,例示沿著圖9之剖線A-A’的剖面。圖11是頂視示意圖,例示本揭露一實施例之中間半導體元件。圖12是剖視示意圖,例示沿著圖11之剖線A-A’的剖面。圖13是頂視示意圖,例示本揭露一實施例之中間半導體元件。圖14是剖視示意圖,例示沿著圖13之剖線A-A’的剖面。圖15是頂視示意圖,例示本揭露一實施例之中間半導體元件。圖16是剖視示意圖,例示沿著圖15之剖線A-A’的剖面。FIG. 9 is a schematic top view illustrating an intermediate semiconductor device according to an embodiment of the present disclosure. Fig. 10 is a schematic cross-sectional view illustrating a cross-section taken along line A-A' of Fig. 9 . FIG. 11 is a schematic top view illustrating an intermediate semiconductor device according to an embodiment of the present disclosure. Fig. 12 is a schematic cross-sectional view illustrating a cross-section taken along line A-A' in Fig. 11 . FIG. 13 is a schematic top view illustrating an intermediate semiconductor device according to an embodiment of the present disclosure. Fig. 14 is a schematic cross-sectional view illustrating a cross-section taken along line A-A' in Fig. 13 . FIG. 15 is a schematic top view illustrating an intermediate semiconductor device according to an embodiment of the present disclosure. Fig. 16 is a schematic cross-sectional view illustrating a cross-section taken along line A-A' in Fig. 15 .

請參考圖4、圖9及圖10,在步驟S23,一閘極結構410可形成在井區301上。Please refer to FIG. 4 , FIG. 9 and FIG. 10 , in step S23 , a gate structure 410 may be formed on the well region 301 .

請參考圖9及圖10,閘極結構410可形成在井區301上以及在絕緣層203上。在一頂視圖中,閘極結構410可沿著方向Y延伸,並與沿著方向X的主動區205交叉。Referring to FIG. 9 and FIG. 10 , the gate structure 410 may be formed on the well region 301 and on the insulating layer 203 . In a top view, the gate structure 410 may extend along the direction Y and intersect the active region 205 along the direction X. Referring to FIG.

請參考圖9及圖10,閘極結構410可包括一閘極隔離層411、一閘極導電層413以及一閘極罩蓋層415。閘極隔離層411可形成在井區301上。在一些實施例中,閘極隔離層411的厚度可為大約50Å或是小於50Å。Referring to FIG. 9 and FIG. 10 , the gate structure 410 may include a gate isolation layer 411 , a gate conductive layer 413 and a gate cover layer 415 . A gate isolation layer 411 may be formed on the well region 301 . In some embodiments, the thickness of the gate isolation layer 411 may be about 50 Å or less than 50 Å.

在一些實施例中,舉例來說,閘極隔離層411可包含氧化矽。在一些實施例中,舉例來說,閘極隔離層411可包含一高介電常數的介電材料,例如金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬的氮氧化物、金屬鋁酸鹽、鋯矽酸鹽、鋯鋁酸鹽或其組合。In some embodiments, for example, the gate isolation layer 411 may include silicon oxide. In some embodiments, for example, the gate isolation layer 411 may include a high dielectric constant dielectric material, such as metal oxide, metal nitride, metal silicate, transition metal oxide, transition metal nitride , a transition metal silicate, a metal oxynitride, a metal aluminate, a zirconium silicate, a zircoaluminate, or a combination thereof.

高介電常數之介電材料的例子可包括氧化鉿、氧化矽鉿、氮氧化矽鉿、氧化鉭鉿、氧化鈦鉿、氧化鋯鉿、氧化鑭鉿、氧化鑭、氧化鋯、氧化鈦、氧化鉭、氧化釔、氧化鈦鍶、氧化鈦鋇、氧化鋯鋇、氧化矽鑭、氧化矽鋁、氧化鋁、氮化矽、氮氧化矽、氧化氮化矽或其組合,但並不以此為限。Examples of high dielectric constant dielectric materials may include hafnium oxide, hafnium silicon oxide, hafnium silicon oxynitride, hafnium tantalum oxide, hafnium titanium oxide, hafnium zirconium oxide, hafnium lanthanum oxide, lanthanum oxide, zirconium oxide, titanium oxide, hafnium oxide Tantalum, yttrium oxide, strontium titania, barium titania, barium zirconia, lanthanum silicon oxide, aluminum silicon oxide, aluminum oxide, silicon nitride, silicon oxynitride, silicon oxynitride, or combinations thereof, but not as a limit.

在一些實施例中,閘極隔離層411可為一多層結構,舉例來說,其包括一層氧化矽以及另一層高介電常數的介電材料。In some embodiments, the gate isolation layer 411 can be a multi-layer structure, for example, it includes a layer of silicon oxide and another layer of high-k dielectric material.

請參考圖9及圖10,閘極導電層413可形成在閘極隔離層411上。在一些實施例中,舉例來說,閘極導電層413可包含(摻雜)多晶矽鍺、(摻雜)多晶矽鍺或是其他適合的導電材料。Referring to FIG. 9 and FIG. 10 , the gate conductive layer 413 may be formed on the gate isolation layer 411 . In some embodiments, for example, the gate conductive layer 413 may include (doped) polysilicon germanium, (doped) polysilicon germanium, or other suitable conductive materials.

請參考圖9及圖10,閘極罩蓋層415可形成在閘極導電層413上。舉例來說,閘極罩蓋層415可包含氧化矽、氮化矽、氧化氮化矽或是氮氧化矽。Referring to FIG. 9 and FIG. 10 , the gate capping layer 415 may be formed on the gate conductive layer 413 . For example, the gate cap layer 415 may include silicon oxide, silicon nitride, silicon oxynitride or silicon oxynitride.

請參考圖4、圖13及圖14,在步驟S25,複數個輕度摻雜區303可形成在井區301中並鄰近閘極結構410。Please refer to FIG. 4 , FIG. 13 and FIG. 14 , in step S25 , a plurality of lightly doped regions 303 may be formed in the well region 301 and adjacent to the gate structure 410 .

請參考圖13及圖14,可使用閘極結構410當作多個遮罩而執行一n型雜質植入製程,以形成複數個輕度摻雜區303在井區301中。N型雜質植入製程可將貢獻多個自由電子的摻雜物添加到一本質半導體。在一含矽基底中,n型摻雜物的例子,即雜質,包括銻、砷或磷,但並不以此為限。在一些實施例中,複數個輕度摻雜區303可具有與第一電類型相對的第二電類型(例如n型)。Referring to FIG. 13 and FIG. 14 , an n-type impurity implantation process can be performed using the gate structure 410 as a plurality of masks to form a plurality of lightly doped regions 303 in the well region 301 . The N-type impurity implantation process can add dopants that donate multiple free electrons to an intrinsic semiconductor. In a silicon-containing substrate, examples of n-type dopants, ie, impurities, include, but are not limited to, antimony, arsenic, or phosphorus. In some embodiments, the plurality of lightly doped regions 303 may have a second electrical type (eg, n-type) opposite to the first electrical type.

請參考圖4、圖13及圖14,在步驟S27,一閘極間隙子417可形成在閘極結構410的一側壁410SW。Referring to FIG. 4 , FIG. 13 and FIG. 14 , in step S27 , a gate spacer 417 may be formed on the sidewall 410SW of the gate structure 410 .

請參考圖13及圖14,可共形地形成一層間隙子材料(圖未示)以覆蓋在圖11及圖12所描述的中間半導體元件。在一些實施例中,舉例來說,間隙子材料可為氧化矽、氮化矽、氮氧化矽、氧化氮化矽或其他適合的隔離材料。可執行一蝕刻製程,例如一非等向性乾蝕刻製程,以移除間隙子材料的一些部分,且同時形成閘極間隙子417在閘極結構410的側壁410SW上。閘極間隙子417亦可覆蓋複數個輕度摻雜區303的一些部分。Referring to FIGS. 13 and 14 , a layer of spacer material (not shown) may be conformally formed to cover the intermediate semiconductor device described in FIGS. 11 and 12 . In some embodiments, for example, the spacer material can be silicon oxide, silicon nitride, silicon oxynitride, silicon oxynitride, or other suitable isolation materials. An etching process, such as an anisotropic dry etching process, may be performed to remove some portion of the spacer material and simultaneously form the gate spacer 417 on the sidewall 410SW of the gate structure 410 . The gate spacers 417 may also cover some portions of the lightly doped regions 303 .

請參考圖4、圖15及圖16,在步驟S29,複數個雜質區305可形成在井區301中以及鄰近閘極間隙子417。Referring to FIG. 4 , FIG. 15 and FIG. 16 , in step S29 , a plurality of impurity regions 305 may be formed in the well region 301 and adjacent to the gate spacers 417 .

請參考圖15及圖16,可使用閘極結構410與閘極間隙子417當作遮罩而執行一n型雜質植入製程,以形成複數個雜質區305在井區301中。N型雜質植入製程可類似於在圖11及圖12所描述的製程,且在文中不再重複其描述。複數個雜質區305可鄰近複數個輕度摻雜區303。在一些實施例中,複數個雜質區305可具有與第一電類型相對的第二電類型(例如n型)。複數個雜質區305的摻雜濃度可大於複數個輕度摻雜區303的摻雜濃度。在一些實施例中,複數個雜質區305的摻雜濃度可大約為1E19 atoms/cm 3到大約為1E21/cm 3Referring to FIG. 15 and FIG. 16 , an n-type impurity implantation process can be performed using the gate structure 410 and the gate spacer 417 as a mask to form a plurality of impurity regions 305 in the well region 301 . The N-type impurity implantation process can be similar to the process described in FIG. 11 and FIG. 12 , and the description thereof will not be repeated here. The plurality of impurity regions 305 can be adjacent to the plurality of lightly doped regions 303 . In some embodiments, the plurality of impurity regions 305 may have a second electrical type (eg, n-type) opposite to the first electrical type. The doping concentration of the plurality of impurity regions 305 may be greater than that of the plurality of lightly doped regions 303 . In some embodiments, the doping concentration of the plurality of impurity regions 305 may be approximately 1E19 atoms/cm 3 to approximately 1E21/cm 3 .

圖17是頂視示意圖,例示本揭露一實施例之中間半導體元件。圖18是剖視示意圖,例示沿著圖17之剖線A-A’的剖面。圖19是頂視示意圖,例示本揭露一實施例之中間半導體元件。圖20及圖21是剖視示意圖,例示沿著圖19之剖線A-A’及B-B’的剖面。FIG. 17 is a schematic top view illustrating an intermediate semiconductor device according to an embodiment of the present disclosure. Fig. 18 is a schematic cross-sectional view illustrating a cross-section taken along line A-A' in Fig. 17 . FIG. 19 is a schematic top view illustrating an intermediate semiconductor device according to an embodiment of the present disclosure. 20 and 21 are schematic cross-sectional views illustrating cross-sections taken along the lines A-A' and B-B' in FIG. 19 .

請參考圖4、圖17及圖18,在步驟S31,一介電層207可形成在基底201上,並可形成複數個第一開口701以暴露複數個雜質區305。Referring to FIG. 4 , FIG. 17 and FIG. 18 , in step S31 , a dielectric layer 207 may be formed on the substrate 201 , and a plurality of first openings 701 may be formed to expose the plurality of impurity regions 305 .

請參考圖17及圖18,可形成介電層207以覆蓋閘極結構410、閘極間隙子417、複數個雜質區305以及絕緣層203。可執行一平坦化製程,例如化學機械研磨,以提供一大致平坦表面給接下來的處理步驟。舉例來說,介電層207可包含二氧化矽、未摻雜矽酸鹽玻璃、氟矽酸鹽玻璃、硼磷矽酸鹽玻璃、一旋塗低介電常數的介電材料、一化學氣相沉積低介電常數的介電材料或其組合。如本揭露整體所使用之術語「低介電常數(low-k)」表示具有小於二氧化矽的一介電常數。在一些實施例中,介電層207可包括例如一旋塗玻璃的一自平坦化材料,或是例如SiLK™的一旋塗低介電常數的介電材料。使用自平坦化材料可避免需要在接下來執行一平坦化步驟。在一些實施例中,介電層207的製作技術可包含一沉積製程,例如包括化學氣相沉積、電漿加強化學氣相沉積、蒸鍍或旋轉塗佈。在一些實施例中,可執行一平坦化製程,例如化學機械研磨,以提供一大致平坦表面給接下來的處理步驟。Referring to FIG. 17 and FIG. 18 , a dielectric layer 207 may be formed to cover the gate structure 410 , the gate spacers 417 , the plurality of impurity regions 305 and the insulating layer 203 . A planarization process, such as chemical mechanical polishing, may be performed to provide a substantially flat surface for subsequent processing steps. For example, the dielectric layer 207 may include silicon dioxide, undoped silicate glass, fluorosilicate glass, borophosphosilicate glass, a spin-on low-k dielectric material, a chemical gas Phase deposition of low-k dielectric materials or combinations thereof. The term "low-k" as used throughout this disclosure means having a dielectric constant lower than that of silicon dioxide. In some embodiments, the dielectric layer 207 may include a self-planarizing material such as spin-on-glass, or a spin-on low-k dielectric material such as SiLK™. Using a self-planarizing material avoids the need for a subsequent planarization step. In some embodiments, the fabrication technique of the dielectric layer 207 may include a deposition process, such as chemical vapor deposition, plasma enhanced chemical vapor deposition, evaporation or spin coating. In some embodiments, a planarization process, such as chemical mechanical polishing, may be performed to provide a substantially flat surface for subsequent processing steps.

請參考圖17及圖18,複數個第一開口701可沿著介電層207而形成,以分別且對應暴露複數個雜質區305。複數個第一開口701的製作技術可包含使用界定複數個第一開口701之位置的一圖案的一蝕刻製程,例如一非等向性乾蝕刻製程。Referring to FIG. 17 and FIG. 18 , a plurality of first openings 701 may be formed along the dielectric layer 207 to respectively and correspondingly expose a plurality of impurity regions 305 . The fabrication technique of the plurality of first openings 701 may include an etching process using a pattern defining the locations of the plurality of first openings 701 , such as an anisotropic dry etching process.

請參考圖4及圖19到圖21,在步驟S33,一閘極接觸點開口703可沿著介電層207而形成,以暴露閘極結構410。Referring to FIG. 4 and FIGS. 19 to 21 , in step S33 , a gate contact opening 703 may be formed along the dielectric layer 207 to expose the gate structure 410 .

請參考圖19到圖21,閘極接觸點開口703可沿著介電層207與閘極罩蓋層415而形成,以暴露閘極導電層413。閘極接觸點開口703的製作技術可包含使用界定閘極接觸點開口703之位置的一第一遮罩801的一蝕刻製程,例如一非等向性乾蝕刻製程。第一遮罩801亦可在閘極接觸點開口703的蝕刻製程期間覆蓋複數個第一開口701。在閘極接觸點開口703的蝕刻製程之後,即可移除第一遮罩801。在頂視圖中,閘極接觸點開口703可遠離主動區205。意即,閘極接觸點開口703並未直接形成在主動區205上。Referring to FIGS. 19 to 21 , the gate contact opening 703 may be formed along the dielectric layer 207 and the gate capping layer 415 to expose the gate conductive layer 413 . Fabrication techniques for the gate contact opening 703 may include an etching process, such as an anisotropic dry etching process, using a first mask 801 defining the location of the gate contact opening 703 . The first mask 801 may also cover the plurality of first openings 701 during the etching process of the gate contact openings 703 . After the etching process of the gate contact opening 703, the first mask 801 can be removed. In a top view, the gate contact opening 703 may be away from the active region 205 . That is, the gate contact opening 703 is not directly formed on the active region 205 .

應當理解,為了清楚起見,一些元件(例如介電層207與第一遮罩801)並未顯示在頂視圖中。It should be understood that some elements, such as the dielectric layer 207 and the first mask 801 , are not shown in the top view for clarity.

圖22到圖27是剖視示意圖,例示本揭露一實施例製備半導體元件1A之部分流程的沿圖19之剖線A-A’與B-B’的剖面。22 to 27 are schematic cross-sectional views, illustrating the cross-sections along the lines A-A' and B-B' in FIG. 19 of a part of the process for manufacturing the semiconductor device 1A according to an embodiment of the present disclosure.

請參考圖4及圖22到圖27,在步驟S35,一下層110可共形地形成在基底201上,一中介層120可共形地形成在下層110上,一填充層130可形成在中介層120上。Please refer to FIG. 4 and FIG. 22 to FIG. 27. In step S35, the lower layer 110 can be conformally formed on the substrate 201, an interposer 120 can be conformally formed on the lower layer 110, and a filling layer 130 can be formed on the intermediary. on layer 120.

請參考圖22及圖23,下層110可共形地形成在複數個第一開口701與閘極接觸點開口703中。下層110的製作技術可包含類似於在圖2中所描述的一程序,且在文中不再重複其描述。Referring to FIG. 22 and FIG. 23 , the lower layer 110 may be conformally formed in the plurality of first openings 701 and the gate contact openings 703 . The fabrication technique of the lower layer 110 may include a procedure similar to that described in FIG. 2 , and its description will not be repeated herein.

請參考圖24及圖25,中介層120可共形地形成在下層110上以及在複數個第一開口701與閘極接觸點開口703中。中介層120可包括一中介成核層121以及一中介塊狀層123。中介層120的厚度T3可大於大約4.1nm。在一些實施例中,中介層120的厚度T3可大於大約4.3nm、大於大約4.6nm,或是大於大約5.2nm。在一些實施例中,中介層120的厚度T3可介於大約4.3nm到大約4.6nm之間。中介成核層121與中介塊狀層123的製作技術可包含類似於在圖2中所描述的一程序,且在文中不再重複其描述。Referring to FIG. 24 and FIG. 25 , the interposer 120 may be conformally formed on the lower layer 110 and in the plurality of first openings 701 and the gate contact openings 703 . The interposer layer 120 may include an interposer nucleation layer 121 and an interposer bulk layer 123 . The thickness T3 of the interposer 120 may be greater than about 4.1 nm. In some embodiments, the thickness T3 of the interposer 120 may be greater than about 4.3 nm, greater than about 4.6 nm, or greater than about 5.2 nm. In some embodiments, the thickness T3 of the interposer 120 may be between about 4.3 nm and about 4.6 nm. The fabrication techniques of the intermediate nucleation layer 121 and the intermediate bulk layer 123 may include a procedure similar to that described in FIG. 2 , and the description thereof will not be repeated herein.

請參考圖26及圖27,填充層130可包括一填充成核層131以及一填充塊狀層133。填充成核層131可共形地形成在中介層120上以及在複數個第一開口701與閘極接觸點開口703中。填充塊狀層133可形成在填充成核層131上並完全填滿複數個第一開口701與閘極接觸點開口703。填充成核層131與填充塊狀層133的製作技術可包含類似於在圖3中所描述的一程序,且在文中不再重複其描述。Please refer to FIG. 26 and FIG. 27 , the filling layer 130 may include a filling nucleation layer 131 and a filling bulk layer 133 . The fill nucleation layer 131 may be conformally formed on the interposer 120 and in the plurality of first openings 701 and the gate contact openings 703 . The filling bulk layer 133 can be formed on the filling nucleation layer 131 and completely fill up the plurality of first openings 701 and the gate contact openings 703 . The fabrication technique of filling the nucleation layer 131 and filling the bulk layer 133 may include a procedure similar to that described in FIG. 3 , and the description thereof will not be repeated herein.

圖28是頂視示意圖,例示本揭露一實施例之中間半導體元件。圖29及圖30是剖視示意圖,例示沿著圖28之剖線A-A’及B-B’的剖面。FIG. 28 is a schematic top view illustrating an intermediate semiconductor device according to an embodiment of the present disclosure. 29 and 30 are schematic cross-sectional views illustrating cross-sections taken along the lines A-A' and B-B' in FIG. 28 .

請參考圖4及圖28到圖30,在步驟S37,可執行一平坦化製程以形成複數個第一接觸點600在複數個第一開口701中以及形成一閘極接觸點500在閘極接觸點開口703中。Please refer to FIG. 4 and FIG. 28 to FIG. 30, in step S37, a planarization process may be performed to form a plurality of first contact points 600 in a plurality of first openings 701 and form a gate contact point 500 in the gate contact Point in opening 703 .

請參考圖28到圖30,可執行平坦化製程,例如化學機械研磨,直到介電層207的上表面暴露為止。在平坦化製程之後,下層110可轉換成多個第一接觸點(FC)阻障層610在複數個第一開口701中以及轉換成一閘極接觸(GC)阻障層510在閘極接觸點開口703中。Referring to FIGS. 28 to 30 , a planarization process, such as chemical mechanical polishing, may be performed until the upper surface of the dielectric layer 207 is exposed. After the planarization process, the lower layer 110 can be converted into a plurality of first contact (FC) barrier layers 610 in the plurality of first openings 701 and into a gate contact (GC) barrier layer 510 in the gate contacts in the opening 703 .

中介成核層121可轉換成FC中介成核層621在複數個第一開口701中以及轉換成一GC中介成核層521在閘極接觸點開口703中。中介塊狀層123可轉換成多個FC中介塊狀層623在複數個第一開口701中以及轉換成一GC中介塊狀層523在閘極接觸點開口703中。FC中介成核層621與FC中介塊狀層623一起配置成一FC中介層620。GC中介成核層521與GC中介塊狀層523一起配置成一GC中介層520。The intermediary nucleation layer 121 can be transformed into an FC intermediary nucleation layer 621 in the plurality of first openings 701 and a GC intermediary nucleation layer 521 in the gate contact opening 703 . The interposer bulk layer 123 can be transformed into a plurality of FC interposer bulk layers 623 in the plurality of first openings 701 and a GC interposer bulk layer 523 in the gate contact opening 703 . The FC interposer nucleation layer 621 and the FC interposer bulk layer 623 are configured together to form an FC interposer 620 . The GC intermediary nucleation layer 521 and the GC intermediary bulk layer 523 are configured together to form a GC intermediary layer 520 .

FC中介層620的厚度T4以及GC中介層520的厚度T5可大於大約4.1nm。在一些實施例中,FC中介層620的厚度T4以及GC中介層520的厚度T5可大於大約4.3nm、大於大約4.6nm,或是大於大約5.2nm。在一些實施例中,FC中介層620的厚度T4以及GC中介層520的厚度T5可介於大約4.3nm到大約4.6nm之間。The thickness T4 of the FC interposer 620 and the thickness T5 of the GC interposer 520 may be greater than about 4.1 nm. In some embodiments, the thickness T4 of the FC interposer 620 and the thickness T5 of the GC interposer 520 may be greater than about 4.3 nm, greater than about 4.6 nm, or greater than about 5.2 nm. In some embodiments, the thickness T4 of the FC interposer 620 and the thickness T5 of the GC interposer 520 may be between about 4.3 nm and about 4.6 nm.

填充成核層131可轉換成FC填充成核層631在複數個第一開口701中以及轉換成一GC填充成核層531在閘極接觸點開口703中。填充塊狀層133可轉換成FC填充塊狀層633在複數個第一開口701中以及轉換成一GC填充塊狀層533在閘極接觸點開口703中。FC填充成核層631與FC填充塊狀層633一起配置成一FC填充層630。GC填充成核層531與GC填充塊狀層533一起配置成一GC填充層530。The filling nucleation layer 131 can be converted into an FC filling nucleation layer 631 in the plurality of first openings 701 and into a GC filling nucleation layer 531 in the gate contact opening 703 . The filling bulk layer 133 can be converted into an FC filling bulk layer 633 in the plurality of first openings 701 and into a GC filling bulk layer 533 in the gate contact opening 703 . The FC-filled nucleation layer 631 is configured together with the FC-filled bulk layer 633 to form an FC-filled layer 630 . The GC-filled nucleation layer 531 and the GC-filled bulk layer 533 are configured together to form a GC-filled layer 530 .

請參考圖28到圖30,FC阻障層610、FC中介層620以及FC填充層630一起配置成第一接觸點600。GC阻障層510、GC中介層520以及GC填充層530一起配置成閘極接觸點500。Please refer to FIG. 28 to FIG. 30 , the FC barrier layer 610 , the FC interposer 620 and the FC filling layer 630 are configured together to form a first contact point 600 . The GC barrier layer 510 , the GC interposer 520 and the GC filling layer 530 are configured together to form a gate contact 500 .

圖31是頂視示意圖,例示本揭露另一實施例之中間半導體元件。圖32是剖視示意圖,例示沿著圖31之剖線A-A’的剖面。圖33是頂視示意圖,例示本揭露另一實施例之半導體元件1B。圖34是剖視示意圖,例示沿著圖33之剖線A-A’的剖面。FIG. 31 is a schematic top view illustrating an intermediate semiconductor device according to another embodiment of the present disclosure. Fig. 32 is a schematic cross-sectional view illustrating a cross-section taken along line A-A' in Fig. 31 . FIG. 33 is a schematic top view illustrating a semiconductor device 1B according to another embodiment of the present disclosure. Fig. 34 is a schematic cross-sectional view illustrating a cross-section taken along line A-A' in Fig. 33 .

請參考圖31及圖32,一中間半導體元件可以類似於在圖5到圖18所描述的一程序進行製造,且在文中不再重複其描述。閘極接觸點703可沿著介電層207與閘極罩蓋層415而形成,以暴露閘極導電層413。閘極接觸點開口703可直接形成在主動區205上。Referring to FIG. 31 and FIG. 32 , an intermediate semiconductor device can be manufactured in a process similar to that described in FIG. 5 to FIG. 18 , and the description thereof will not be repeated herein. A gate contact 703 may be formed along the dielectric layer 207 and the gate capping layer 415 to expose the gate conductive layer 413 . The gate contact opening 703 can be formed directly on the active region 205 .

請參考圖33及圖34,閘極接觸點500與第一接觸點600可以類似於在圖22到圖30所描述的一程序而形成,且在文中不再重複其描述。Referring to FIG. 33 and FIG. 34 , the gate contact 500 and the first contact 600 can be formed in a process similar to that described in FIGS. 22 to 30 , and the description thereof will not be repeated herein.

本揭露之一實施例提供一種導電層堆疊,包括一中介層,包括矽化鎢並設置在一下層上;一填充層,包括鎢並設置在該中介層上。該下層包括氮化鈦且包括一柱狀顆粒結構。該中介層的一厚度大於大約4.1nm。An embodiment of the present disclosure provides a conductive layer stack, including an interposer including tungsten silicide and disposed on a lower layer; a filling layer including tungsten and disposed on the interposer. The lower layer includes titanium nitride and includes a columnar grain structure. A thickness of the interposer is greater than about 4.1 nm.

本揭露之另一實施例提供一種半導體元件,包括一基底;一閘極結構,設置在該基底上;一閘極接觸點,包括:一閘極接觸阻障層,設置在該閘極結構上並包括具有一柱狀顆粒結構的氮化鈦;一閘極接觸中介層,設置在該閘極接觸阻障層並包括矽化鎢;一閘極接觸填充層,設置在該閘極接觸阻障層上並包括鎢。該閘極接觸中介層的一厚度大於大約4.1nm。Another embodiment of the present disclosure provides a semiconductor device, including a substrate; a gate structure disposed on the substrate; a gate contact, including: a gate contact barrier layer disposed on the gate structure It also includes titanium nitride with a columnar grain structure; a gate contact intermediary layer disposed on the gate contact barrier layer and including tungsten silicide; a gate contact filling layer disposed on the gate contact barrier layer on and includes tungsten. A thickness of the gate contact interposer is greater than about 4.1 nm.

本揭露之另一實施例提供一種導電層堆疊的製備方法,包括形成一中介層在一下層上;以及形成一填充層在該中介層上,其中該填充層包括鎢。該中介層包括矽化鎢且該中介層的一厚度大於大約4.1nm。該下層包括氮化鈦且包括一柱狀顆粒結構。Another embodiment of the present disclosure provides a method for fabricating a conductive layer stack, including forming an interposer on a lower layer; and forming a filling layer on the interposer, wherein the filling layer includes tungsten. The interposer includes tungsten silicide and a thickness of the interposer is greater than about 4.1 nm. The lower layer includes titanium nitride and includes a columnar grain structure.

本揭露之另一實施例提供一種半導體元件的製備方法,包括提供一基底;形成一閘極結構在該基底上;以及形成一閘極接觸點在該閘極結構上,包括:形成一閘極接觸阻障層在該閘極結構上;形成一閘極接觸中介層在該閘極接觸阻障層上;以及形成一閘極接觸填充層在該閘極接觸阻障層上。該閘極接觸阻障層包括具有一柱狀顆粒結構的氮化鈦。該閘極接觸中介層包括矽化鎢且該閘極接觸中介層的一厚度大於大約4.1nm。該閘極接觸填充層包括α-鎢。Another embodiment of the present disclosure provides a method for fabricating a semiconductor device, including providing a substrate; forming a gate structure on the substrate; and forming a gate contact point on the gate structure, including: forming a gate A contact barrier layer is on the gate structure; a gate contact intermediate layer is formed on the gate contact barrier layer; and a gate contact filling layer is formed on the gate contact barrier layer. The gate contact barrier layer includes titanium nitride with a columnar grain structure. The gate contact interposer includes tungsten silicide and a thickness of the gate contact interposer is greater than about 4.1 nm. The gate contact filling layer includes α-tungsten.

由於本揭露該半導體元件的設計,中介層120形成有一厚度,該厚度大於4.1nm,以降低或避免電阻不均勻的問題。因此,可改善該半導體元件的可靠度、良率以及效能。此外,使用含鍺之還原劑所沉積的填充層130可降低電阻,導致α-鎢生長之薄的填充成核層131,所導致的填充塊狀層133幾乎沒有或沒有缺陷。Due to the design of the semiconductor device disclosed in the present disclosure, the interposer 120 is formed with a thickness greater than 4.1 nm to reduce or avoid the problem of non-uniform resistance. Therefore, the reliability, yield and performance of the semiconductor device can be improved. In addition, the fill layer 130 deposited using a germanium-containing reducing agent reduces electrical resistance, resulting in a thin fill nucleation layer 131 of alpha-tungsten growth, resulting in a fill bulk layer 133 with few or no defects.

雖然已詳述本揭露及其優點,然而應理解可進行各種變化、取代與替代而不脫離申請專利範圍所定義之本揭露的精神與範圍。例如,可用不同的方法實施上述的許多製程,並且以其他製程或其組合替代上述的許多製程。Although the present disclosure and its advantages have been described in detail, it should be understood that various changes, substitutions and substitutions can be made without departing from the spirit and scope of the present disclosure as defined by the claims. For example, many of the processes described above can be performed in different ways and replaced by other processes or combinations thereof.

再者,本申請案的範圍並不受限於說明書中所述之製程、機械、製造、物質組成物、手段、方法與步驟之特定實施例。該技藝之技術人士可自本揭露的揭示內容理解可根據本揭露而使用與本文所述之對應實施例具有相同功能或是達到實質上相同結果之現存或是未來發展之製程、機械、製造、物質組成物、手段、方法、或步驟。據此,此等製程、機械、製造、物質組成物、手段、方法、或步驟包含於本申請案之申請專利範圍內。Furthermore, the scope of the present application is not limited to the specific embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. Those skilled in the art can understand from the disclosure content of this disclosure that existing or future developed processes, machinery, manufacturing, A composition of matter, means, method, or step. Accordingly, such process, machinery, manufacture, material composition, means, method, or steps are included in the patent scope of this application.

1A:半導體元件 1B:半導體元件 10:製備方法 100:導電層堆疊 110:下層 120:中介層 121:中介成核層 123:中介塊狀層 130:填充層 131:填充成核層 133:填充塊狀層 20:製備方法 201:基底 203:絕緣層 205:主動區 207:介電層 301:井區 303:輕度摻雜區 305:雜質區 410:閘極結構 410SW:側壁 411:閘極隔離層 413:閘極導電層 415:閘極罩蓋層 417:閘極間隙子 500:閘極接觸點 510:閘極接觸點阻障層 520:閘極接觸點中介層 521:閘極接觸點中介成核層 523:閘極接觸點中介塊狀層 530:閘極接觸點填充層 531:閘極接觸點填充成核層 533:閘極接觸點填充塊狀層 600:第一接觸點 610:第一接觸點阻障層 620:第一接觸點中介層 621:第一接觸點中介成核層 623:第一接觸點中介塊狀層 630:第一接觸點填充層 631:第一接觸點填充成核層 633:第一接觸點填充塊狀層 701:第一開口 703:閘極接觸點開口 801:第一遮罩 S11:步驟 S13:步驟 S15:步驟 S21:步驟 S23:步驟 S25:步驟 S27:步驟 S29:步驟 S31:步驟 S33:步驟 S35:步驟 S37:步驟 T1:厚度 T2:厚度 T3:厚度 T4:厚度 T5:厚度 X:方向 Y:方向 Z:方向 1A: Semiconductor components 1B: Semiconductor components 10: Preparation method 100: conductive layer stack 110: lower layer 120: intermediary layer 121: Intermediary nucleation layer 123: Intermediary block layer 130: filling layer 131: fill nucleation layer 133:Fill block layer 20: Preparation method 201: Base 203: insulation layer 205: active zone 207: dielectric layer 301: well area 303: lightly doped region 305: impurity area 410:Gate structure 410SW: side wall 411: gate isolation layer 413: gate conductive layer 415: Gate cover layer 417:Gate spacer 500: gate contact point 510: Gate contact barrier layer 520:Gate Contact Interposer 521: gate contact intermediary nucleation layer 523:Gate Contact Interposer Block Layer 530: Gate contact point filling layer 531:Gate Contact Fill Nucleation Layer 533: Gate Contact Fill Block Layer 600: First point of contact 610: first contact point barrier layer 620: First touchpoint intermediary layer 621:First contact intermediary nucleation layer 623: First contact point intermediary block layer 630: First contact point filling layer 631: The first contact point fills the nucleation layer 633:First contact point filling block layer 701: first opening 703: Gate contact opening 801: First mask S11: step S13: step S15: step S21: step S23: step S25: step S27: step S29: step S31: step S33: step S35: step S37: step T1: Thickness T2: Thickness T3: Thickness T4: Thickness T5: Thickness X: direction Y: Direction Z: Direction

參閱實施方式與申請專利範圍合併考量圖式時,可得以更全面了解本申請案之揭示內容,圖式中相同的元件符號指相同的元件。 圖1是流程示意圖,例示本揭露一實施例之導電層堆疊的製備方法。 圖2及圖3是剖視示意圖,例示本揭露一實施例製備導電層堆疊的一流程。 圖4是流程示意圖,例示本揭露一實施例之半導體元件的製備方法。 圖5是頂視示意圖,例示本揭露一實施例之中間半導體元件。 圖6是剖視示意圖,例示本揭露一實施例製備沿著圖5之剖線A-A’的剖面。 圖7是頂視示意圖,例示本揭露一實施例之中間半導體元件。 圖8是剖視示意圖,例示沿著圖7之剖線A-A’的剖面。 圖9是頂視示意圖,例示本揭露一實施例之中間半導體元件。 圖10是剖視示意圖,例示沿著圖9之剖線A-A’的剖面。 圖11是頂視示意圖,例示本揭露一實施例之中間半導體元件。 圖12是剖視示意圖,例示沿著圖11之剖線A-A’的剖面。 圖13是頂視示意圖,例示本揭露一實施例之中間半導體元件。 圖14是剖視示意圖,例示沿著圖13之剖線A-A’的剖面。 圖15是頂視示意圖,例示本揭露一實施例之中間半導體元件。 圖16是剖視示意圖,例示沿著圖15之剖線A-A’的剖面。 圖17是頂視示意圖,例示本揭露一實施例之中間半導體元件。 圖18是剖視示意圖,例示沿著圖17之剖線A-A’的剖面。 圖19是頂視示意圖,例示本揭露一實施例之中間半導體元件。 圖20及圖21是剖視示意圖,例示沿著圖19之剖線A-A’及B-B’的剖面。 圖22到圖27是剖視示意圖,例示本揭露一實施例製備半導體元件之部分流程的沿圖19之剖線A-A’與B-B’的剖面。 圖28是頂視示意圖,例示本揭露一實施例之中間半導體元件。 圖29及圖30是剖視示意圖,例示沿著圖28之剖線A-A’及B-B’的剖面。 圖31是頂視示意圖,例示本揭露另一實施例之中間半導體元件。 圖32是剖視示意圖,例示沿著圖31之剖線A-A’的剖面。 圖33是頂視示意圖,例示本揭露另一實施例之半導體元件。 圖34是剖視示意圖,例示沿著圖33之剖線A-A’的剖面。 The disclosure content of the present application can be understood more fully when the drawings are considered together with the embodiments and the patent scope of the application. The same reference numerals in the drawings refer to the same components. FIG. 1 is a schematic flowchart illustrating a method for fabricating a conductive layer stack according to an embodiment of the present disclosure. FIG. 2 and FIG. 3 are schematic cross-sectional views illustrating a process for preparing a conductive layer stack according to an embodiment of the present disclosure. FIG. 4 is a schematic flowchart illustrating a method for fabricating a semiconductor device according to an embodiment of the present disclosure. FIG. 5 is a schematic top view illustrating an intermediate semiconductor device according to an embodiment of the present disclosure. FIG. 6 is a schematic cross-sectional view illustrating an embodiment of the present disclosure along the section line A-A' of FIG. 5 . FIG. 7 is a schematic top view illustrating an intermediate semiconductor device according to an embodiment of the present disclosure. Fig. 8 is a schematic cross-sectional view illustrating a cross-section taken along line A-A' of Fig. 7 . FIG. 9 is a schematic top view illustrating an intermediate semiconductor device according to an embodiment of the present disclosure. Fig. 10 is a schematic cross-sectional view illustrating a cross-section taken along line A-A' of Fig. 9 . FIG. 11 is a schematic top view illustrating an intermediate semiconductor device according to an embodiment of the present disclosure. Fig. 12 is a schematic cross-sectional view illustrating a cross-section taken along line A-A' in Fig. 11 . FIG. 13 is a schematic top view illustrating an intermediate semiconductor device according to an embodiment of the present disclosure. Fig. 14 is a schematic cross-sectional view illustrating a cross-section taken along line A-A' in Fig. 13 . FIG. 15 is a schematic top view illustrating an intermediate semiconductor device according to an embodiment of the present disclosure. Fig. 16 is a schematic cross-sectional view illustrating a cross-section taken along line A-A' in Fig. 15 . FIG. 17 is a schematic top view illustrating an intermediate semiconductor device according to an embodiment of the present disclosure. Fig. 18 is a schematic cross-sectional view illustrating a cross-section taken along line A-A' in Fig. 17 . FIG. 19 is a schematic top view illustrating an intermediate semiconductor device according to an embodiment of the present disclosure. 20 and 21 are schematic cross-sectional views illustrating cross-sections taken along the lines A-A' and B-B' in FIG. 19 . 22 to 27 are schematic cross-sectional views illustrating a partial process of manufacturing a semiconductor device according to an embodiment of the present disclosure along the lines A-A' and B-B' in FIG. 19 . FIG. 28 is a schematic top view illustrating an intermediate semiconductor device according to an embodiment of the present disclosure. 29 and 30 are schematic cross-sectional views illustrating cross-sections taken along the lines A-A' and B-B' in FIG. 28 . FIG. 31 is a schematic top view illustrating an intermediate semiconductor device according to another embodiment of the present disclosure. Fig. 32 is a schematic cross-sectional view illustrating a cross-section taken along line A-A' in Fig. 31 . FIG. 33 is a schematic top view illustrating a semiconductor device according to another embodiment of the present disclosure. Fig. 34 is a schematic cross-sectional view illustrating a cross-section taken along line A-A' in Fig. 33 .

100:導電層堆疊 100: conductive layer stack

110:下層 110: lower layer

120:中介層 120: intermediary layer

121:中介成核層 121: Intermediary nucleation layer

123:中介塊狀層 123: Intermediary block layer

130:填充層 130: filling layer

131:填充成核層 131: fill nucleation layer

133:填充塊狀層 133:Fill block layer

201:基底 201: Base

T2:厚度 T2: Thickness

Z:方向 Z: Direction

Claims (11)

一種導電層堆疊的製備方法,包括: 形成一中介層在一下層上,其中該中介層包括矽化鎢且該中介層的一厚度大於大約4.1nm; 形成一填充層在該中介層上,其中該填充層包括鎢; 其中該下層包括氮化鈦且包括一柱狀顆粒結構。 A method for preparing a conductive layer stack, comprising: forming an interposer on the underlying layer, wherein the interposer includes tungsten silicide and a thickness of the interposer is greater than about 4.1 nm; forming a filling layer on the interposer, wherein the filling layer includes tungsten; Wherein the lower layer includes titanium nitride and includes a columnar grain structure. 如請求項1所述之導電層堆疊的製備方法,其中該下層形成在一基底上。The method for fabricating a conductive layer stack as claimed in claim 1, wherein the lower layer is formed on a substrate. 如請求項2所述之導電層堆疊的製備方法,其中形成該中介層在該下層上包括: 形成一中介成核層在該下層上;以及 形成一中介塊狀層在該中介成核層上。 The preparation method of the conductive layer stack as claimed in item 2, wherein forming the interposer on the lower layer comprises: forming an intermediate nucleation layer on the lower layer; and An intermediate bulk layer is formed on the intermediate nucleation layer. 如請求項3所述之導電層堆疊的製備方法,其中形成該填充層在該中介層上包括: 形成一填充成核層在該中介層上;以及 形成一填充塊狀層在該填充成核層上。 The preparation method of the conductive layer stack as claimed in item 3, wherein forming the filling layer on the interposer layer comprises: forming a filled nucleation layer on the interposer; and A filled bulk layer is formed on the filled nucleation layer. 如請求項4所述之導電層堆疊的製備方法,其中該填充層的鎢為α-鎢。The method for fabricating a conductive layer stack as claimed in claim 4, wherein the tungsten in the filling layer is α-tungsten. 如請求項5所述之導電層堆疊的製備方法,其中形成該中介成核層在該下層上包括: 使一反應氣體、一第一矽源氣體以及一惰性載體氣體在該下層上流動;以及 將該第一矽源氣體轉換成一第二矽源氣體以形成該中介成核層。 The preparation method of the conductive layer stack as claimed in item 5, wherein forming the intermediary nucleation layer on the lower layer comprises: flowing a reactive gas, a first silicon source gas, and an inert carrier gas over the lower layer; and The first silicon source gas is converted into a second silicon source gas to form the intermediate nucleation layer. 如請求項6所述之導電層堆疊的製備方法,其中該第一矽源氣體為矽烷,而該第二矽源氣體為二氯矽烷。The method for preparing a conductive layer stack as claimed in claim 6, wherein the first silicon source gas is silane, and the second silicon source gas is dichlorosilane. 如請求項7所述之導電層堆疊的製備方法,其中該反應氣體為六氟化鎢。The method for preparing a conductive layer stack according to claim 7, wherein the reaction gas is tungsten hexafluoride. 如請求項8所述之導電層堆疊的製備方法,其中該惰性載體氣體為氬、氮、氦或其組合。The method for preparing a conductive layer stack according to claim 8, wherein the inert carrier gas is argon, nitrogen, helium or a combination thereof. 如請求項9所述之導電層堆疊的製備方法,其中該惰性載體氣體的一流量為該第一矽源氣體之流量的5到10倍大。The method for fabricating a conductive layer stack as claimed in claim 9, wherein a flow rate of the inert carrier gas is 5 to 10 times greater than a flow rate of the first silicon source gas. 一種半導體元件的製備方法,包括: 提供一基底; 形成一閘極結構在該基底上;以及 形成一閘極接觸點在該閘極結構上,包括: 形成一閘極接觸阻障層在該閘極結構上; 形成一閘極接觸中介層在該閘極接觸阻障層上;以及 形成一閘極接觸填充層在該閘極接觸阻障層上; 其中該閘極接觸阻障層包括具有一柱狀顆粒結構的氮化鈦; 其中該閘極接觸中介層包括矽化鎢且該閘極接觸中介層的一厚度大於大約4.1nm; 其中該閘極接觸填充層包括α-鎢。 A method for preparing a semiconductor element, comprising: provide a base; forming a gate structure on the substrate; and forming a gate contact on the gate structure comprising: forming a gate contact barrier layer on the gate structure; forming a gate contact interposer on the gate contact barrier layer; and forming a gate contact filling layer on the gate contact barrier layer; Wherein the gate contact barrier layer comprises titanium nitride having a columnar grain structure; wherein the gate contact interposer comprises tungsten silicide and a thickness of the gate contact interposer is greater than about 4.1 nm; Wherein the gate contact filling layer includes α-tungsten.
TW111113883A 2022-01-12 2022-04-12 Method for preparing a conductive stack with a gate contact TW202329245A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US17/573,832 US20230223300A1 (en) 2022-01-12 2022-01-12 Method for fabricating conductive layer stack and method for fabricating semiconductor device with gate contact
US17/573,781 2022-01-12
US17/573,832 2022-01-12
US17/573,781 US11876051B2 (en) 2022-01-12 2022-01-12 Conductive layer stack and semiconductor device with a gate contact

Publications (1)

Publication Number Publication Date
TW202329245A true TW202329245A (en) 2023-07-16

Family

ID=87084309

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111113883A TW202329245A (en) 2022-01-12 2022-04-12 Method for preparing a conductive stack with a gate contact
TW111113882A TWI803278B (en) 2022-01-12 2022-04-12 Semiconductor device with a gate contact

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW111113882A TWI803278B (en) 2022-01-12 2022-04-12 Semiconductor device with a gate contact

Country Status (2)

Country Link
CN (1) CN116435252A (en)
TW (2) TW202329245A (en)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6670267B2 (en) * 2001-06-13 2003-12-30 Mosel Vitelic Inc. Formation of tungstein-based interconnect using thin physically vapor deposited titanium nitride layer
TWI672737B (en) * 2013-12-27 2019-09-21 美商蘭姆研究公司 Tungsten nucleation process to enable low resistivity tungsten feature fill
US10861701B2 (en) * 2015-06-29 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10211302B2 (en) * 2017-06-28 2019-02-19 International Business Machines Corporation Field effect transistor devices having gate contacts formed in active region overlapping source/drain contacts

Also Published As

Publication number Publication date
TWI803278B (en) 2023-05-21
TW202329464A (en) 2023-07-16
CN116435252A (en) 2023-07-14

Similar Documents

Publication Publication Date Title
CN116469757A (en) Conductive layer stack and semiconductor element
TWI803278B (en) Semiconductor device with a gate contact
US11876051B2 (en) Conductive layer stack and semiconductor device with a gate contact
US11842925B2 (en) Method for fabricating conductive feature and semiconductor device
US11676861B1 (en) Method for fabricating semiconductor device
TWI817445B (en) Method for fabricating conductive feature and semiconductor device
TWI817900B (en) Semiconductor device with composite contact structure
TWI841176B (en) Semiconductor device with assistant layer and method for fabricating the same
US20230399738A1 (en) Method for fabricating semiconductor device with composite contact structure
TWI841312B (en) Semiconductor device with contact structure and method for fabricating the same
US20230402388A1 (en) Semiconductor device with composite contact structure
US20240021665A1 (en) Semiconductor device with assistant layer and method for fabricating the same
TWI817338B (en) Method for fabricating semiconductor device with air gap
US11985816B2 (en) Semiconductor device with air gap
US11706912B2 (en) Method for fabricating semiconductor device with air gap
US20240008262A1 (en) Semiconductor device with vanadium-containing spacers and method for fabricating the same
TWI817444B (en) Semiconductor device with protection layer
US20230180462A1 (en) Semiconductor device with air gap
US20240105807A1 (en) Semiconductor device with contact structure and method for fabricating the same
TW202410410A (en) Method for fabricating semiconductor device with assistant layer
TW202412265A (en) Semiconductor device with supporting layer and method for fabricating the same
TW202414754A (en) Semiconductor device with contact structure and method for fabricating the same
TW202414755A (en) Contact structure and semiconductor device with contact structure
CN117677185A (en) Semiconductor device and method for manufacturing the same
TW202414801A (en) Semiconductor device with supporting layer