TW202327062A - 半導體裝置 - Google Patents

半導體裝置 Download PDF

Info

Publication number
TW202327062A
TW202327062A TW111132113A TW111132113A TW202327062A TW 202327062 A TW202327062 A TW 202327062A TW 111132113 A TW111132113 A TW 111132113A TW 111132113 A TW111132113 A TW 111132113A TW 202327062 A TW202327062 A TW 202327062A
Authority
TW
Taiwan
Prior art keywords
photodiode
substrate
isolation
subset
well
Prior art date
Application number
TW111132113A
Other languages
English (en)
Inventor
謝豐鍵
鄭允瑋
李國政
吳振銘
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202327062A publication Critical patent/TW202327062A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • H01L27/14649Infrared imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Element Separation (AREA)

Abstract

半導體裝置包括光電二極體,從基板的第一側延伸到第一深度。半導體裝置包括隔離結構,隔離結構橫向圍繞光電二極體並包括第一井。半導體裝置包括深溝槽隔離,延伸到基板的第二側,其中深溝槽隔離的至少一部分位於第一井之下。

Description

具有隔離結構的半導體裝置
電荷耦合裝置(charge-coupled device,CCD)、互補金屬氧化物半導體(complementary metal-oxide semiconductor,CMOS)輻射偵測元件、以及其他類型的輻射偵測元件用於將聚焦在輻射偵測元件上的圖像轉換為電訊號。前述的裝置或元件包括輻射偵測元件陣列,例如光電二極體(photodiode),其配置以產生電訊號,其中電訊號與撞擊輻射偵測元件的輻射強度相關。電訊號用於在監視器上顯示相應的圖像或提供有關光學圖像的資訊。
以下的揭示內容提供許多不同的實施例或範例,以展示本揭示案的不同特徵。以下將揭示本揭示案各部件及其排列方式之特定範例,用以簡化本揭示案敘述。當然,這些特定範例並非用於限定本揭示案。例如,若是本揭示案以下的發明內容敘述了將形成第一結構於第二結構之上或上方,即表示其包括了所形成之第一及第二結構是直接接觸的實施例,亦包括了尚可將附加的結構形成於上述第一及第二結構之間,則第一及第二結構為未直接接觸的實施例。此外,本揭示案說明中的各式範例可能使用重複的參照符號及/或用字。這些重複符號或用字的目的在於簡化與清晰,並非用以限定各式實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或特徵部件與另一(些)元件或特徵部件的關係,可使用空間相關用語,例如「在...之下」、「下方」、「下部」、「上方」、「上部」及例如此類用語。除了圖式所繪示之方位外,空間相關用語亦涵蓋使用或操作中之裝置的不同方位。當裝置被轉向不同方位時(例如,旋轉90度或者其他方位),則其中所使用的空間相關形容詞亦將依轉向後的方位來解釋。
本揭示案提供了一種或多種半導體裝置以及用於形成這種半導體裝置的一種或多種技術。舉例來說,半導體裝置包括在基板上形成的光電二極體陣列。光電二極體陣列包括一個或多個光電二極體,例如圖像感測器畫素(image sensor pixel),其配置以積累由光學圖像的光輻射或近紅外線(near-infrared,NIR)輻射(例如來自光子)所產生的能量。讀取到的光電二極體的電壓可作為光學圖像的輸出。在一些實施例中,光電二極體位於形成於基板上的一個或多個層或構件之下。由於輻射在到達光電二極體之前沿著包含這些層或構件的路徑行進,因此輻射的訊號強度可能在到達光電二極體之前衰減,或者輻射可能朝向另一個光電二極體行進。例如,可能由周圍或旁邊的光電二極體偵測到輻射,這可導致串擾(crosstalk)。串擾會降低半導體裝置的性能,增加雜訊,並降低半導體裝置產生的至少一個訊號的品質或強度。
根據一些實施例,半導體裝置可以具有至少一個光電二極體、嵌入層光電二極體(pinned layer photodiode)、重置電晶體(reset transistor)、源極隨耦電晶體(source follower transistor)、浮動擴散(也被稱為浮動二極體)或傳輸電晶體(transfer transistor)。互補金屬氧化物半導體(complementary metal-oxide semiconductor,CMOS)的半導體裝置可以是CMOS主動式畫素感測器(active pixel image sensor,APS),其具有畫素內電荷轉移至浮動擴散(floating diffusion,FD)。嵌入式光電二極體(pinned layer photodiode,PPD),也被稱為嵌入層光電二極體,是用於電荷耦合裝置(charge-coupled device,CCD)、CMOS半導體裝置、或CMOSAPS中的光電二極體結構其中一個例子。例如,PPD提供低雜訊、高量子效率或低暗電流中的至少一種。CMOS半導體裝置可以是偵測來自正面的輻射的正面發光(frontside illuminated,FSI)圖像感測器,也可以是偵測來自背面的輻射的背面發光(backside illuminated,BSI)圖像感測器。
因此,本文提供了半導體裝置。根據一些實施例,半導體裝置包括光電二極體,其延伸到基板的第一側的第一深度。隔離結構橫向圍繞光電二極體,並且隔離結構具有第一井,其延伸到基板的第一側的第二深度,並且第二深度大於第一深度。深溝槽隔離從基板的第一側的第三深度延伸到基板的第一側的第四深度。深溝槽隔離的至少一部分位於第一井的下方。輻射從第一方向進入基板。隔離結構具有淺溝槽隔離,其在與第一方向相反的第二方向上從第一最大寬度逐漸變化到第一最小寬度。隔離結構具有深溝槽隔離,其在第二方向上從第二最小寬度逐漸變化到第二最大寬度。半導體裝置包括第一光電二極體和第二光電二極體,第一光電二極體經設置以偵測第一波長範圍的輻射,而第二光電二極體經設置以偵測第二波長範圍的輻射。
本文提供了包括光電二極體陣列的半導體裝置。根據一些實施例,半導體裝置包括在基板上的光電二極體陣列,其中光電二極體陣列包括第一光電二極體、第二光電二極體和第三光電二極體。隔離結構設置在第一光電二極體和第二光電二極體之間,並圍繞第三光電二極體。隔離結構有第一井和淺溝槽隔離,其中第一井圍繞淺溝槽隔離的至少一部分。近紅外線濾通件(near-infrared pass filter)覆蓋在第二光電二極體上,並經配置以允許第一波長範圍通過並允許第一波長範圍被第二光電二極體偵測。光電二極體陣列包括第一光電二極體子集和第二光電二極體子集,第一光電二極體子集具有第一橫向截面直徑,而第二光電二極體子集具有第二橫向截面直徑。第一光電二極體和第三光電二極體是第一光電二極體子集的成員,第二光電二極體是第二光電二極體子集的成員。第一光電二極體子集中的每一者的上方具有相應的輻射濾通件(radiation pass filter),其經設置以允許輻射濾通波長範圍(radiation pass range of wavelength)通過並由相應的光電二極體偵測。第二光電二極體子集中的每一者的上方具有相應的輻射濾通件,其經設置以允許輻射濾通波長範圍通過並由相應的光電二極體偵測。隔離結構包括圍繞第一光電二極體子集的第一隔離結構子集,第一隔離結構子集中的每一者具有第一橫向截面形狀。隔離結構包括圍繞第二光電二極體子集的第二隔離結構子集,第二隔離結構子集中的每一者具有與第一橫向截面形狀不同的第二橫向截面形狀。第一隔離結構子集包括多個橫向連續的隔離結構,橫向圍繞第三光電二極體。
本文提供了一種或多種製造半導體裝置的方法。根據一些實施例,形成第一光電二極體,其具有離基板的第一側的第一深度。形成第一隔離結構,其橫向圍繞第一光電二極體。第一隔離結構包括第一井,其延伸到基板的第一側的第二深度,並且第二深度大於第一深度。對基板的第二側進行蝕刻以形成深溝槽,其中第二側相對於第一側。深溝槽從基板的第一側的第三深度延伸到基板的第二側,其中第三深度小於第二深度。深溝槽的至少一部分位於第一井之下。形成深溝槽隔離在深溝槽中。形成近紅外線濾通件,其覆蓋在基板的第二側和第一光電二極體上。近紅外線濾通件經設置以允許第一波長範圍通過並由第一光電二極體偵測。輻射從第一方向和基板的第二側進入基板。可對基板的第二側進行蝕刻,使得深溝槽在第一方向上從第一最大寬度逐漸變化到第一最小寬度。對基板的第一側進行蝕刻以形成淺溝槽。淺溝槽可以在與第一方向相反的第二方向上從第一最大寬度逐漸變化到第一最小寬度。形成淺溝槽隔離在淺溝槽中。可形成具有第一橫向截面形狀的第一隔離結構。從基板的第一側形成第二光電二極體。形成第二隔離結構,其橫向圍繞第二光電二極體。第二隔離結構包括第二井,其延伸到基板的第一側的第二深度並具有第二橫向截面形狀。形成輻射通濾光器在基板的第二側以及第二光電二極體上。
第1A圖根據本揭示案的一些實施例繪示半導體裝置100。半導體裝置100包括隔離結構102,其中隔離結構102中的至少一者或或多者圍繞光電二極體陣列104。例如,光電二極體陣列104包括第一光電二極體101、第二光電二極體103、第三光電二極體105和第四光電二極體107。第1B圖示出了光電二極體陣列104包括第一光電二極體子集106,其具有第一光電二極體108a至108p。根據一些實施例,第1B圖中的第一光電二極體108a對應於第1A圖中的第一光電二極體101,第1B圖中的第一光電二極體108f對應於第1A圖中的第三光電二極體105。第一光電二極體子集106中的每個光電二極體具有相應的輻射濾通件覆蓋在其上,輻射濾通件經設置以允許輻射濾通波長範圍通過並由相應的光電二極體偵測,稍後將於下文詳述。第1C圖示出了光電二極體陣列104包括第二光電二極體子集110,其具有第二光電二極體112a至112i。根據一些實施例,第1C圖中的光電二極體112a對應於第1A圖中的第二光電二極體103,第1C圖中的第二光電二極體112d對應於第1A圖中的第四光電二極體107。根據一些實施例,第一光電二極體子集106具有比第二光電二極體子集110更大的橫向截面直徑(稍後將於下文詳述)。根據一些實施例,第一光電二極體子集106具有比第二光電二極體子集110更大的橫向截面面積(稍後將於下文詳述)。第二光電二極體子集110的每個光電二極體具有相應的輻射濾通件覆蓋在其上,輻射濾通件經設置以允許輻射濾通波長範圍通過並由相應的光電二極體偵測,稍後將於下文詳述。第1D圖示出了隔離結構102的排列方式,隔離結構102包括第一隔離結構子集114,其具有第一隔離結構116a至116p。根據一些實施例,第一隔離結構116a至116p中的每一者橫向圍繞每個第一光電二極體108a至108p(請參照第1B圖)並形成陣列。
第1E圖根據一些實施例繪示圍繞第一光電二極體108f的第一隔離結構116f。第1F圖繪示第一隔離結構116f和第一光電二極體108f沿第1B圖、第1D圖和第1E圖的剖線F-F的截面圖。第一隔離結構116f具有第一橫向截面形狀並且包括多個片段。第一隔離結構116f包括片段116f1至116f8。根據一些實施例,第一隔離結構116f具有分段線性截面形狀,例如四邊形(例如,正方形、矩形、菱形、梯形、平行四邊形或菱形)、五邊形、六邊形、七邊形、八邊形或其他截面形狀。根據一些實施例,第一隔離結構116f具有至少一個規律的分段線性截面形狀,其中所有的片段具有相同的長度,或者,非規律的分段線性截面形狀,其中並非所有的片段具有相同的長度。例如,片段116f8、116f1、116f2、116f4、116f5和116f6可能具有相同的長度,而片段116f7和116f3的長度可能長於片段116f8、116f1、116f2、116f4、116f5和116f6的長度。在另一些實施例中,片段116f8、116f1、116f2、116f4、116f5和116f6可能具有相同的長度,而片段116f7和116f3可能具有相同的長度並且短於片段116f8、116f1、116f2、116f4、116f5和116f6。第一隔離結構116f的其他配置在本揭示案的範圍內。
根據一些實施例,第一隔離結構116f具有非分段線性的片段。例如,片段116f8、116f1、116f2、116f4、116f5和116f6是曲面,而片段116f7和116f3是線性。在另一些實施例中,片段116f8、116f1、116f2、116f4、116f5和116f6是具有第一曲率的曲面,而片段116f7和116f3是具有第二曲率的曲面。根據一些實施例,第一隔離結構116f為圓形、橢圓形或近似圓形中的一種。根據一些實施例,第一隔離結構116f的布局為八邊形,並且可能因製造差異而導致的形狀近似於圓。第一隔離結構116f的其他配置和/或結構均在本揭示案的範圍內。
第一光電二極體108f具有第一橫向截面直徑W1pd和第一橫向截面長度L1pd。根據一些實施例,第一光電二極體108f具有第一橫向截面形狀。根據一些實施例,第一光電二極體108f具有由第一隔離結構116f界定的第一橫向截面面積。根據一些實施例,第一光電二極體108f具有近似於第一隔離結構116f的橫向截面形狀的第一橫向截面形狀。根據一些實施例,第一光電二極體108f具有局部近似於第一隔離結構116f的第一橫向截面形狀。根據一些實施例,第一光電二極體108f的布局可能局部地近似八邊形,或者上述的其他橫向截面形狀,並且可能因製造差異而導致的形狀近似於圓。第一光電二極體108f可將電荷轉移到浮動擴散(floating diffusion),稍後將於下文詳述。根據一些實施例,浮動擴散受第一隔離結構116f的限制。根據一些實施例,浮動擴散的一部分相似於第一隔離結構116f的橫向截面形狀。根據一些實施例,浮動擴散的布局為局部近似八邊形,或者上述的其他橫向截面形狀,並且可能因製造差異而導致的形狀近似於圓。第一光電二極體108f的其他結構和/或配置在本揭示案的範圍內。
第1G圖根據一些實施例繪示隔離結構102,其具有第一隔離結構子集114。第一隔離結構子集114中的每一者橫向圍繞第一光電二極體子集106(請參照第1B圖)中的光電二極體並形成陣列。第一隔離結構子集114包括與第一隔離結構116f相鄰的多個第一隔離結構。前述的多個第一隔離結構包括第一隔離結構116b、116g、116j和116e。根據一些實施例,第1D圖中示出的第一隔離結構116a至116p中的每一者(例如,第一隔離結構116f)至少相鄰、相連、或重疊至少一部分的第一隔離結構(例如,第一隔離結構116a至116p中的一者)。根據一些實施例,第一隔離結構116f的片段116f1與第一隔離結構116b的片段116b5相連。根據一些實施例,第一隔離結構116a至116p中的每一者至少相鄰、相連、或重疊第一隔離結構中的至少兩者(例如,第一隔離結構116a至116p中的兩者)。根據一些實施例,第一隔離結構116f的片段116f3與第一隔離結構116g的片段116g7相連。根據一些實施例,第一隔離結構116a至116p中的每一者至少相鄰、相連、或重疊第一隔離結構中的至少三者(例如,第一隔離結構116a至116p中的三者)。根據一些實施例,第一隔離結構116f的片段116f5與第一隔離結構116j的片段116j1。根據一些實施例,第一隔離結構116a至116p中的每一者至少相鄰、相連、或重疊第一隔離結構中的至少四者(例如,第一隔離結構116a至116p中的四者)。根據一些實施例,第一隔離結構116f的片段116f7與第一隔離結構116e的片段116e3相連。第一隔離結構116a至116p的其他結構和/或配置均在本揭示案的範圍內。
根據一些實施例,第一隔離結構116a至116p中的每一者(例如,第一隔離結構116f)的片段可相同於至少一個第一隔離結構的片段。例如,第一隔離結構116f的片段116f可相同於第一隔離結構116b的片段116b5。根據一些實施例,第一隔離結構116a至116p中的每一者的第二個片段可相同於第二個第一隔離結構的片段。例如,第一隔離結構116f的片段1163可相同於第一隔離結構116g的片段116g7。根據一些實施例,第一隔離結構116a至116p中的每一者的第三個片段可相同於第三個第一隔離結構的片段。例如,第一隔離結構116f的片段116f5可相同於第一隔離結構116j的片段116j1。根據一些實施例,第一隔離結構116a至116p中的每一者的第四個片段可相同於第四個第一隔離結構的片段。例如,第一隔離結構116f的片段116f7可相同於第一隔離結構116e的片段116e3。第一隔離結構116a至116p的其他結構和/或配置均在本揭示案的範圍內。
第1H圖示出了隔離結構102,其具有第一隔離結構子集118,並且根據一些實施例,第一隔離結構子集118具有第一隔離結構120a至120e。第一隔離結構子集118可以取代第1G圖的第一隔離結構子集114。第一隔離結構120a至120e中的每一者橫向圍繞第一光電二極體子集106的光電二極體並形成陣列。根據一些實施例,第一隔離結構120a至120e中的每一者具有多個片段,可參考上文中針對第1E圖的第一隔離結構116f的描述(例如,片段116f1至116f8)。在第1F圖所示的實施例中,第一隔離結構120a至120e中的每一者橫向圍繞相應的光電二極體(例如,第一光電二極體子集106的光電二極體)。換句話說,相鄰的光電二極體可以被至少兩個第一隔離結構橫向分隔(例如,第一隔離結構120a至120e中的兩個)。例如,被第一隔離結構120c圍繞的第一光電二極體與被第一隔離結構120b圍繞的第一光電二極體因第一隔離結構120c和第一隔離結構120b而隔開。
根據一些實施例,第一隔離結構120a至120e中的每一者(例如,第一隔離結構120c)與第一隔離結構120a至120e中的至少一者相鄰並相連。例如,第一隔離結構120c的片段120c1可與第一隔離結構120a的片段120a5相連。根據一些實施例,第一隔離結構120a至120e中的每一者與第一隔離結構中的至少兩者(例如,第一隔離結構120a至120e中的兩者)相連。例如,第一隔離結構120c的另一片段120c3可與第一隔離結構120d的片段120d7相連。根據一些實施例,第一隔離結構120a至120e中的每一者與個第一隔離結構中的至少三者(例如,第一隔離結構120a至120e中的三者)相連。例如,第一隔離結構120c的另一片段120c5可與第一隔離結構120e的片段120e1相連。根據一些實施例,第一隔離結構120a至120e中的每一者與第一隔離結構中的至少四者(例如,第一隔離結構120a至120e中的四者)相連。例如,第一隔離結構120c的另一片段120c7可與第一隔離結構120b的片段120b3相連。第一隔離結構120a至120e的其他結構和/或配置均在本揭示案的範圍內。
第1I圖示出了隔離結構102,其具有第二隔離結構子集122,第二隔離結構子集122具有第二隔離結構124a至124i。根據一些實施例,第二隔離結構124a至124i中的每一者橫向圍繞第二光電二極體112a至112i中的每一者(請參照第1C圖)並形成陣列。根據一些實施例,第二隔離結構子集122,其具有第二隔離結構124a至124i,第二隔離結構124a至124i在具有第一隔離結構116a至116p的第一隔離結構子集114之間交錯。第二隔離結構124a至124i的其他結構和/或配置均在本揭示案的範圍內。
第1J圖根據一些實施例繪示圍繞第二光電二極體112d的第二隔離結構124d。第1K圖繪示第二光電二極體112d和第二隔離結構124d沿第1C圖、第1I圖和第1J圖的剖線K-K的截面圖。第二隔離結構124d具有第二橫向截面形狀並且包括多個片段。第二隔離結構124d包括片段124d1至124d4。根據一些實施例,第二隔離結構的片段124d1至124d4是相鄰的第二隔離結構中的至少一個片段或部分片段。第二隔離結構124d包括邊界130。根據一些實施例,邊界130是p-n接合邊界,並且片段124d1至124d4是第一隔離結構(例如,第一隔離結構116a至116p,如第1L圖所示)的片段。邊界130包括邊界片段130a至130d。根據一些實施例,邊界片段130a至130d是p-n接合邊界的一部分,片段124d1至124d4是第一隔離結構(例如,第一隔離結構116a至116p)的隔離結構。
根據一些實施例,第二隔離結構124d具有分段線性截面形狀,例如四邊形(例如,正方形、矩形、菱形、梯形、平行四邊形或菱形)。根據一些實施例,第二隔離結構124d具有規律的分段線性截面形狀,其中所有片段都具有相同的長度。根據一些實施例,第二隔離結構124d具有非規律的分段線性截面形狀,其中並非所有的片段具有等效的長度。例如,片段124d4和124d1可能具有相同的長度,而片段124d2和124d3可能具有相同的長度,其中片段124d2和124d3的長度可能大於124d4和124d1的長度。在另一些實施例中,片段124d4和124d1可能具有相同的長度,而片段124d2和124d3可能具有相同的長度,其中片段124d2和124d3的長度可能小於124d4和124d1的長度。第二隔離結構124d的其他結構和/或配置在本揭示案的範圍內。
根據一些實施例,第二隔離結構124d具有非分段線性的部分。例如,片段124d4和124d1是曲面的,而片段124d2和124d3是線性。在另一些實施例中,片段124d4和124d1是具有第一曲率的曲面,並且片段124d2和124d3是具有第二曲率的曲面。根據一些實施例,第二隔離結構124d可以是圓形、近似圓、橢圓或近似橢圓中的至少一個。根據一些實施例,第二隔離結構124d的布局可能因製造差異而導致的形狀近似於圓或橢圓。第二隔離結構124d的其他結構和/或配置在本揭示案的範圍內。
第二光電二極體112d具有第二橫向截面直徑W2pd和第二橫向截面長度L2pd。根據一些實施例,第二光電二極體112d的橫向截面直徑W2pd小於第一光電二極體108f的第一橫向截面直徑W1pd(請參照第1E圖)。根據一些實施例,第二光電二極體112d的橫向截面長度L2pd小於第一光電二極體108f的第一橫向截面長度L1pd(請參照第1E圖)。根據一些實施例,第二光電二極體112d具有第二橫向截面形狀。根據一些實施例,第二光電二極體112d具有由第二隔離結構124d界定的第二橫向截面面積。根據一些實施例,第二光電二極體112d的第二橫向截面形狀小於第一光電二極體108f的第一橫向截面形狀。根據一些實施例,第二光電二極體112d的第二橫向截面面積小於第一光電二極體108f的第一橫向截面面積。根據一些實施例,第二光電二極體112d具有近似於第二隔離結構124d形狀的第二橫向截面形狀。例如,第二光電二極體112d的布局可能局部地近似菱形,或者上述的其他橫向截面形狀,並且可能因製造差異而導致的形狀近似於圓或橢圓。第二光電二極體112d可以將電荷轉移到浮動擴散,稍後將於下文詳述。根據一些實施例,浮動擴散受第二隔離結構124d的限制。根據一些實施例,浮動擴散的一部分近似於菱形,或者上述的其他橫向截面形狀,並且可能因製造差異而導致的形狀近似於圓或橢圓。第二光電二極體112d的其他結構和/或配置在本揭示案的範圍內。
第1L圖根據一些實施例繪示隔離結構102,其具有第二隔離結構子集122。第二隔離結構子集122中的每一者橫向圍繞第二光電二極體子集110(請參照第1C圖)中的光電二極體並形成陣列。第二隔離結構子集122與第一隔離結構子集114相鄰,其中第二隔離結構子集122包括多個第二隔離結構,而第一隔離結構子集114包括多個第一隔離結構。例如,第二隔離結構124d與第一隔離結構116e、116f、116i和116j相鄰,第二隔離結構124e與第一隔離結構116f和116j相鄰。根據一些實施例,第二隔離結構124d和第二隔離結構124e各包括多個片段。例如,第二隔離結構124d包括片段124d1至124d4,第二隔離結構124e包括片段124e1至124e4。根據一些實施例,第一隔離結構116e、116f、116i和116j各自包括多個片段。第一隔離結構116e包括,例如,片段116e3、116e4和116e5。第一隔離結構116f包括,例如,片段116f4、116f5、116f6和116f7。第一隔離結構116i包括,例如,片段116i1、116i2和116i3。第一隔離結構116j包括,例如,片段116j1、116j2、116j7和116j8。根據一些實施例,第二隔離結構122中的每一者(例如,第二隔離結構124d和124e)至少相鄰或相連第一隔離結構子集114中的至少一個第一隔離結構(例如,第一隔離結構116e、116f、116i、116j)。
根據一些實施例,第二隔離結構子集122中的每一者的一個片段可相同於第一隔離結構子集114中的一者的一個片段。例如,第二隔離結構124e的片段124e4可相同於第一隔離結構116f的片段116f4。在另一些實施例中,第二隔離結構124e的片段124e3可相同於第一隔離結構116j的片段116j2。根據一些實施例,第二隔離結構子集122中的每一者的一個片段可相同於第一隔離結構中的至少一個片段、一個片段的一部分、或多個片段的一部分。例如,第二隔離結構124d的片段124d1至少相同於片段116f6、片段116f7的一部分、或片段116f5的一部分。第二隔離結構124d的片段124d2至少相同於片段116j8、片段116j1的一部分、或片段116j7的一部分。第二隔離結構124d的片段124d3至少相同於片段116i2、片段116i1的一部分、或片段116i3的一部分。同樣地,第二隔離結構124d的片段124d4至少相同於闢段116e4、片段116e3的一部分、或片段116e5的一部分。第二隔離結構124d和124e的其他結構和/或配置均在本揭示案的範圍內。
第1M圖根據一些實施例示繪出隔離結構102,其具有第二隔離結構子集125。第二隔離結構子集125可以取代第1L圖中第二隔離結構子集122。第二隔離結構子集125中的每一者橫向地圍繞著第二光電二極體子集110的光電二極體並形成陣列。第二隔離結構子集125包括多個第二隔離結構(例如,第二隔離結構126)。根據一些實施例,隔離結構126可以形成如第1L圖所示的第二隔離結構子集122的陣列。第二隔離結構子集125橫向圍繞第二光電二極體(例如,第1C圖的第二光電二極體112a至112i)。根據一些實施例,第二隔離結構126包括多個片段126d1、126d2、126d3、126d4,類似於第1J圖的第二隔離結構124d的片段。在第1M圖所示的實施例中,第二隔離結構126橫向圍繞著相應的第二光電二極體(例如,第1C圖中示出的第二光電二極體112a至112i)的第二光電二極體子集110。根據一些實施例,二角相鄰的光電二極體(例如,第1C圖中示出的第二光電二極體112a至112i,其與第1D圖中所示的第一光電二極體108a至108p的對角相鄰)被至少兩個隔離結構對角線分隔。例如,設置在第二隔離結構126內的第二光電二極體與設置在第一隔離結構116e內的第一光電二極體對角相鄰,並由第二隔離結構126和第一隔離結構116e隔開。第二隔離結構子集125的其他結構和/或配置均在本揭示案的範圍內。
根據一些實施例,第二隔離結構126中的至少一者與第一隔離結構中的至少一者相鄰、相連、重疊或部分重疊。例如,第二隔離結構126的片段126d1可與第一隔離結構116f的片段116f6的截面相鄰並相連。根據一些實施例,第二隔離結構126中的至少一者與第一隔離結構中的至少兩者(例如,第一隔離結構116e、116f、116i、116j)相鄰、相連、重疊或部分重疊。例如,第二隔離結構126的片段126d2還可與第一隔離結構116j的片段116j8相鄰並相連。根據一些實施例,第二隔離結構126中的至少一者與第一隔離結構中的至少三者(例如,第一隔離結構116e、116f、116i、116j)相鄰、相連、重疊或部分重疊。例如,第二隔離結構126的片段126d3還可與第一隔離結構116i的片段116i2相鄰並相連。根據一些實施例,第二隔離結構126中的至少一者與第一隔離結構中的至少四者(例如,第一隔離結構116e、116f、116i、116j)相鄰、相連、重疊或部分重疊。例如,第二隔離結構126的片段126d4還可與第一隔離結構116e的片段116e4相鄰並相連。第二隔離結構126的其他結構和/或配置在本揭示案的範圍內。
根據一些實施例,第一隔離結構子集114的第一隔離結構116a至116p大於第二隔離結構子集122的第二隔離結構124a至124i。根據一些實施例,第一隔離結構116a至116p中的至少一者具有較大的橫向截面直徑或較大的橫向截面面積。根據一些實施例,第一隔離結構116a至116p的橫向截面形狀不同於第二隔離結構124a至124i的橫向截面形狀。根據一些實施例,第一光電二極體子集106中的第一光電二極體108a至108p的每一者都大於第二光電二極體子集110中的第二光電二極體112a至112i的每一者。根據一些實施例,第一光電二極體108a至108p中的每一者具有比第二光電二極體112a至112i更大的橫向截面直徑或更大的截面面積。根據一些實施例,第一光電二極體108a至108p中的每一者具有不同於第二光電二極體112a至112i的橫向截面形狀。
根據一些實施例,第一光電二極體子集106和第二光電二極體子集110是圖像感測器(image sensor),例如光學圖像感測器(optical image sensor)、鄰近圖像感測器(proximity image sensor)、動態圖像感測器(motion image sensor)、紅外線圖像感測器(infrared image sensor)、或近紅外線(near infrared ,NIR)圖像感測器中的至少一種。近紅外線圖像感測器可用於安全、個人認證、測距應用、增強彩色光學圖像等。例如,光學圖像感測器可以使用光電二極體陣列來偵測具有彩色濾光片圖案的彩色光學輻射波長範圍內的光學圖像。例如,彩色濾光片模式可以是紅-綠-藍(RGB)的拜耳濾光片圖案,其2×2顏色單元中,兩個綠色濾光片在對角線位置,藍色和紅色在非對角線位置。例如,彩色濾光片模式可以是紅-綠-藍-白(RGBW)的拜耳濾光片圖案,其2×2顏色單元中,一個綠色濾光片和一個白色濾光片在對角線位置,藍色和紅色在非對角線位置。近紅外線圖像感測器可以使用光電二極體陣列來偵測近紅外線輻射波長範圍內的近紅外線圖像。例如,由NIR圖像感測器偵測到的圖像可用來增強偵測到的光學圖像(以數位方式)。
根據一些實施例,半導體裝置100可用於偵測光學圖像和相應的NIR圖像。第一光電二極體子集106可以用彩色濾光片圖案來偵測光學圖像,而第二光電二極體子集110可以用NIR濾光片來偵測相應的NIR圖像。或者,第一光電二極體子集106可以用近紅外線濾波圖案來偵測近紅外線圖像,並且第二子集的光電二極體110可以用彩色濾光片圖案來偵測相應的光學圖像。
根據一些實施例,第一光電二極體子集106可以對應於第二光電二極體子集110而調整大小。例如,當NIR圖像用於增強光學圖像時,第一光電二極體子集106可以被調整大小以捕獲比第二光電二極體110子集捕獲的更高品質的光學圖像。第一光電二極體子集106可以對應於NIR圖像的品質程度而調整大小。例如,第一光電二極體子集106可以被調整大小以具有比第二光電二極體子集110更大的橫向截面直徑。第一光電二極體子集106可以調整大小,使其具有比第二光電二極體子集110大3倍的橫向截面直徑。第一光電二極體子集106可以調整大小,使其具有比第二光電二極體子集110大2.5倍的橫向截面直徑。第一光電二極體子集106可以調整大小,使其具有比第二光電二極體子集110大2倍的橫向截面直徑。第一光電二極體子集106可以被調整大小,使其具有比第二光電二極體子集110大1.5倍的橫向截面直徑。第一光電二極體106相對於第二光電二極體子集110的橫向截面直徑的其他調整大小在本揭示案的範圍內。
第一光電二極體子集106可以調整大小以具有比第二光電二極體子集110更大的橫向截面面積或更大的橫向截面形狀。例如,第一光電二極體子集106可以被調整為具有橫向截面面積或更大的橫向截面形狀,其比第二光電二極體子集110大3倍。第一光電二極體子集106可以調整大小以具有橫向截面面積或更大的橫向截面形狀,其比第二光電二極體子集110大2.5倍。第一光電二極體子集106可以調整大小以具有橫向截面面積或更大的橫向截面形狀,其比第二光電二極體子集110大2倍。第一光電二極體子集106可以調整大小以具有橫向截面面積或更大的橫向截面形狀,其比第二光電二極體子集110大1.5倍。第一光電二極體子集106相對於第二光電二極體子集110的橫向截面面積或橫向截面形狀的其他調整大小在本揭示案的範圍內。
根據一些實施例,第一光電二極體子集106可以相對於第一隔離結構子集114調整大小,並且第二光電二極體子集110可以相對於第二隔離結構子集122調整大小。第一隔離結構子集114可以被調整為具有比第二隔離結構子集122更大的橫向截面直徑。例如,第一隔離結構子集114可以被調整為具有比第二隔離結構子集122大3倍的橫向截面直徑。第一隔離結構子集114可以被調整為具有比第二隔離結構子集122大2.5倍的橫向截面直徑。第一隔離結構子集114可以被調整為具有比第二隔離結構子集122大2倍的橫向截面直徑。第一隔離結構子集114可以被調整為具有比第二隔離結構子集122大1.5倍的橫向截面直徑。第一光電二極體106相對於第一隔離結構子集114的橫向截面直徑的其他調整大小在本揭示案的範圍內。
第一隔離結構子集114可以被調整為具有比第二隔離結構子集122具有至少一個更大的橫向截面面積或更大的橫向截面形狀。例如,第一隔離結構子集114可以被調整為具有至少一個橫向截面區域或比第二隔離結構子集122大的較大的橫向截面形狀。第一隔離結構子集114可以被調整為具有至少一個橫向截面面積或更大的橫向截面形狀,其比第二隔離結構子集122大2.5倍。第一隔離結構子集114可以調整大小,以使其具有至少一個側截面積或更大的橫向截面形狀,其比第二隔離結構子集122大2倍。第一隔離結構子集114可以被調整為具有至少一個側截面積或更大的橫向截面形狀,其比第二隔離結構子集122大1.5倍。第一隔離結構子集114相對於第二隔離結構子集122的橫向截面面積或橫向截面形狀的其他調整大小在本揭示案的範圍內。
根據一些實施例,第一隔離結構子集114可以用八邊形調整大小,而第二隔離結構子集122可以用菱形調整大小。在此配置中,第一隔離結構子集114可以形成陣列,第二隔離結構子集122可以形成陣列。根據一些實施例,第一隔離結構子集114中的每一者可以與第二隔離結構子集122中的四者(例如,第二隔離結構124a至124i)相鄰、重疊或部分重疊。根據一些實施例,第二隔離結構子集122中的每一者可與第一隔離結構子集114中的四者(例如,第一隔離結構116a至116p)相連、重疊的部分重疊。第一隔離結構子集114的其他結構和/或配置相對於第二隔離結構子集122都在本揭示案的範圍之內。
第2圖根據一些實施例繪示具有光電二極體陣列104的半導體裝置100。光電二極體陣列104包括基板200和光電二極體202a至202e在基板200上。光電二極體陣列104經配置成感測輻射,例如入射光,其沿投射輻射201的方向朝向基板200投射。光電二極體陣列104的光電二極體(例如光電二極體202a至202e)被隔離結構102隔開。抗反射塗(ARC)層212配置在光電二極體202a至202e上和基板200上。輻射過濾層214配置在抗反射塗層212上,在光電二極體202a至202e上,並在基板200上。雷達過濾層214包括多個輻射濾通件(radiation pass filter)215,每個濾通件經配置以允許輻射濾通波長範圍通過並被相應的光電二極體偵測。多個輻射濾通件215包括一個近紅外線濾通件218和多個彩色濾通件。多個彩色濾通件包括紅色濾通件220、綠色濾通件222、藍色濾通件224和白色濾通件226。近紅外線濾通件218經配置以允許近紅外線波長範圍通過並被光電二極體202a偵測。彩色濾通件經配置以允許彩色波長範圍通過並被相應的光電二極體偵測。紅色濾通件220經配置以允許紅色波長範圍通過並被光電二極體202b偵測。綠色濾通件222經配置以允許綠色波長範圍通過並被光電二極體202c偵測。藍通濾器224經配置以允許藍色波長範圍通過並被光電二極體202d偵測。白色濾通件226經配置以允許白色波長範圍通過並被光電二極體202e偵測。輻射過濾層214或多個輻射濾通件215的其他結構和/或配置均在本揭示案的範圍內。
根據一些實施例,微透鏡陣列(micro-lens array)216配置在抗反射塗層212和輻射過濾層214上。微透鏡陣列216經配置以將輻射引導至光電二極體陣列104。微透鏡陣列216包括多個微透鏡(例如微透鏡216a至216e),其經配置以將輻射發射到光電二極體陣列104的相應光電二極體(例如,光電二極體202a至202e)。微透鏡216a經配置以將通過近紅外線濾通件218的輻射傳送到光電二極體202a。微透鏡216b經配置以將通過紅色濾通件220的輻射傳送到光電二極體202b。微透鏡216c經配置以將通過綠色濾通件222的輻射傳送到光電二極體202c。微透鏡216d經配置以將通過藍通濾光片224的輻射傳送到光電二極體202d。微透鏡216d經配置以將通過白色濾通件226的輻射傳送到光電二極體202e。微透鏡陣列216的其他結構和/或配置在本揭示案內容的範圍內。
第3圖根據本揭示案的一些實施例繪示光電二極體陣列104對於偵測到的輻射波長的相對響應率(relative responsivity)之圖表300。相對響應率,亦被稱為光譜響應率,可以表示為產生的光電流與入射輻射功率的比率,以安培(A)/瓦特(W)表示。相對響應率可具有波長相依性,並且可以表示為量子效率,或是光生成載子與入射光子的比率,這是一個無因次量(dimensionless quantity)。圖表300在256奈米(nm)的波長和攝氏25度(°C)的溫度下常態化。根據一些實施例,光學輻射,也稱為可見光輻射,狹義定義為介於約420nm到約680nm的輻射,或是廣義定義為介於約380nm到約800nm的輻射。白輻射通常是所有光輻射的組合。根據一些實施例,光學輻射包括紅色輻射(例如,通常紅色波長範圍為約620nm至約700nm)、綠色輻射(例如,通常綠色波長範圍為約492nm至約577nm),藍色輻射(例如,通常藍色波長範圍為約455nm到約492nm)和白色輻射(例如,通常白色波長範圍為約380nm到約800nm)。根據一些實施例,近紅外線輻射可以為約750nm到約1400nm的近紅外線波長範圍,或是為約780nm到約2500nm的近紅外線波長範圍。
圖表300顯示了藍色輻射在波長為約450nm至約500nm之間和約780nm至約950nm之間的相對響應率高於0.4。綠色輻射在波長為約750nm至約950nm之間的相對響應率高於0.4。紅色輻射在波長為約550nm至約950nm之間的相對響應率高於0.4。近紅外線輻射在波長為約400nm至約950nm之間的相對響應率高於0.4。因此,相較於僅偵測到光學波長的情況,當偵測到近偵測光學波長和紅外線波長時,光電二極體陣列104可以偵測更多的光生成載子以回應入射光子。偵測到的近紅外線輻射可以被轉換為顯示用的數位圖像或用來增強偵測到的光學圖像。
第4A圖至第4D圖根據本揭示案的一些實施例繪示光電二極體陣列104。第4A圖示出了具有多個彩色光電二極體的第一光電二極體子集400以及具有多個近紅外線光電二極體的第二光電二極體子集410。根據一些實施例,第一光電二極體子集400具有彩色濾光片圖案,其根據RGB的拜耳濾光片圖案。第一光電二極體子集400包括經配置以偵測紅色波長範圍的紅色光電二極體402、經配置以偵測綠色波長範圍的綠色光電二極體404和406,以及經配置以偵測藍色波長範圍的藍色光電二極體408。根據一些實施例,紅色光電二極體402對應於光電二極體202b(請參照第2圖),光電二極體202b經配置以從紅色濾通件220(請參照第2圖)接收到紅色波長範圍,綠色光電二極體404和406對應於光電二極體202c,光電二極體202c經配置以從綠色濾通件222接收到綠色波長範圍,藍色光電二極體408對應於光電二極體202d,光電二極體202d經配置以從藍色濾通件224接收到藍色波長範圍。紅色光電二極體402、綠色光電二極體404和406以及藍色光電二極體408共同形成一個2×2顏色單元,兩個綠色濾光片位於對角線位置,藍色和紅色濾光片位於非對角線位置。根據一些實施例,紅色光電二極體402、綠色光電二極體404和406以及藍色光電二極體408形成一個陣列,此陣列在第一光電二極體子集400中重複排列。根據一些實施例,第二光電二極體子集410中的每個近紅外線光電二極體對應於光電二極體202a,光電二極體202a經配置以偵測和接收來近紅外線濾通件218的近紅外線波長範圍。根據一些實施例,第二光電二極體子集410的每個近紅外線光電二極體形成一個陣列,此陣列在第二光電二極體子集410中重複排列。第一光電二極體子集400和第二光電二極體子集410的其他排列和/或配置均在本揭示案的範圍內。
第4B圖示出了具有多個彩色光電二極體的第一光電二極體子集412和具有多個近紅外線光電二極體的第二光電二極體子集410。根據一些實施例,第一光電二極體子集412具有彩色濾光片圖案,其根據RGBW的拜耳濾光片圖案。第一光電二極體子集412包括經配置以偵測紅色波長範圍的紅色光電二極體402、經配置以偵測綠色波長範圍的綠色光電二極體404、經配置以偵測藍色波長範圍的藍色光電二極體408、以及經配置以偵測白色波長範圍的白色光電二極體414。根據一些實施例,白光光電二極體414對應於光電二極體202e(請參照第2圖),光電二極體202e經配置以從白色濾通件226接收白色波長範圍。根據一些實施例,紅色光電二極體402、綠色光電二極體404、藍色光電二極體408和白色光電二極體414形成陣列,並在第一光電二極體子集400中重複排列。第一光電二極體子集412和第二光電二極體子集410的其他排列和/或配置在本揭示案的範圍內。
第4C圖示出了具有多個近紅外線光電二極體的第一光電二極體子集416和具有多個彩色光電二極體的第二光電二極體子集418。根據一些實施例,第一光電二極體子集416的每個近紅外線光電二極體對應於光電二極體202a(請參照第2圖),光電二極體202a經配置以偵測和接收來自近紅外線濾通件218的近紅外線波長範圍。根據一些實施例,第一光電二極體子集416的每個近紅外線光電二極體形成一個陣列,此陣列在第一光電二極體子集416中重複排列。根據一些實施例,第二光電二極體子集418具有彩色濾光片圖案,其根據RGB的拜耳濾光片圖案。第二光電二極體子集418包括經配置以偵測紅色波長範圍的紅色光電二極體420、經配置以偵測綠色波長範圍的綠色光電二極體424、以及經配置以偵測藍色波長範圍的藍色光電二極體426。根據一些實施例,紅色光電二極體420對應於光電二極體202b,光電二極體202b經配置以從紅色濾通件220接收紅色波長範圍,綠色光電二極體422和424對應於光電二極體202c,光電二極體202c經配置以從綠色濾通件222接收綠色波長範圍,藍色光電二極體426對應於光電二極體202d,光電二極體202d經配置以從藍色濾通件224接收藍色波長範圍。紅色光電二極體420、綠色光電二極體422和424以及藍色光電二極體426共同形成一個2×2顏色單元,兩個綠色濾光片位於對角線位置,藍色和紅色濾光片位於非對角線位置。根據一些實施例,紅色光電二極體420、綠色光電二極體422和424以及藍色光電二極體426形成一個陣列,此陣列在第二光電二極體子集418中重複排列。第一光電二極體子集416和第二光電二極體子集418的其他排列和/或配置在本揭示案的範圍內。
第4D圖示出了具有多個近紅外線光電二極體的第一光電二極體子集416和具有多個彩色光電二極體的第二光電二極體子集428。根據一些實施例,第二光電二極體子集428具有彩色濾光片圖案,其根據RGBW的拜耳濾光片圖案。第二光電二極體子集428包括經配置以偵測紅色波長範圍的紅色光電二極體420、經配置以偵測綠色波長範圍的綠色光電二極體422、經配置以偵測藍色波長範圍的藍色光電二極體426、以及經配置以偵測白色波長範圍的白色光電二極體434。根據一些實施例,白光光電二極體434對應於光電二極體202e(請參照第2圖),光電二極體202e經配置以從白色濾通件226接收白色波長範圍。根據一些實施例,紅色光電二極體420、綠色光電二極體422、藍色光電二極體426和白色光電二極體434形成陣列,並在第二光電二極體子集428中的重複排列。第一光電二極體子集416和第二光電二極體子集428的其他排列和/或配置在本揭示案的範圍內。
第5A圖至第5E圖根據本揭示案的一些實施例繪示具有光電二極體500和隔離結構102的半導體裝置100。第5A圖示出了光電二極體500形成在基板200上。基板200包括第一側504以及相對於第一側504的第二側506。基板200具有基板深度Ds。與第2圖所示的實施例相比,第5A圖至第5E圖所示的半導體裝置100為倒置,使得投射輻射201的方向投射到基板200的第二側506。光電二極體500包括電荷儲存井508、固定層(pinning layer)510、轉移閘極(transfer gate)512和浮動擴散層514。電荷儲存井508設置在基板200和固定層514之間。
根據一些實施例,電荷儲存井508可從基板200的第一側504延伸到基板200的儲存井深度D1sw。固定層510可能比電荷儲存井508薄二十倍以上。例如,在180nm的製程中,固定層510的厚度可能為約100nm,而電荷儲存井508的厚度可為約2500nm至約5000nm。根據一些實施例,相較於半導體裝置100中的其他元件,電荷儲存井508的儲存井深度D1sw可視為光電二極體500的深度。電荷儲存井508包括第一深儲存井段516。第一深儲存井段516與電荷儲存井508相連並且第一深儲存井段516形成電荷儲存井508的一部分。根據一實施例,當第一深儲存井段516形成電荷儲存井508的一部分時,第一深儲存井段516可從基板200的第一側504延伸到基板200的深儲存井深度D2sw。第一深儲存井段516具有深儲存井寬度W2sw。根據一些實施例,相較於半導體裝置100的其他元件,電荷儲存井508的深儲存井深度D2sw可視為光電二極體500的深度。
根據一些實施例,隔離結構102包括隔離結構518和深溝槽隔離520。隔離結構518橫向圍繞光電二極體500。隔離結構518包括第一井519,其又稱為深井。第一井519可延伸到基板200的第一側504的深井深度D1dw並具有深井寬度W1dw。根據一些實施例,深井深度D1dw為最大深井深度,深井寬度W1dw為最大深井寬度。根據一些實施例,第一井519的深井深度D1dw大於光電二極體500的電荷儲存井508的儲存井深度D1sw。根據一些實施例,第一井519的深井深度D1dw大於光電二極體500的第一深儲存井段516的深儲存井深度D2sw。深井深度D1dw和深井寬度W1dw的其他結構和/或配置均在本揭示案範圍之內。
深溝槽隔離520圍繞光電二極體500並具有第一側522和第二側524。深溝槽隔離520可從基板200的第二側506延伸到基板200的深溝槽隔離深度D1dti。深溝槽隔離520可從基板200的第二側524延伸到基板200的深溝槽隔離深度D2dti,其中深溝槽隔離深度D2dti是從基板200的第一側522所量測。因此,當從基板200的第一側504測量時,在基板200中的深溝槽隔離520從深溝槽隔離深度D2dti延伸到深溝槽隔離深度D1dti。根據一些實施例,深溝槽隔離520的第二側524與基板200的第二側506相同。根據一些實施例,深溝槽隔離520的第二側524與基板200的第二側506不同。例如,當從基板200的第一側504測量時,深溝槽隔離520的第二側524可以小於基板200的第二側506。換句話說,深溝槽隔離深度D2dti+深溝槽隔離深度D1dti<基板深度Ds。深溝槽隔離520從基板200的第一側504的深溝槽隔離深度D2dti延伸到深溝槽隔離深度D1dti。根據一些實施例,深溝槽隔離520非錐形。根據一些實施例,深溝槽隔離520為錐形。例如,深溝槽隔離520可以在投射輻射201方向相反的方向上從最小寬度W1dti逐漸變化成最大寬度W2dti。根據一些實施例,就基板200的第一側504而言,深溝槽隔離520的一部分位於第一井519之下。根據一些實施例,就基板200的第一側504而言,深溝槽隔離520完全位於第一井519之下。第一井519和深溝槽隔離井520的其他結構和/或配置均在本揭示案的範圍內。
根據一些實施例,第一井519橫向圍繞光電二極體500,並且第一井519的至少一部分橫向圍繞深溝槽隔離520。由於第一井519的一部分橫向圍繞著深溝槽隔離520,使得第一井519的一部分的外圍橫向圍繞深溝槽隔離520的一部分的外圍。根據一些實施例,第一井519與深溝槽隔離520的局部重疊。就基板200的第一側504而言,深溝槽隔離520的深溝槽隔離深度D2dti小於第一井519的深井深度D1dw。根據一些實施例,深溝槽隔離520具有最大寬度W2dti,隔離結構518的深井寬度W1dw具有最大寬度,其中最大寬度W2dti小於深井寬度W1dw。第一井519和深溝槽隔離井520的其他結構和/或配置均在本揭示案的範圍內。
在一些實施例中,深溝槽隔離520的深溝槽隔離深度D1dti所形成的尺寸可相應於基板200的基板深度Ds。根據一些實施例,較深的溝槽隔離深度D1dti可提供光電二極體500較好的電性隔離或較佳的光學隔離。根據一些實施例,深溝槽隔離深度D1dti可能受限於與光電二極體500的部分垂直(沿投射輻射201的方向)重疊,例如與浮動擴散層514部分重疊。深溝槽隔離深度D1dti可以提供光電二極體500的較好的隔離效果,這取決於光電二極體500的第一深儲存井段516的深儲存井深度D2sw。根據一些實施例,深儲存井深度D2sw可以對應於基板200的基板深度Ds,下文將更詳細地描述。在一些實施例中,深溝槽隔離深度D1dti是基板深度Ds的約45%和80%之間。在一些實施例中,深溝槽隔離深度D1dti是基板深度Ds的約50%和75%之間。在一些實施例中,深溝槽隔離深度D1dti是基板深度Ds的約60%和70%之間。在一些實施例中,光電二極體500的深儲存井寬度W2sw是基板深度Ds的40%和45%之間。在一些實施例中,光電二極體500的深儲存井寬度W2sw是基板深度Ds的35%和40%之間。深溝槽隔離520的其他結構和/或配置在本揭示案的範圍內。
在一些實施例中,光電二極體500的深儲存井寬度W2sw可以在約2.0微米(μm)和2.5μm之間,第一井519的深井寬度W1dw在約0.19μm和0.41μm之間,深溝槽隔離520的最大寬度W2dti小於深溝槽隔離520的深井寬度W1dw。在一些實施例中,光電二極體500的深儲存井寬度W2sw可以在約2.1μm和2.4μm之間,第一井519的深井寬度W1dw在約0.33μm和0.41μm之間,深溝槽隔離520的最大寬度W2dti小於深井寬度W1dw。在一些實施例中,光電二極體的深儲存井寬度W2sw可以在約2.2μm和2.3μm之間,第一井519的深井寬度W1dw在約0.35μm和0.39μm之間,深溝槽隔離520的最大寬度W2dti小於深井寬度W1dw。光電二極體500和深溝槽隔離520的其他結構和/或配置在本揭示案的範圍內。
第5B圖根據一些實施例繪示形成在基板200上的光電二極體500。隔離結構518橫向圍繞光電二極體500。隔離結構518包括第一井526,其又稱為深井。第一井526可延伸到基板200的第一側504的深井深度D2dw並具有深井寬度W1dw。根據一些實施例,深井深度D2dw為最大深井深度,深井寬度W1dw為最大深井寬度。根據一些實施例,第一井526的深井深度D2dw大於光電二極體500的第一深儲存井段516的深儲存井深度D2sw。根據一些實施例,第一井526的深井深度D2dw從基板200的第一側504延伸到基板200的第二側506。根據一些實施例,第一井526的深井深度D2dw從基板200的第一側504延伸到一深度,其中前述深度大於深溝槽隔離520的深度,而且前述深度是從基板200的第一側522所量測。換句話說,深井深度D2dw>深溝槽隔離深度D2dti+深溝槽隔離深度D1dti。根據一些實施例,第一井526的深井寬度W1dw大於深溝槽隔離520的最小寬度W1dti。根據一些實施例,第一井526的深井寬度W1dw大於深溝槽隔離520的最大寬度W2dti。根據一些實施例,第一井526完全圍繞深溝520隔離。第一井526和深溝槽隔離520的其他結構和/或配置均在本揭示案的範圍內。
第5C圖根據一些實施例繪示形成在基板200上的光電二極體500。隔離結構518橫向圍繞光電二極體500。隔離結構518包括第一井519和第二井528,其也稱為深井。第二井528可從基板200的第二側506延伸到基板200中的深井深度D3dw,並且具有深井寬度W2dw。當從基板200的第一側504測量時,第二井528可從深井深度D4dw延伸到深井深度D3dw。在此情況下,隔離結構518從基板200的第一側504的總深度為深井深度D4dw+深井深度D3dw。根據一些實施例,第一井519與第二井528重疊。根據一些實施例,第一井519和第二井528是連續的,並且具有相同的摻雜劑類型。根據一些實施例,第一井519和第二井528的組合完全圍繞深溝槽隔離520。根據一些實施例,第一井519和第二井528的組合可從基板200的第一側504延伸到基板200的第二側506。根據一些實施例,當從基板200的第一側504測量時,深井深度D4dw大於光電二極體500的第一深儲存井段516的深儲存井深度D2sw。第一井519的深井寬度W1dw小於第二井528的深井寬度W2dw,並且第一井519的深井寬度W1dw完全圍繞深溝槽隔離520。由於第一井519的深井寬度W1dw小於第二井528的深井寬度W2dw,因此光電二極體500可以具有更大的配置面積。第一井519、第二井528和深溝槽隔離520的其他結構和/或配置均在本揭示案範圍之內。
第5D圖根據一些實施例繪示形成在基板200上的光電二極體500。隔離結構518橫向圍繞光電二極體500。隔離結構518第一井519。深溝槽隔離530圍繞光電二極體500並具有第一側532和第二側534。深溝槽隔離530可從基板200的第二側506延伸到深溝槽隔離深度D3dti。溝槽隔離530可從基板200的第二側500延伸到隔離深度D4dti,其中隔離深度D4dti是從基板200的第一側504所測量的。因此,當從基板200的第一側504測量時,深溝槽隔離530從深溝槽隔離深度D4dti延伸到基板200的深溝槽隔離深度D3dti。隔離結構518和第一井519不重疊深溝槽隔離530。第一井519的深井寬度W1dw大於深溝槽隔離530的最大寬度W2dti。隔離結構518和深溝槽隔離530的其他結構和/或配置均在本揭示案的範圍內。
第5E圖根據一些實施例繪示形成在基板200上的光電二極體500。隔離結構518橫向圍繞光電二極體500。隔離結構518包括第一井519和第二井528。第二井528可從基板200的第二側506延伸到深井深度D3dw,並具有深井寬度W2dw。當從基板200的第一側504測量時,第二井528可從深井深度D4dw延伸到深井深度D3dw。在此情況下,隔離結構518從基板200的第一側504的總深度為深井深度D4dw+深井深度D3dw。電荷儲存井508包括第一深儲存井段516和第二深儲存井段536。第一深儲存井段516和第二深儲存井段536可與電荷儲存井508相連,並且第一深儲存井段516和第二深儲存井段536形成電荷儲存井508的一部分。根據一些實施例,第二深儲存井段536可從基板200的第一側504延伸到基板200內的深儲存井深度D3sw。
根據一些實施例,相較於半導體裝置100中的其他元件,電荷儲存井508的深儲存井深度D3sw可視為光電二極體500的深度。第一深儲存井段516具有深儲存井寬度W2sw,第二深儲存井段536具有深儲存井寬度W3sw。根據一些實施例,第一深儲存井段516的深儲存井寬度W2sw比第二深儲存井段536的深儲存井段寬度W3sw寬。第一井519橫向圍繞第一深儲存井段516,第二井528橫向圍繞第二深儲存井段536。當從基板200的第一側504測量時,第二深儲存井段536的深儲存井深度D3sw大於深溝槽隔離520的深溝槽隔離深度D2dti。
在一些實施例中,光電二極體500的深儲存井寬度W3sw的配置可相應於第二井528的深井寬度W2dw。較大的深儲存井寬度W3sw可以提供光電二極體500較多的材料來接收電荷載子,而較大的深井寬度W2dw可以提供較好的電性隔離或較佳的光學隔離。深儲存井寬度W3sw和深井寬度W2dw可能限制光電二極體陣列104中的光電二極體的密度。根據一些實施例,深儲存井寬度W3sw和深井寬度W2dw可決定光電二極體500能偵測到電荷載子的範圍,並且可用於電性隔離或光學隔離。根據一些實施例,光電二極體500的深儲存井寬度W3sw可為約1.0μm至約1.4μm之間,第二井528的深井寬度W2dw可為約1.0μm至約1.4μm之間,深溝槽隔離520的最大寬度W2dti小於深井寬度W2dw。在一些實施例中,光電二極體500的深儲存井寬度W3sw可為約1.1μm至約1.3μm之間,第二井528的深井寬度W2dw可為約1.1μm至約1.3μm之間,深溝槽隔離520的最大寬度W2dti可為約1.1μm至約1.3μm之間,並且深溝槽隔離520的最大寬度W2dti可小於深井寬度W2dw。在一些實施例中,光電二極體500的深儲存井寬度W3sw可為約1.15μm至約 1.25μm之間,第二井528的深井寬度W2dw可為約1.15μm至約1.25μm之間,並且深溝槽隔離520的最大寬度W2dti小於深井寬度W2dw。深溝槽隔離520的其他結構和/或配置在本揭示案的範圍內。
第6圖根據一些實施例繪示在其中一個製程階段的半導體裝置100。半導體裝置100包括基板200。在一些實施例中,基板200對應於半導體裝置100的晶圓。基板200的第一側504對應於基板200的正面,基板200的第二側506對應於基板200的背面。半導體裝置100經配置以感測輻射,例如入射光,其沿著投射輻射201的方向投射到基板200。
基板200可包括磊晶層、絕緣體上矽(silicon-on-insulator,SOI)結構、晶圓或由晶圓形成的晶粒(die)。基板200可包括矽、鍺、碳化物、砷化物、鎵、砷、磷化物、銦、銻、銻、SiGe、SiC、GaAs、GaN、GaP、InGaP、InP、InAs、InSb、GaAsP、AlInAs、GaInAs、或其他合適的材料。基板200包括單晶矽、具有<100>晶向的結晶矽、具有<110>晶向的結晶矽、具有<111>晶向的結晶矽、或其他合適的材料。基板200具有至少一個摻雜區域。基板200的其他結構和/或配置在本揭示案的範圍內。
在一些實施例中,半導體裝置100包括光電二極體陣列104中的至少一個光電二極體在基板200中。在一些實施例中,光電二極體500對應於光電二極體陣列104的第一光電二極體101、第二光電二極體103、第三光電二極體105或第四光電二極體107中的至少一個。在一些實施例中,光電二極體500對應於第一光電二極體子集106(例如,第一光電二極體108a至108p)或第二光電二極體子集110(例如,第二光電二極體112a至112i)。光電二極體500的形成操作可包括摻雜、離子佈植、分子擴散或其他合適的技術。在一些實施例中,光電二極體500包括固定層、光電二極體、光電電晶體、光閘極或其他合適的元件。光電二極體陣列104中的至少一些光電二極體可能彼此不同,例如不同的高度、不同的厚度、不同的寬度、不同的材料組成等。基板200可以包含本揭示案範圍內的數個光電二極體或其他元件。
光電二極體陣列104中的至少一些光電二極體或其他元件可包括硼、鍺、銦、磷、BF 2、砷、銻、氟、InAs、InSb、GaSb、GaAs、InP、矽化物、矽、經摻雜的矽或其他合適的材料。光電二極體陣列104經配置以感測輻射,例如入射光,其沿投射輻射201的方向投射至基板200。光電二極體陣列104中的至少一些光電二極體包含對近紅外線波長或光學波長具有較高吸收度的材料。光電二極體500、光電二極體陣列104或其他元件的其他結構和/或配置均在本揭示案的範圍內。
光電二極體500包括電荷儲存井508以及浮動擴散層514,其中電荷儲存井508排列在基板200和固定層510之間。根據一些實施例,光電二極體500包括第一深儲存井段516和第二深儲存井段536,第一深儲存井段516和第二深儲存井段536可相連於電荷儲存井508相鄰,並且第一深儲存井段516和第二深儲存井段536形成電荷儲存井508的一部分。第一深儲存井段516和第二深儲存井段536的其他結構和/或配置均在本揭示案範圍之內。
在一些實施例中,基板200包括磊晶層。在一些實施例中,基板200包括第一型磊晶層,其具有p型或n型的第一型摻雜劑。第二型摻雜劑不同於第一型摻雜劑,可為p型或n型。在一些實施例中,電荷儲存井508、浮動擴散層514、第一深儲存井段516、第二深儲存井段536包括第二型摻雜劑。在一些實施例中,浮動擴散層514的第二型摻雜劑的濃度高於電荷儲存井508、第一深儲存井段516和第二深儲存井段536。具有第一型摻雜劑的基板200可形成至少一個摻雜區域,其中摻雜區域的形成方法可包括離子佈植、分子擴散或其他合適的技術。植入基板200的摻雜劑的數量或數量是被控制的,例如控制摻雜劑的濃度。在一些實施例中,植入基板200的摻雜劑的能量是被控制的,藉此來控制摻雜劑的植入深度。在一些實施例中,摻雜劑在基板200中的深度可藉由增加或減少電壓來調控。在一些實施例中,摻雜劑在基板200中的深度可藉由增加或減少摻雜劑暴露於基板200的時間來控制。在一些實施例中,摻雜劑在基板200中的深度可藉由增加或減少摻雜劑的濃度來控制。用於控制基板200中摻雜劑深度的其他製程和/或操作皆在本揭示案的範圍。
在一些實施例中,光電二極體500的電荷儲存井508包括第二型摻雜劑,其中第二型摻雜劑不同於基板200的摻雜劑類型。電荷儲存井508與基板200形成p-n接合面。電荷儲存井508可被稱作埋入式訊號電荷儲存井。浮動擴散層514包括第二型摻雜劑並且具有比電荷儲存井508更高濃度的摻雜劑。浮動擴散層514與基板200形成p-n接合面。基板200可包括重摻雜區域以排斥光電子,重摻雜區域形成在浮動擴散層514下,因此電荷儲存井508可收集到光電子。固定層514包括第一型摻雜劑並且比基板200更高的摻雜濃度。基板200和光電二極體500的其他結構和/或組合在本揭示案的範圍內。
第7圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中第一遮罩層702形成在基板200上。第一遮罩層702覆蓋在基板200上,可以直接接觸基板200或間接接觸基板200。在一些實施例中,第一遮罩層702是硬遮罩層。第一遮罩層702可包括氧化物、氮化物、金屬或其他合適的材料。第一遮罩層702是由物理氣相沉積(physical vapor deposition,PVD)、濺鍍(sputtering)、化學氣相沉積(chemical vapor deposition,CVD)、低壓CVD(low pressure CVD,LPCVD)、原子層化學氣相沉積(atomic layer CVD,ALCVD)、超高真空CVD(ultrahigh vacuum CVD,UHVCVD)、減壓CVD(reduced pressure CVD,RPCVD)、原子層沉積(atomic layer deposition,ALD)、分子束磊晶(molecular beam epitaxy,MBE)、液相磊晶(liquid phase epitaxy,LPE)、旋轉塗佈、或其他合適的技術。第一遮罩層702的其他結構和/或配置在本揭示案的範圍內。
第8圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中第一遮罩層702經圖案化而形成第一圖案遮罩層802在基板200上。根據一些實施例,使用光阻(未繪出)來形成第一圖案遮罩層802。形成光阻在第一遮罩層702上的方法可包括PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋轉塗佈、或其他合適的技術。光阻包括光敏材料,其中光阻的溶解度等特性受光的影響。光阻是負型光阻或正型光阻。對於負型光阻,在負型光阻中被光源照射的曝光區域會變得不溶,所以在隨後的顯影製程中溶劑可移除負型光阻的未曝光區域。因此,在負型光阻中形成的圖案是由光源和負型光阻之間的不透明區域(如遮罩)的負影像所定義。在正型光阻中,正型光阻的曝光區域會變得可溶,所以在隨後的顯影製程中溶劑可移除曝光區域。因此,在正型光阻中形成的圖案是由光源和正型光阻之間的不透明區域(例如遮罩)的正影像所定義。一種或多種蝕刻劑具有選擇性,使得一種或多種蝕刻劑移除(或蝕刻)暴露的或未受光阻覆蓋的一或多層的速率大於一種或多種蝕刻劑移除(或蝕刻)光阻的速率。因此,光阻中的開口可使一個或多個蝕刻劑通過並在光阻下的一個或多個層中形成相應的開口,從而將光阻中的圖案轉移到光阻下的一個或多個層中。在圖案轉移後,剝離或清除光阻。
用於移除第一遮罩層702的一部分以形成第一圖案遮罩層802的蝕刻製程可包括乾式蝕刻製程、濕式蝕刻製程、非等向性蝕刻製程、等向性蝕刻製程、電漿蝕刻製程、或其他合適的蝕刻製程。蝕刻製程可使用HF、稀釋的HF、HCl 2、H 2S或其他合適的材料。在一些實施例中,用於移除第一遮罩層702的一部分以形成第一圖案遮罩層802的蝕刻過程還可移除至少一些基板200,例如位於第一圖案遮罩層802的開口下的部分基板200。用於形成第一圖案遮罩層802的其他製程和/或技術在本揭示案的範圍內。
第9圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中隔離結構518可具有第一井519。第一井519形成在基板200中。在一些實施例中,第一井519可藉由深佈植製程902形成並具有第一型摻雜劑。在一些實施例中,第一井519具有第一型摻雜劑,其濃度高於基板200中的第一型摻雜劑。在一些實施例中,深佈植製程902可包括以約1.5 keV至約2.5 keV之間的能量佈植約1.5e15cm -3至約1.5e17cm -3之間的第一摻雜劑。在一些實施例中,第一摻雜劑可包括氮、磷或砷。在一些實施例中,第一摻雜劑可包括硼、鋁或鎵。在一些實施例中,含硼的第一摻雜劑提供約2.0μm至約3.0μm之間的接合深度,其空乏區深度大於約2.5μm。在一些實施例中,含硼的第一摻雜劑提供約2.1μm至約2.4之間的接合深度,其空乏區大於約2.5μm。在一些實施例中,大於約2.5μm的空乏區可使得在光電二極體500中第一井519的深井深度D1dw大於第一深儲存井段516的深儲存井深度D2sw。在一些實施例中,在相鄰光電二極體之間傳遞的入射輻射產生電訊號的情況下,第一井519可減少或消除電性串擾(cross-talk)。用於形成第一井519的其他製程和/或技術屬於本揭示案的範圍。
第10圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中隔離結構518可具有第一井526。第一井526形成在基板200中。第一井526比第9圖的第一井519更深(在此示出以供參考)。在一些實施例中,第一井526可藉由深佈植製程902形成並具有第一型摻雜劑。在一些實施例中,第一井526具有濃度高於基板200的第一型摻雜劑。在一些實施例中,深佈植製程902可包括以約3.0keV至約5.0keV之間的能量佈植約1.5e15cm -3至約1.5e17cm -3之間的第一摻雜劑,以具有約10ohm-cm的電阻率。在一些實施例中,第一摻雜劑可包括氮、磷或砷。在一些實施例中,第一摻雜劑可包括硼、鋁或鎵。在一些實施例中,含硼的第一摻雜劑提供約5.0μm至約6.0μm之間的接合深度,其空乏區深度在約5.0μm至約6.0μm之間。在一些實施例中,大於約5.0μm的空乏區可使得在光電二極體500中第一井526的深井深度D1dw大於第一深儲存井段516的深儲存井深度D2sw。在一些實施例中,深佈植製程902包括以約3.0keV至約5.0keV之間的能量佈植約1.0e14cm -3的第一摻雜劑,以具有約100ohm-cm的電阻率。在一些實施例中,含硼第一摻雜劑提供大於約6.0μm的接合深度,其空乏區大於約6.0μm。在一些實施例中,大於約6.0μm的空乏區可使得在光電二極體500中第一井526的深井深度D1dw大於第一深儲存井段516的深儲存井深度D2sw。在一些實施例中,在相鄰光電二極體之間傳遞的入射輻射產生電訊號的情況下,第一井526可減少或消除電性串擾。用於形成第一井526的其他製程和/或技術屬於本揭示案的範圍。
第11圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中在移除第一圖案遮罩層802(請參照第10圖)之後,第二遮罩層1102形成在基板200上。在形成第一井519或第一井526之後,移除第一圖案遮罩層802。第一圖案遮罩層802的移除方法可包括晶圓背面研磨、化學機械研磨(chemical-mechanical polishing,CMP)、平坦化、乾式蝕刻製程、濕式蝕刻製程(即液體蝕刻製程)、非等向性蝕刻製程、等向性蝕刻製程、電漿蝕刻或其他合適的技術。蝕刻製程可使用HF、稀釋的HF、HCl 2、H 2S、或其他合適的材料。在一些實施例中,在移除第一圖案遮罩層802之後,基板200的第一側504(例如,頂面)可顯露出來。
第二遮罩層1102覆蓋在基板200上,可以直接接觸基板200或間接接觸基板200。中介層,例如保護層或介電層,可形成在第二遮罩層1102和基板200之間。第二遮罩層1102的形成方法可包括PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋轉塗佈、或其他合適的技術。第二遮罩層1102的製程可採用類似於前述第7圖的第一遮罩層702的製程。光阻具有光敏材料(光阻的溶解度等特性受光的影響),其可用於形成第二遮罩層1102。光阻可以是負型光阻或正型光阻。
第12圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中第二遮罩層1102經圖案化而形成第二圖案遮罩層1202在基板200上。第二圖案遮罩層1202的形成方法可採用類似於前述第8圖的第一圖案遮罩層802的製程。第二圖案遮罩層1202具有開口,其暴露出基材200的一部分。在一些實施例中,第二圖案遮罩層1202中的開口位於光電二極體陣列104中的光電二極體之間或其他元件之間,使得這些開口不會覆蓋光電二極體或其他元件,或與光電二極體或其他元件橫向偏移。在一些實施例中,第二圖案遮罩層1202中的開口位於光電二極體陣列104中的兩個相鄰光電二極體之間或其他元件之間,使得開口覆蓋位於光電二極體陣列104的第一光電二極體和第二光電二極體之間的部分基板200。根據一些實施例,第二圖案遮罩層1202中的開口覆蓋著光電二極體500的一部分、光電二極體陣列104的一部分或其他元件。
第13圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中使用第二圖案遮罩層1202形成的淺溝槽1302。淺溝槽1302穿過基板200並延伸至第一井519。淺溝槽1302可能橫向偏移光電二極體500,或是介於光電二極體陣列104中的兩個光電二極體之間。在一些實施例中,淺溝槽1302位於光電二極體陣列104中的兩個連續光電二極體之間,基板200的第一部分200a將淺溝槽1302與光電二極體陣列104中的兩個相鄰光電二極體中的第一光電二極體分開,以及基板200的第二部分200b將淺溝槽1302與光電二極體陣列104中的兩個相鄰光電二極體中的第二光電二極體分開。在一些實施例中,執行蝕刻製程以形成淺溝槽1302,其中蝕刻製程中使用的一種或多種蝕刻劑可通過第二圖案遮罩層1202中的開口並移除基板200的一部分,而第二圖案遮罩層1202覆蓋住的部分基板200則受到保護未被移除。蝕刻製程可包括乾式蝕刻製程、濕式蝕刻製程、非等向性蝕刻製程、等向性蝕刻製程、或其他合適的蝕刻製程。蝕刻製程可使用HF、稀釋的HF、HCl 2、H 2S或其他合適的材料。用於形成淺溝槽1302的其他製程和/或技術屬於本揭示案的範圍。
第14圖根據一些實施例繪示在移除第二圖案遮罩層1202之後的其中一個製程階段的半導體裝置100。在淺溝槽1302形成之後,移除第二圖案遮罩層1202。第二圖案遮罩層1202的移除製程類似於前述第11圖的第一圖案化遮罩層802的移除製程。
在基板200中的淺溝槽130具有第一側壁1402和第二側壁1404。在一些實施例中,第一側壁1402中的至少一部分是錐形的(tapered),或者第二側壁1404中的至少一部分是錐形的。就基板200的第一側504而言,第一側壁1402具有第一斜率,例如負斜率,而第二側壁1404具有第二斜率,例如正斜率。在一些實施例中,第二斜率與第一斜率為正負相反。在一些實施例中,淺溝槽1302的截面積在與投射輻射201的方向相反的方向上減小,使得淺溝槽1302上部的寬度大於淺溝槽1302下部的寬度。在一些實施例中,淺溝槽1302的側壁(例如第一側壁1402或第二側壁1404)是垂直的,例如平行投射輻射201的方向。淺溝槽1302具有第一最大寬度W1st和第一最小寬度W2st。在一些實施例中,淺溝槽1302在與投射輻射201的方向相反的方向上從第一最大寬度W1st逐漸變小到第一最小寬度W2st。淺溝槽1302相對於其他元件、特徵等的其他結構和/或配置均在本揭示案的範圍內。
在一些實施例中,淺溝槽1302的最下部(距基板200的第一側504)低於固定層510、浮動擴散層514、電荷儲存井508或其他元件的最上部。根據一些實施例,淺溝槽1302的最下部(距基板200的第一側504)高於第一深儲存井段516、第二深儲存井段536或其他元件的最下部。根據一些實施例,淺溝槽1302的最下部低於電荷儲存井508、浮動擴散層514元件或其他元件的最下部。根據一些實施例,淺溝槽1302的最下部與其他元件的最下部是共平面。淺溝槽1302相對於光電二極體500和/或其他元件、特徵等的其他結構和/或配置均在本揭示案的範圍內。
第15圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中第一阻障層1502形成在基板200上以及淺溝槽1302中。在一些實施例中,第一阻障層1502直接接觸基板200的第一側504(例如,頂面),舉例來說,定義出淺溝槽1302的第一側壁1402和第二側壁1404。在一些實施例中,第一阻障層1502間接接觸基板200的第一側504(例如,頂面)。第一阻障層1502相對於其他元件、特徵等的其他結構和/或配置均在本揭示案的範圍內。
第一阻障層1502可包括氧化鋁(Al 2O 3)、氧化鉿、氮化鉭、或其他合適的材料。第一阻障層1502可形成方法可包括PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋轉塗佈、或其他合適的技術。在一些實施例中,在形成第一阻障層1502之後,進行平坦化製程以平坦化沉積材料的頂表面。
第一阻障層1502的第一部分位於淺溝槽1302中。第一阻障層1502的第一部分具有第三側壁1504,其中第三側壁1504與基板200的第一側壁1402對齊。位於淺溝槽1302中的第一阻障層1502的第一部分具有第四側壁1506,其中第四側壁1506與基板200的第二側壁1404對齊。第一阻障層1502相對於其他元件、特徵等的其他結構和/或配置均在本揭示案的範圍內。
第16圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中第一介電層1602形成在第一阻障層1502上以及在淺溝槽1302中。在一些實施例中,第一介電層1602直接接觸第一阻障層1502。在一些實施例中,第一介電層1602間接接觸第一阻障層1502。第一阻障層1502位於第一介電層1602和基板200之間。第一介電層1602相對於其他元件的其他結構和/或配置、特徵等均在本揭示案的範圍內。
第一介電層1602可包含氧化矽、氮化矽、氮氧化矽、氧化鉿、氟矽酸鹽玻璃(fluorinated silica glass,FSG)或其他合適的材料。第一介電層1602的形成方法可包括PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋轉塗佈、或其他合適的技術。在一些實施例中,在形成第一介電層1602之後,進行平坦化製程以平坦化沉積材料的頂表面。第一介電層1602的熱膨脹係數在約1至約20之間(如約2.5至約16之間)。第一介電層1602的其他結構和/或配置在本揭示案的範圍內。
第一介電層1602的第一部分位於淺溝槽1302中。第一介電層1602的第一部分具有第五側壁1604,其中第五側壁1604與第一阻障層1502的第一部分的第三側壁1504對齊。位於淺溝槽1302中的第一介電層1602的第一部分具有第六側壁1606,其中第六側壁1606與第一阻障層1502的第一部分的第四側壁1506對齊。第一介電層1602相對於其他元件、特徵等的其他結構和/或配置均在本揭示案的範圍內。
第17圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中淺溝槽隔離1702形成在第一介電層1602上、在第一阻障層1502上、以及在淺溝槽1302中。在一些實施例中,淺溝槽隔離1702直接接觸第一介電層1602。在一些實施例中,淺溝槽隔離1702間接接觸第一介電層1602。第一介電層1602位於淺溝槽隔離1702與第一隔離層1502之間或位於淺溝槽隔離1702與基板200之間。第一阻障層1502位於基板200與第一介電層1602之間或位於基板200與淺溝槽隔離1702之間。淺溝槽隔離1702相對於其他元件、特徵等的其他結構和/或配置均在本揭示案的範圍內。
淺溝槽隔離1702可包括氧化矽、氮化矽、氮氧化矽、氧化鉿、氟矽酸鹽玻璃(FSG)或其他合適的材料。淺溝槽隔離1702的形成方法可包括PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋轉塗佈、或其他合適的技術。在一些實施例中,在形成淺溝槽隔離1702之後,進行平坦化製程以平坦化沉積材料的頂表面。淺溝槽隔離1702的其他結構和/或配置在本揭示案的範圍內。
淺溝槽隔離1702的第一部分在淺溝槽1302中。淺溝槽隔離1702的第一部分具有第七側壁1704,其中第七側壁1704與第一介電層1602的第一部分的第五側壁1604對齊。位於淺溝槽1302中的淺溝槽隔離1702的第一部分具有第八側壁1706,其中第八側壁1706與第一介電層1602的第一部分的第六側壁1606對齊。在一些實施例中,第七側壁1704中的至少一部分是錐形的,或者第八側壁1706中的至少一部分是錐形的。第七側壁1704具有第一斜率,例如負斜率。第八側壁1706具有第二斜率,例如正斜率。在一些實施例中,第二斜率與第一斜率為正負相反。在一些實施例中,淺溝槽隔離1702的截面積在與投射輻射201的方向相反的方向上減小,使得淺溝槽隔離1702上部的寬度W1sti大於淺溝槽隔離1702下部的寬度W2sti。在一些實施例中,淺溝槽隔離1702的側壁(例如第七側壁1704或第八側壁1706)是垂直的,例如平行投射輻射201的方向。在一些實施例中,淺溝槽隔離1702具有第一最大寬度W1sti和第一最小寬度W1sti。在一些實施例中,淺溝槽隔離1702在與投射輻射201的方向相反的方向上從第一最大寬度W1sti逐漸變小到第一最小寬度W2sti。在一些實施例中,在相鄰光電二極體之間傳遞的入射輻射產生電訊號的情況下,淺溝槽隔離1702可減少或消除電性串擾(cross-talk)。淺溝槽隔離1702相對於其他元件、特徵等的其他結構和/或配置均在本揭示案的範圍內。
第18圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中第二介電層1802形成在淺溝槽隔離1702和基板200上。在一些實施例中,第二介電層1802直接接觸淺溝槽隔離1702或基板200。在一些實施例中,第二介電層1802間接接觸淺溝槽隔離1702或基板200。在一些實施例中,第二介電層1802可包括介電材料,例如氧化物、氮化物或其他合適材料。第二介電層1802的形成方法可包括PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋轉塗佈、或其他合適的技術。第二介電層1802相對於其他元件、特徵等的其他結構和/或配置均在本揭示案的範圍內。
第19圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中轉移閘極512形成在第二介電層1802或基板200上。在一些實施例中,轉移閘極512直接接觸第二介電層1802或基板200。在一些實施例中,轉移閘極512間接接觸第二介電層1802或基板200。
轉移閘極512可讀出訊號電荷,其中訊號電荷對應於光電二極體500所偵測到的輻射強度。為了從電荷儲存井508讀出訊號電荷,轉移閘極512在電荷儲存井508和基板200的接合邊界上為電荷載子產生可變電位阻障。當施加轉移閘極電壓以將轉移閘極512「打開」(例如,當電荷儲存井是508為n型的n通道裝置,施加的電壓相對於基板200為正偏置)時,電荷儲存井508中的電荷載子通過基板200的電位阻障並轉移至浮動擴散層514,直到電荷儲存井508的電位近似電位阻障。在讀出電荷載子的過程中,電荷儲存井508基本上處在較高的電位。接者,不施加轉移閘極電壓以將轉移閘極512「關閉」並處在較低的電位。在電荷儲存井508的電位下降的整合階段,新的光電子仍然被收集在電荷儲存井508中。當整合期間階段結束時,轉移閘極512可再次「開啟」以轉移出收集的電荷載子。
轉移閘極512可包括導電材料,例如多晶矽、金屬或其他合適的材料。轉移閘極512的形成方法可包括PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋轉塗佈、或其他合適的技術。轉移閘極512相對於其他元件、特徵等的其他結構和/或配置均在本揭示案的範圍內。
第20圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中互連結構2002形成在轉移閘極512、第二介電層1802或基板200上。互連結構2002可覆蓋在轉移閘極512上,並且直接接觸或間接接觸轉移閘極512。互連結構2002可覆蓋在第二介電層1802上,並且直接接觸或間接接觸第二介電層1802。互連結構2002可覆蓋在基板200上,並且直接接觸或間接接觸基板200。
互連結構2002可包括一個或多個互連層,例如第一互連層2004、第二互連層2006、第三互連層2008或第四互連層2010。互連結構2002的一個或多個互連層可包括圖案化介電層或導電層,以提供的各種摻雜特徵、電路、輸入/輸出等元件之間的相互連接(例如佈線)在半導體裝置100中。在一些實施例中,互連結構2002可包括層間電介質和多層互連結構,例如觸點、介層窗、金屬線或其他合適的結構。為了便於說明,互連結構2002包括導線2012,其中導線2012的位置和配置可以根據設計需要而調整。互連結構2002的其他結構和配置均在本揭示案的範圍內。
第21圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中鈍化層2102形成在互連結構2002上,以及第一氧化層2104形成在鈍化層2102上。第一晶圓2106可包括基板200、第二介電層1802、轉移閘極512、互連結構2002、鈍化層2102和第一氧化層2104。鈍化層2102可覆蓋在互連結構2002上,並且直接接觸或間接接觸互連結構2002。鈍化層2102可覆蓋在基板200上,並且直接接觸或間接接觸基板200。第一氧化層2104可覆蓋在鈍化層2102上,並且直接接觸或間接接觸鈍化層2102。第一氧化層2104可覆蓋在基板200上,並且直接接觸或間接接觸基板200。
在一些實施例中,鈍化層2102保護至少一些互連結構2002免於在半導體裝置100的後續製程中被腐蝕或其他影響。在一些實施例中,鈍化層2102可包括氮化鋁(AlN)、氧化鋁(Al 2O 3)、氧化矽、氮化矽(Si 3N 4)、化學穩定、耐腐蝕的介電材料、或具有n型、p型或其他矽基分子結構的有機化合物、或其他合適的材料。鈍化層2102的其他結構和/或組成在本揭示案的範圍內。
在一些實施例中,鈍化層2102的形成方法可包括PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋轉塗佈、鈍化或其他合適的技術。鈍化製程可包括對底層材料的表面進行氧化、或將底層材料的表面與有機化合物錯合(complex)。鈍化層2102可包括一層或多層薄膜,其覆蓋底層材料(例如互連結構2002的材料或基板200)。一層或多層薄膜可包括化學穩定的材料。在後續半導體裝置的製程中,一層或多層薄膜中的至少一層可不易溶解或降低對底層材料的電性或化學反應性。鈍化層2102的其他製程在本揭示案的範圍內。
鈍化層2102中的至少一者可抑制電荷、原子或離子擴散到底層材料中,以降低底層材料的氧化、保護底層材料免受環境條件的影響、或作為底層材料的擴散阻障之功能。鈍化層2102的其他目的和/或功能在本揭示案的範圍內。
在一些實施例中,第一氧化層2104保形地形成在鈍化層2102、互連結構2002或基板200上。在一些實施例中,第一氧化層2104可包含氧化矽、氮化矽、氮氧化矽、具有高介電常數(high-k)的介電材料或其他合適的材料。第一氧化層2104的形成方法可包括CVD、PVD、ALD、高密度電漿CVD(high-density plasma CVD,HDPCVD)、金屬有機CVD(metal organic CVD,MOCVD)、電漿增強CVD(plasma enhanced CVD,PECVD)或其他合適的技術。在一些實施例中,氧化層2104可具有約0.5nm至約3nm之間的厚度。如果氧化層1204太厚,例如大於約3nm,則可能難以在後續製程中除去。如果第一氧化層2104太薄,例如小於約0.5nm,則在將第一晶圓2106接合到另一晶圓時,底層結構可能會損壞。
第22圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,尤其是第二晶圓2202(有時被稱為載體晶圓)接合到第一晶圓2106的過程。第二晶圓2202具有第二基板2204和第二晶圓介面區域2206。由於第二晶圓介面區域2206暴露於O 2中,氧化矽層形成在第二晶圓介面區域2206中。第二晶圓介面區域2206可覆蓋在第二基板2204上,並且直接接觸或間接接觸第二基板2204。在一些實施例中,第一晶圓2106經處理以形成第一晶圓介面區域2208在第一氧化層2104中。
在一些實施例中,第一晶圓2106和第二晶圓2202經過熱處理,以形成熱氧化層在第一晶圓2106的第一晶圓介面區域2208和第二晶圓2202的第二晶圓介面區域2206中。熱氧化層是在加熱腔室、高溫爐或其他合適的溫度控制環境中形成。藉由將第一晶圓2106或第二晶圓2202置於氧氣環境(例如O 2)和指定溫度(例如1000°C)下一小時,則可形成熱氧化層。根據一些實施例,熱氧化層是氧化矽,藉由第一晶圓2106的第一晶圓介面區域2208的矽或第二晶圓2206的第二晶圓介面區2206的矽與O 2產生分子反應而形成。在一些實施例中,第一晶圓2106的第一晶圓介面區域2208的熱氧化層的厚度經控制,例如厚度控制在1000埃(A),可有助於在隨後的退火製程中與第二晶圓2202的第二晶圓介面區2206產生良好反應,藉此形成共價鍵在第一晶圓2106和第二晶圓2202之間。
在一些實施例中,在第一電漿室(未繪出)中對第一晶圓2106進行電漿處理,在第二電漿室(未繪出)中對第二晶片2202進行電漿處理。在一些實施例中,在同一電漿室(未繪出)中分別對第一晶圓2106和第二晶圓2202進行電漿處理。電漿處理可包括將材料注入第一電漿室、第二電漿室或相同的電漿室,並且向注入的材料施加電壓以從注入的材料中產生電漿。注入的材料可以是氬氣(Ar)或其他合適的材料,並且電漿可以是Ar電漿或其他合適的電漿。根據一些實施例,電漿處理包括將第一晶圓2106和第二晶圓2202置於矽烷(SiH 4)和一氧化二氮(N 2O)的環境中,並施加偏置電壓至第一晶圓介面區域2208和第二晶圓介面區域2206。電漿氧化層的形成方式可包括將第一晶圓2106和第二晶圓2202置於指定溫度(例如300°C)的SiH 4和N 2O環境中持續一段的時間(例如一小時),並施加電壓至第一晶圓介面區域2208和第二晶圓介面區域2206。在一些實施例中,第一晶圓2106的第一晶圓介面區域2208的電漿氧化層的厚度經控制,例如厚度控制在1000埃(A),可有助於在隨後的退火製程中與第二晶圓2202的第二晶圓介面區2206產生良好反應,藉此形成共價鍵在第一晶圓2106和第二晶圓2202之間。
第一晶圓介面區域2208對齊第二晶圓介面區2206(例如重疊或垂直重合),並且使第一晶圓介面區域2208與第二晶圓介面區2206壓合一起,其中壓合的方法可包括在朝向第二晶圓介面區域2206的方向上施加第一壓力P1至第一晶圓介面區域2208,或者在朝向第一晶圓介面區域2208的方向上施加第二壓力P2至第二晶圓介面區域2206。由於第一壓力P1或第二壓力P2,位於第二晶圓介面區域2206的SiO 2分子與位於第一晶圓介面區域2208的Si原子結合,透過凡德瓦交互作用而形成Si-SiO 2的表面鍵結。在一些實施例中,退火製程包括將第一晶圓介面區域2208和第二晶圓介面區域2206之間的交界處在特定溫度並持續一段時間,例如處在350°C並持續三小時。當Si-O-Si共價鍵形成在第一晶圓介面區域2208和第二晶圓介面區域2206的交界處時,H原子與O原子結合形成H 2O而成為副產物。用於形成Si-O-Si共價鍵在第一晶圓2106和第二晶圓2202之間的其他溫度和/或退火時間亦在本揭示案的範圍內。
第23圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中第二晶圓2202已接合至第一晶圓2106上。由於Si-O-Si的共價鍵形成在第一晶圓介面區域2208和第二晶圓介面區域2206的交界處,因此在退火製程後第二晶圓2202與第一晶圓2106接合。第23圖所示的半導體裝置100為上下倒置。
第24根據一些實施例繪示在移除第二晶圓2202之後的其中一個製程階段的半導體裝置100。從第一晶圓2106上移除第二基板2204和第二晶圓介面區域2206(請參照第22圖)。根據一些實施例,將半導體裝置100旋轉180度或倒置以利於第二晶圓2202的移除。第二晶圓2202的移除方法可包括晶圓背面研磨、濕式蝕刻、乾式蝕刻、電漿蝕刻、化學機械研磨、平坦化或其他合適的技術。
第25圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中第三圖案遮罩層2502形成在基板200和隔離結構518,隔離結構518包括第一井519和第二井528。第三圖案遮罩層2502的形成方法可採用類似於前述第8圖的第一圖案遮罩層802的製程。在一些實施例中,第二井528的形成方法可採用類似於前述第9圖的在基板200中形成第一井519的製程。在一些實施例中,第二井528可藉由深佈植製程2504形成並具有第一型摻雜劑。在一些實施例中,第二井528具有第一型摻雜劑,其濃度高於基板200中的第一型摻雜劑。深佈植製程2504的操作可採用類似於前述第9圖的佈植製程902。在一些實施例中,第一井519具有第一側519a和第二側519b,而第二井528具有第一側528a和第二側528b。
在一些實施例中,具有第一井519和第二井528的隔離結構518圍繞光電二極體500。在一些實施例中,第一井519橫向圍繞光電二極體500,而第二井528不橫向圍繞光電二極體500。在一些實施例中,第一井519橫向圍繞光電二極體500的一部分,第二井528橫向圍繞光電二極體500的一部分(例如,當光電二極體500的第二深儲存井段536從基板200的第一側504延伸超過第二井528的第一側528a)。
在一些實施例中,第一井519與第二井528相鄰(例如,第一井519的第二側519b與第二井528的第一側528a相鄰)。在一些實施例中,第一井519與第二井528重疊(例如,第一井519的第二側519b與第二井528的第一側528a重疊)。在一些實施例中,第一井519和第二井528是連續的並且使用相同的摻雜劑類型形成。在一些實施例中,具有第一井519和第二井528的隔離結構518從基板200的第一側504延伸至基板200的第二側506。在一些實施例中,具有第一井519和第二井528的隔離結構518從基板200的第一側504延伸,但不延伸至基板200的第二側506。在一些實施例中,具有第一井519和第二井528的隔離結構518不從基板200的第一側504延伸,但仍延伸至基板200的第二側506。第一井519、第二井528和光電二極體500的相對寬度和深度如上文中參照第5C圖的相關描述。在一些實施例中,在相鄰光電二極體之間傳遞的入射輻射產生電訊號的情況下,第二井528可減少或消除電性串擾。第一井519和第二井528的其他結構和/或配置,以及形成第一井519、第二井528和第三圖案遮罩層2502的製程和/或技術均在本揭示案的範圍內。
第26圖根據一些實施例繪示在移除第三圖案遮罩層2502之後的其中一個製程階段的半導體裝置100,其中第四圖案遮罩層2602形成在基板200或隔離結構518上。移除第三圖案化遮罩層2502的操作類似於上文中參照第11圖的移除第一圖案化遮罩層802的製程。在一些實施例中,第三圖案遮罩層2502的移除製程還可移除至少一些基材200。第四圖案遮罩層2602可採用類似前述第8圖的第一圖案遮罩層802的蝕刻製程。用於形成第四圖案遮罩層2602的其他製程和/或技術在本揭示案的範圍內。
第27圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中第四圖案遮罩層2602用於形成凹槽2702在基板200中。在一些實施例中,凹槽可採用類似於前述第13圖的淺溝槽1302在形成過程中的蝕刻製程。凹槽2702延伸至基板200的第二側506中並且可定義吸收增強結構。凹槽2702可以垂直重合光電二極體500、垂直重合光電二極體500的一部分、或垂直偏移光電二極體500。凹槽2702可以被隔離結構518圍繞、被隔離結構518的一部分圍繞、被隔離結構518橫向圍繞,或者被隔離結構518的一部分橫向圍繞。凹槽2702被第二井528圍繞、被第二井528的一部分圍繞、被第二井528橫向圍繞,或者被第二井528的一部分橫向圍繞。凹槽2702從第二側506延伸到基板200中,並且低於第二井528的第二側528b、重合第二井528的第二側528b、或高於第二井528的第二側528b。凹槽2702相對於隔離結構518和/或第二井528的其他結構在本揭示案的範圍內。凹槽2702可根據第四圖案遮罩層2602而形成。凹槽2702可以是橫向相鄰,並且橫向連續或橫向不連續(例如,與第四圖案遮罩層2602的相隔一段距離)。凹槽2702的其他結構或配置在本揭示案的範圍內。
凹槽2702定義了突出件2704。配置的突出件2704可避免入射輻射反射遠離光電二極體500。例如,突出件2704可以具有傾斜的側壁以避免前述的反射。由於突出件2704可避免入射輻射反射遠離光電二極體500,所以突出件2704可增加光電二極體500吸收的輻射量,從而提升光電二極體500的靈敏度。在一些實施例中,突出件2704具有規律圖案或陣列。在一些實施例中,每個突出件2704可為圓錐形、金字塔形或一些其他形狀。在一些實施例中,突出件2704定義鋸齒形輪廓。在一些實施例中,突出件2704的節距(pitch)可介於約0.01μm至8.0μm、介於約0.2μm至5.0μm、介於約1.0μm至3.0μm,或前述的任意組合。在一些實施例中,突出件2704的高度可介於約0.2μm至約20.0μm、介於約1.0μm至約15.0μm、約5.0μm至約10.0μm、或前述的任意組合。在一些實施例中,基板200的第二側506包括多孔半導體材料,並且多孔半導體材料完全或局部定義突出件2704。在一些實施例中,當基板200的第二側506包括多孔半導體材料時,多孔半導體層可形成在基板200的第二側506上,並且多孔半導體材料完全或局部定義突出件2704。在一些實施例中,多孔半導體材料搭配突出件2704可形成具有直接帶隙(direct energy band gap)的吸收增強結構,吸收增強結構可增強光電二極體500的量子效率。其他結構或配置的突出件2704在本揭示案的範圍內。
第28圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中移除第四圖案遮罩層2602(請參照第27圖)並且形成第三介電層2802。在形成凹槽2702之後,移除第四圖案遮罩層2602。第四圖案遮罩層2602的移除過程可採用類似於前述第11圖的第一圖案化遮罩層802的移除製程。第三介電層2802包括氮化矽、氧化矽、氧化鎂、氧化鋁、Yb 2O 3、ZnO、Ta 2O 5、ZrO 2、HfO 2、TeO 2、TiO 2或其他合適的材料。在一些實施例中,對光電二極體陣列104要偵測的輻射波長(例如近紅外線波長和光學波長)而言,第三介電層2802實質上是透明。第三介電層2802的形成方法可包括PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋轉塗佈、或其他合適的技術。在一些實施例中,第三介電層2802直接接觸基板200的第二側506、基板200中的凹槽2702、或凹槽2704的突出件2704。在一些實施例中,第三介電層2802間接接觸基板200的第二側506、基板200中的凹槽2702、或凹槽2702的突出件2704。第三介電層2802的其他結構和/或配置在本揭示案的範圍內。
第29圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中形成第四圖案遮罩層2902和形成深溝槽2904。第四圖案遮罩層2902的形成方法可採用類似於前述第8圖的第一圖案遮罩層802的製程。深溝槽2904的形成方法可採用類似於前述第13圖的形成淺溝槽1302中的蝕刻製程。用於深溝槽2904的蝕刻製程可包括乾式蝕刻製程、濕式蝕刻製程、非等向性蝕刻製程、等向性蝕刻製程、或其他合適的蝕刻製程。蝕刻製程可使用HF、稀釋的HF、HCl 2、H 2S或其他合適材料。相較於淺溝槽1302,深溝槽2904可提供較深的溝槽,並且蝕刻製程持續時間較長、使用較高濃度的蝕刻劑、不同類型的蝕刻劑、使用較高壓力的蝕刻劑、或施加較高的偏置電壓。用於形成第四圖案遮罩層2902和深溝槽2904的其他製程和/或技術屬於本揭示案的範圍。
在一些實施例中,深溝槽2904延伸穿過第三介電層2802和基板200,並進入隔離結構518。根據一些實施例,深溝槽2904延伸到第二井528或第一井519。在一些實施例中,深溝槽2904延伸到第二井528b的第二側528b。在一些實施例中,深溝槽2904延伸超過第二井528的第二側519b。在一些實施例中,深溝槽2904延伸到第一井519和第二井528之間的重疊部分。深溝槽2904的其他結構和/或配置在本揭示案範圍之內。
在一些實施例中,深溝槽2904橫向偏移光電二極體500或介於光電二極體陣列104之間。在一些實施例中,深溝槽2904位於光電二極體陣列104中的兩個相鄰光電二極體之間,基板200的第三部分200c隔開深溝槽2904與光電二極體陣列104中的兩個相鄰光電二極體的第一光電二極體。基板200的第四部分200d隔開深溝槽2904與光電二極體陣列104中的兩個相鄰光電二極體的第二光電二極體。在一些實施例中,進行蝕刻過程以形成深溝槽2904,其中蝕刻製程使用的一種或多種蝕刻劑可穿過第四圖案遮罩層2902的開口以移除基板200的一部分,而被第四圖案遮罩層2902覆蓋住的基板200則受到第四圖案遮罩層2902保護。蝕刻製程可以類似於前述第13圖的形成淺溝槽1302中的蝕刻製程。用於形成深溝槽2904的其他結構和/或配置在本揭示案的範圍內。
基板200的深溝槽2904具有第九側壁2906和第十側壁2908。在一些實施例中,第九側壁2906中的至少一部分是錐形的,或者第十側壁2908中的至少一部分是錐形的。就基板505的第二側506而言,第九側壁2906具有第九斜率,例如負斜率,而第十側壁2908具有第十斜率,例如正斜率。在一些實施例中,第二斜率與第一斜率為正負相反。在一些實施例中,深溝槽2904的截面積在投射輻射201的方向上減小,使得深溝槽2904上部的寬度大於深溝槽2904下部的寬度。在一些實施例中,深溝槽2904的側壁(例如第九側壁2906或側壁2908)是垂直的,例如平行投射輻射201的方向。深溝槽2904具有最小寬度W1dt和最大寬度W2dt。在一些實施例中,深溝槽2904在投射輻射201的方向上從最大寬度W2dt逐漸變小道最小寬度W1dt。深溝槽2904的其他結構和/或配置在本揭示案範圍之內。
第30圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中移除第四圖案遮罩層2902(請參照第29圖)並且形成第二阻障層3002在基板200的深溝槽2904中。第二阻障層3002的形成方法可採用類似前述第15圖的第一阻障層1502的製程。第四圖案遮罩層2902的移除製程可採用類似於前述第11圖的第一圖案化遮罩層802的移除製程。
在一些實施例中,第二阻障層3002直接接觸第三介電層2802或基板200的第二側506,例如深溝槽2904的第九側壁2906或第十側壁2908。在一些實施例中,第二阻障層3002間接接觸基板200的第二側506。在一些實施例中,在形成第二阻障層3002之後,沿平行基板200的第二側506對沉積材料的表面進行平坦化製程。第二阻障層3002相對於其他元件、特徵等的其他結構和/或配置均在本揭示案的範圍內。
第二阻障層3002的第一部分位於深溝槽2904中。第二阻障層3002的第一部分具有第十一側壁3004,其對齊深溝槽2904的第九側壁2906。位於深溝槽2904中的第二阻障層3002的第一部分具有第十二側壁3006,其對齊深溝槽2904的第十側壁2908。第二阻障層3002相對於其他元件、特徵等的其他結構和/或配置均在本揭示案的範圍內。
根據一些實施例,第四介電層(未繪出)形成在第二阻障層3002上,第四介電層(未繪出)的形成方法可採用類似於前述第16圖的第一介電層1602的製程。在一些實施例中,第四介電層(未繪出)形成在第二阻障層3002上,並且位於深溝槽2904中。在一些實施例中,第四介電層(未繪出)直接接觸第二阻障層3002。在一些實施例中,第四介電層(未繪出)間接接觸第二阻障層3002。第二阻障層3002位於第四介電層(未繪出)和基板200之間。第四介電層(未繪出)相對於其他元件、特徵等的其他結構和/或配置均在本揭示案的範圍內。
第31圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中形成深溝槽隔離520。深溝槽隔離520形成在第二阻障層3002上和深溝槽2904上。在一些實施例中,深溝槽隔離520直接接觸第二阻障層3002。在一些實施例中,深溝槽隔離520間接接觸第二阻障層3002,例如接觸第四介電層(未繪出)。第二阻障層3002位於深溝槽隔離520和深溝槽隔離520之間、介於深溝槽隔離520和第四介電層(未繪出)之間、或介於深溝槽隔離520和基板200之間。深溝槽隔離520相對於其他元件、特徵等的其他結構和/或配置均在本揭示案的範圍內。
深溝槽隔離520可包括氧化矽、氮化矽、氮氧化矽、氧化鉿、氟矽酸鹽玻璃(FSG)、金屬(例如鋁或銅)金屬化合物、金屬混合物或其他合適的材料。深溝槽隔離520的形成方法可包括PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋轉塗佈、或其他合適的技術。在一些實施例中,在形成深溝槽隔離520之後,對沉積材料的頂表面進行平坦化製程。深溝槽隔離520的其他結構和/或配置在本揭示案的範圍內。
深溝槽隔離520的第一部分在深溝槽2904中。深溝槽隔離520的第一部分具有第十三側壁3102,其對齊第十一側壁3004。深溝槽隔離520的第一部分具有第十四側壁3104,其對齊第十二側壁3006。在一些實施例中,第十三側壁3102中的至少一部分是錐形的,或者第十四側壁3104中的至少一部分是錐形的。第十三側壁3102具有第一斜率,例如負斜率。第十四側壁3104具有具有第二斜率,例如正斜率。在一些實施例中,第二斜率與第一斜率為正負相反。在一些實施例中,深溝槽隔離520的截面積在投射輻射201的方向上減小,使得深溝槽隔離520上部的寬度大於深溝槽隔離520下部的寬度。在一些實施例中,深溝槽隔離520的側壁(例如第十三側壁3102或第十四側壁3104)是垂直的,例如平行投射輻射201的方向。在一些實施例中,深溝槽隔離520具有最大寬度W2dti和最小寬度W1dti。在一些實施例中,深溝槽隔離520在投射輻射201的方向上從最大寬度W2dti逐漸變小到最小寬度W2dti。在一些實施例中,在相鄰光電二極體之間傳遞的入射輻射產生電訊號的情況下,深溝槽隔離520可減少或消除電性串擾。深溝槽隔離520相對於其他元件、特徵等的其他結構和/或配置均在本揭示案的範圍內。
第32圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中形成第三阻障層3202以及金屬網格3204。第三阻障層3202的形成方法可採用類似於前述第15圖的第一阻障層1502的製程。第三阻障層3202具有開口,其定義金屬網格3204的配置。金屬網格3204形成在深溝槽隔離520和深溝槽2904上。在一些實施例中,金屬網格3204直接接觸深溝槽隔離520、第二阻障層3002、第四介電層(未繪出)、基板200或第三介電層2802。在一些實施例中,金屬網格3204和深溝槽隔離520是相鄰的或共同形成相同的結構。金屬網格3204相對於其他元件、特徵等的其他結構和/或配置均在本揭示案的範圍內。
金屬網格3204橫向排列在光電二極體陣列104的光電二極體周圍和之間,並定義用於將入射輻射傳輸到光電二極體陣列104的開口。鄰近基板200的上表面(例如上表面的上方和下方)的入射輻射因金屬網格3204的阻擋而不通過光電二極體陣列104中的相鄰光電二極體之間,藉此降低串擾。因此,金屬網格3204可定義基板隔離閘極或以其他方式構成基板隔離柵格的一部分。在一些實施例中,金屬網格3204由例如金屬材料的反射材料製成。金屬網格3204可以是鋁、銀、銅、鈦、鉑、鎢、鉭、氮化鉭、其他合適的材料,或上述之組合。在一些實施例中,金屬網格3204是反射層,並且使用合適的沉積製程沉積。合適的沉積製程包括,例如,PVD、電鍍、CVD、其他適用製程、或上述之組合。隨後,對金屬網格3204進行圖案化,例如,使用微影製程和蝕刻製程來形成反射元件。用於形成金屬網格3204的其他技術在本揭示案的範圍。
第33圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中形成第二氧化層3302。第二氧化層3302形成於深溝槽520、金屬網格3204、第二阻障層3002、第四介電層(未繪出)、第三介電層2802或基板200上。在一些實施例中,第二氧化層33020直接接觸深溝槽520、金屬網格3204、第二阻障層3002、第四介電層(未繪出)、第三介電層2802或基板200。在一些實施例中,第二氧化層3302可相連於第三阻障層3202、形成在第三阻障層3202上、或與第三阻障層3202相同的結構。在一些實施例中,第二氧化層3302的形成製程可採用類似於前述第21圖的第一氧化層2104的製程。第二氧化層3302和第三阻障層3202的其他結構和/或配置均在本揭示案的範圍內。
第34圖根據一些實施例繪示在其中一個製程階段的半導體裝置100,其中形成抗反射塗層212、輻射過濾層214和微透鏡陣列216。抗反射塗層212配置在光電二極體陣列104上。抗反射塗層212形成於第二氧化層3302、金屬網格3204、深溝槽隔離520、第二阻障層3002、第四介電層(未繪出)、第三介電層2802或基板200上。在一些實施例中,抗反射塗層212直接接觸第二氧化層3302、金屬網格3204、深溝槽隔離520、第二阻障層3002、四介電層(未繪出)、第三介電層2802或基板200。在一些實施例中,抗反射塗層212間接接觸第二氧化層3302、金屬網格3204、深溝槽隔離520、第二阻障層3002、第四介電層(未繪出)、第三介電層2802或基板200。在一些實施例中,抗反射塗層212相鄰於第二氧化層3302、形成在第二氧化層3302上、或與第二氧化層3302相同的結構。在一些實施例中,抗反射塗層212的形成方法可包括PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋轉塗佈、或其他合適的技術。
根據一些實施例,輻射過濾層214排列並形成在抗反射塗層212上。輻射過濾層214包括輻射濾通件215的輻射過濾件3402。輻射過濾件3402對應近紅外線濾通件218、紅色濾通件220、綠色濾通件222、藍色濾通件224或白色濾通件226,如第2圖所示。輻射過濾層214可覆蓋在抗反射塗層212上、直接接觸抗反射塗層212的頂表面、或間接接觸抗反射塗層212的頂表面。輻射過濾件3402可包括顏料分散色阻劑(pigment-dispersed color resist,PDCR)材料、光敏物質、光引發劑物質、多功能單體、一種或多種添加劑、整平劑、附著促進劑、樹脂、可溶於鹼性溶液的聚合物、色漿、顏料、分散劑、溶劑或其他合適的材料。輻射過濾件3402使某些波長的輻射通過。在一些實施例中,輻射過濾件3402的不同部分具有不同的材料,以使不同的波長通過。近紅外線濾通件218具有近紅外線可通過的材料組成並使近紅外線波長通過,紅色濾通件220具有紅色可通過的材料組成並使紅色波長通過,綠色濾通件222具有綠色可通過的材料組成並使綠色波長通過,藍色濾通件224具有藍色可通過的材料組成並使藍色波長通過,和白色濾通件226具有白色可通過的材料組成並使白色波長通過。在一些實施例中,輻射過濾件3402包括多個相互疊加的輻射過濾層。在一些實施例中,多個輻射過濾層中的第一輻射過濾層可對應近紅外線濾通件218。在一些實施例中,多個輻射過濾層中的第二輻射過濾層是光學過濾層,其對應於紅色濾通件220、綠色濾通件222、藍色濾通件224或白色濾通件226。在一些實施例中,第二輻射過濾層覆蓋在第一輻射過濾層之上。在一些實施例中,第一輻射過濾層覆蓋在第二輻射過濾層之上。輻射過濾層214的形成方法可包括PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋轉塗佈、或其他合適的技術。多個輻射過濾層中的至少一個輻射過濾層,例如第一輻射過濾層或第二輻射過濾層,具有小於或等於約10000埃(例如小於或等於約5000埃)的厚度。輻射過濾層214或輻射過濾件3402的其他結構和/或配置均在本揭示案的範圍內。
根據一些實施例,微透鏡陣列216配置在輻射過濾層214和輻射過濾件3402上,以引導輻射朝向光電二極體陣列104的光電二極體。微透鏡陣列216的透鏡是微透鏡或其他合適透鏡。在一些實施例中,微透鏡陣列216包括多個微透鏡216a至216e中的至少一個微透鏡。在一些實施例中,微透鏡陣列216中的至少一個微透鏡216a經配置以傳送輻射經過近紅外線濾通件218到光電二極體202a,微透鏡216b經配置以傳送輻射經過紅色濾通件220到光電二極體202b,微透鏡216c經配置以傳送輻射經過綠色濾通件222到光電二極體202c,微透鏡216d經配置以傳送輻射經過藍色濾通件224到光電二極體202d,或者微透鏡216d經配置以傳送輻射經過白色濾通件226到光電二極體202e。微透鏡陣列216的其他結構和/或配置在本揭示案的範圍內。
在一些實施例中,微透鏡陣列216中的一個或多個透鏡覆蓋輻射過濾件3402、輻射過濾層214、抗反射塗層212、第二氧化層3302、金屬網格3204、深溝槽隔離520、第二阻障層3002、第四介電層(未繪出)、第三介電層2802,或基板200。在一些實施例中,微透鏡陣列216中的一個或多個透鏡直接接觸輻射過濾件3402、輻射過濾層214、抗反射塗層212、第二氧化層3302、金屬網格3204、深溝槽隔離520、第二阻障層3002、第四介電層(未繪出)、第三介電層2802或基板200。在一些實施例中,微透鏡陣列216中的一個或多個透鏡間接接觸輻射過濾件3402、輻射過濾層214、抗反射塗層212、第二氧化層3302、金屬網格3204、深溝槽隔離520、第二阻障層3002、第四介電層(未繪出)、第三介電層2802或基板200。微透鏡陣列216的其他結構和/或配置在本揭示案內容的範圍內。
在一些實施例中,微透鏡陣列216包括透鏡基板。透鏡基板包括矽、鍺、碳化物、砷化物、鎵、砷、磷化物、銦、銻、SiGe、SiC、GaAs、GaN、GaP、InGaP、InP、InAs、InSb、GaAsP、AlInAs、AlGaAs、GaInAs、或其他合適的材料中的至少一種。透鏡基板的形成方法可包括PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋轉塗佈、或其他合適的技術。在一些實施例中,微透鏡陣列216的形成方法可包括熱迴流焊(thermal reflow)、微塑膠熱壓(microplastic embossing)、微滴噴墨(microdroplet jetting)、微影、反應性離子蝕刻、機械加工或其他合適的方法。微透鏡陣列216的其他結構和/或配置在本揭示案的scope範圍內。
第35A圖和第35B圖根據本揭示案的一些實施例繪示製造半導體裝置的方法3500。第35A圖和第35B圖適用於許多不同的系統、設備、元件、結構和/或配置,可搭配參照第1A圖至第34圖的描述以便於理解。方法3500僅僅是一個示例性方法。因此,應當理解,實施例所述的操作可以省略、重新排序和/或添加各種操作,且均在本揭示案的範圍內。如第35A圖所示,方法3500的操作3502可包括形成第一光電二極體在基板中,第一光電二極體具有距離第一側的第一深度。例如,在第5A圖和第6圖中,光電二極體500可以採用如第1E圖所示的第一光電二極體108f的形式。光電二極體500可以是各種形式,並且包括電荷儲存井508、第一深儲存井段516或第二深儲存井段536。相對於基板200的第一側504,電荷儲存井508具有儲存井深度D1sw,第一深儲存井段516具有深儲存井深度D2sw,第二深儲存井段536具有深儲存井深度D3sw。
方法3500的操作3504可包括形成第一隔離結構在基板中並側向圍繞第一光電二極體,其中第一隔離結構具有從第一側延伸到第二深度的第一井,並且第二深度大於第一深度。例如,在第5A圖和第9圖中,隔離結構518(例如,包括第一井519)可以採用如第1E圖所示的第一隔離結構116f的形式,並且橫向圍繞光電二極體500。相對於基板200的第一側504,第一井519的深井深度D1dw可以大於電荷儲存井508的儲存井深度D1sw、第一深儲存井段516的深井深度D2sw、或第二深儲存井段536的深井深度D3sw。
方法3500的操作3506可包括形成深溝槽在基板中,深溝槽從基板的第一側的第三深度延伸到基板的第二側,其中第三深度小於第二深度,並且至少一部分的深溝槽位於第一井之下。例如,在第29圖中,深溝槽2904的形成方法可包括對基板200的第二側506進行蝕刻製程,其中第二側506相對於第一側504。在第5A圖和第29圖中,相對於基板200的第一側504,深溝槽2904可以延伸至基板200中並具有深溝槽隔離深度D1dti,其中深溝槽隔離深度D1dti可以小於第一井519的深井深度D1dw。
方法3500的操作3508可包括形成深溝槽隔離在深溝槽中。例如,在第31圖中,深溝槽隔離520可以形成在第二阻障層3002上和深溝槽2904上。深溝槽隔離520可具有第一隔離結構子集114中的第一隔離結構116f的形式。
方法3500的操作3510可包括形成近紅外線濾通件覆蓋在基板第二側和第一光電二極體上,其中近紅外線濾通件經配置以允許第一波長範圍通過並允許第一波長範圍由第一光電二極體偵測。例如,在第34圖中,輻射過濾層214可配置和形成在抗反射塗層212上。輻射過濾層214可以包括輻射過濾件3402中的多個輻射濾通件215。輻射過濾件3402對應於例如第2圖所示的近紅外線濾通件218。請參照第3圖,近紅外線波長範圍可以是約750奈米至約1400奈米,或約780奈米至約2500奈米。近紅外線波長範圍可以通過光電二極體500(具有第2圖所示光電二極體202a的形式)並由光電二極體500偵測。
請參照第35B圖,方法3500的操作3512可包括形成具有第一橫向截面形狀的第一隔離結構。例如,在第1E圖和第9圖中,隔離結構518(例如,包括第一井519)可以以具有八邊形的第一隔離結構116f的形式構成。
方法3500的操作3514可包括形成第二光電二極體在基板的第一側。例如,在第5A圖和第6圖中,光電二極體500可以以第1J圖的第二光電二極體112d的形式構成並形成在基板200的第一側504中。
方法3500的操作3516可包括形成圍繞第二光電二極體的第二隔離結構在基板中,其中第二隔離結構具有第二井,第二井從第一側延伸到第二深度並具有第二橫向截面形狀。例如,在第5A圖和第9圖中,隔離結構518(例如,包括第一井519)可以以第1J圖的第二隔離結構124d的形式構成並且橫向圍繞光電二極體500。相對於基板200的第一側504,第一井519可以具有深井深度D1dw。在第1J圖和第9圖中,隔離結構518(例如,包括第一井519)可以以具有菱形形狀的第二隔離結構124d的形式構成。
方法3500的操作3518可包括對基板的第二側進行蝕刻製程以形成第二深溝槽在基板中,其中第二深溝槽從基板的第一側的第三深度延伸到基板的第二側,並且至少一部分的第二深溝槽位於第二井之下。例如,在第29圖中,深溝槽2904的形成方法可包括對基板200的第二側506進行蝕刻製程,其中第二側506相對於基板200的第一側504。在第5A圖和第29圖中,就基板200的第一側504而言,深溝槽2904可以從深溝槽隔離深度D2dti延伸到基板200的第二側506。
方法3500的操作3520可包括形成第二深溝槽隔離在第二深溝槽中。例如,在第31圖中,深溝槽隔離520可形成在第二阻障層3002和深溝槽2904上。深溝槽隔離520可以以第二隔離結構子集122中的第二隔離結構124d的形式構成。
方法3500的操作3522可包括形成輻射濾通件覆蓋在基板的第二側和第二光電二極體上,其中輻射濾通件包括第一輻射濾通件、第二輻射濾通件或第三輻射濾通件。例如,輻射濾通件可以包括近紅外線濾通件(例如,近紅外線濾通件218)或光學通濾通件(例如,紅色濾通件220、綠色濾通件222、藍色濾通件224或白色濾通件226)。
第36圖根據本揭示案的一些實施例繪示示例性電腦可讀取媒體(computer-readable medium)設備3600。一個或多個實施例是有關於電腦可讀取媒體,其包括可執行指令的處理器,其經配置以實現本文所呈現的一種或多種技術。第36圖中的示例性電腦可讀媒體設備3600可包括電腦可讀取媒體3606(例如,CD-R、DVD-R、快閃記憶體驅動器、硬碟驅動器等),電腦可讀取數據3604編寫於電腦可讀取媒體3606上。電腦可讀數據3604還包括一組處理器可執行的電腦指令3602,處理器可執行的電腦指令3602經配置以便於根據一個或多個原則執行操作。在一些實施例中,處理器可執行的電腦指令3602經配置以便於執行方法3601,例如前述方法中的至少一個操作。在一些實施例中,處理器可執行的電腦指令3602經配置以便於執行系統,例如前述系統中的至少一個或多個。這樣的電腦可讀取媒體可以由本領域通常知識者設計,並配置成依據本揭示案所提供的技術進行操作。
當採用上述半導體裝置100時,在一些實施例中,第一光電二極體子集106可以依據第二光電二極體子集110來調整大小。在一些實施例中,當第一光電二極體子集106是光學圖像感測器,且第二光電二極體子集110是近紅外線圖像感測器時,可以調整光學圖像感測器的大小以提供增強的品質和/或解析度的光學圖像。在這種情況下,近紅外線圖像感測器可以偵測到與光學圖像相對應的近紅外線圖像,並且近紅外線圖像可用於增強光學圖像。在另一些實施例中,近紅外線圖像可以傳達比光學圖像更重要的資訊。例如,近紅外線圖像可以偵測到被攝體(如農產品)是否包含缺陷,例如瘀傷或腐敗。在這種情況下,近紅外線圖像可以提供更大的價值,並且近紅外線圖像感測器可以調整大小,以便為近紅外線圖像提供更高的品質和/或解析度。在另一些實施例中,當第一光電二極體子集106是近紅外線圖像感測器,且第二光電二極體子集110是光學圖像感測器時,第一光電二極體子集106可以大於第二光電二極體子集110以提供增強的近紅外線圖像。
當採用上述半導體裝置100時,在一些實施例中,第一隔離結構子集114橫向圍繞第一光電二極體子集106,使得第一隔離結構子集114的尺寸定義了第一光電二極體子集106的大小。同樣地,第二隔離結構子集122橫向圍繞第二光電二極體子集110,使得第一隔離結構子集114的尺寸定義了第一光電二極體子集106的大小。第一隔離結構子集114可以相對於第二隔離結構子集122調整大小,使得第一光電二極體子集106的每個光電二極體具有比第二光電二極體子集110的每個光電二極體更大的尺寸,以便為第一光電二極體子集106提供增強的品質和/或解析度。
當採用上述半導體裝置100時,在一些實施例中,第一隔離結構子集114可以用預定的形狀並調整大小,例如八角形形狀,並且第二隔離結構子集122可以用預定的形狀並調整大小,例如菱形形狀。相較於具有矩形網格陣列的隔離結構,八角形形狀和菱形形狀可提升調整第一隔離結構子集114和第二隔離結構子集122大小的精確度。第一隔離結構子集114可以相對於第二隔離結構子集122調整大小,使得第一光電二極體子集106的每個光電二極體具有比第二光電二極體子集110的每個光電二極體更大的尺寸,以便為第一光電二極體子集106提供增強的品質和/或解析度。
本揭示案的一些實施例提供一種半導體裝置。半導體裝置包括光電二極體,從基板的第一側延伸到第一深度。半導體裝置包括隔離結構,隔離結構橫向圍繞光電二極體,並包括第一井,其中第一井從基板的第一側延伸到第二深度,且第二深度大於第一深度。半導體裝置包括深溝槽隔離,從基板的第一側的第三深度延伸到基板的第一側的第四深度,其中深溝槽隔離的至少一部分位於第一井之下。
本揭示案的一些實施例提供一種半導體裝置。半導體裝置包括光電二極體陣列在基板上,其中光電二極體陣列包括第一光電二極體、第二光電二極體和第三光電二極體。半導體裝置包括隔離結構,設置在第一光電二極體和第二光電二極體之間,其中隔離結構圍繞第三光電二極體,並且隔離結構具有第一井和淺溝槽隔離,其中第一井圍繞淺溝槽隔離的至少一部分。半導體裝置包括近紅外線濾通件,覆蓋在第二光電二極體上,並經配置以允許第一波長範圍通過並由第二光電二極體偵測。
本揭示案的一些實施例提供一種製造半導體裝置的方法。方法包括形成第一光電二極體,其具有距離基板的第一側的第一深度。方法包括形成第一隔離結構,第一隔離結構橫向圍繞第一光電二極體,其中第一隔離結構包括第一井,第一井從基板的第一側延伸到第二深度,並且第二深度大於第一深度。方法還包括基板中的第二側進行蝕刻以形成深溝槽,第二側相對於第一側,其中深溝槽延伸在基板的第一側的第三深度和基板的第二側之間,第三深度小於第二深度,並且其中深溝槽的至少一部分位於第一井之下。方法還包括形成深溝槽隔離在深溝槽中,以及形成近紅外線濾通件在基板的第二側和第一光電二極體上,其中近紅外線濾通件經配置以允許第一波長範圍通過並由第一光電二極體偵測。
在一些實施例中,製造半導體裝置的方法還包括形成具有第一橫向截面形狀的第一隔離結構,以及從基板的第一側形成第二光電二極體。方法還包括形成第二隔離結構,其中第二隔離結構橫向圍繞第二光電二極體,並且第二隔離結構包括第二井,第二井從基板的第一側延伸到第二深度並具有第二橫向截面形狀。方法還包括形成輻射濾通件覆蓋在基板的第二側和第二光電二極體上。輻射濾通件包括第一輻射濾通件經設置以允許第一輻射通過波長範圍通過並由第二光電二極體偵測、第二輻射濾通件經配置以允許第二輻射通過波長範圍通過並由第二光電二極體偵測、或第三輻射通濾光片經設置以允許波長的第三輻射通過範圍並由第二光電二極體偵測。
以上概略說明了本揭示案數個實施例的特徵,使所屬技術領域內具有通常知識者對於本揭示案可更為容易理解。任何所屬技術領域內具有通常知識者應瞭解到本揭示案可輕易作為其他結構或製程的變更或設計基礎,以進行相同於本揭示案實施例的目的及/或獲得相同的優點。任何所屬技術領域內具有通常知識者亦可理解與上述等同的結構並未脫離本揭示案之精神及保護範圍內,且可在不脫離本揭示案之精神及範圍內,可作更動、替代與修改。
在本揭示案所討論的實施例中,雖然執行或使用於特定的結構特徵或方法步驟,但應理解,所附申請專利範圍的標的不必限於上述特定特徵或動作。相反,上面描述的特定特徵和動作是作為實施至少一些請求項的示例形式而揭示的。
本揭示案提供各種實施例。當實施例繪示或描述成一系列的操作或事件時,這些操作或事件的描述順序不應受到限制。例如,部分操作或事件可採取與本揭示案不同的順序、部分操作或事件可同時發生、部分操作或事件可以不須採用、及/或部分操作或事件可重複進行。並且,實際的製程可能須各步驟之前、過程中、或之後進行額外的操作。
應當理解,本揭示案描繪的層、特徵、元件等例如出於簡化和易於理解的目的而以相對於彼此的特定尺寸(諸如結構尺寸或取向)圖示,並且在一些實施例中它們的實際尺寸與本文所示的顯著不同。另外,存在多種技術用於形成本文提到的層、區域、特徵、元件等,諸如蝕刻技術、平坦化技術、注入技術、摻雜技術、旋轉塗佈技術、濺射技術、生長技術或沉積技術(例如化學氣相沉積(CVD))中的至少一種。
此外,本文使用「示例性」來表示用作示例、實例、說明等,並且不一定是有利的。如在本申請中所使用的,「或」旨在表示包含性的「或」,而非排他性的「或」。另外,本申請和所附請求項中使用的「一個」和「一」通常被解釋為表示「一個或多個」,除非另有說明或從上下文清楚地指向單數形式。此外,A和B和/或類似物中的至少一個通常是指A或B,或A和B兩者。此外,若使用「包含」、「具有」、「有」、「帶有」或其變體,則此類術語意欲以類似於術語「包含」的方式而為包含性的。此外,除非另有說明,否則「第一」、「第二」等不旨在暗示時間態樣、空間態樣、排序等。相反,該些術語僅用作特徵、要素、項目等的標識、名稱等。例如,第一要素和第二要素通常對應於要素A和要素B,或兩個不同或兩個相同的要素,或相同的要素。
此外,儘管關於一個或多個實施方式已經圖示並描述了本揭示案,但是所屬技術領域內具有通常知識者基於對本文和附圖的閱讀和理解,將會發生等同的改變和修改。本揭示案包含所有該些修改和改變,並且僅受所附申請專利範圍的範疇限制。特別地,關於由上述部件(例如,元件、資源等)執行的各種功能,除非另有說明,否則用於描述該些部件的術語意欲對應於儘管在結構上不等同於所揭示的結構,但是執行所述部件的指定功能的任何部件(例如,功能上等同的部件)。另外,儘管可能僅關於若干實施方式中的一個揭示了本揭示的特定特徵,但是如對於任何給定或特定應用可能期望和有利的,此類特徵可以與其他實施方式的一個或多個其他特徵組合。
100:半導體裝置 101:第一光電二極體 102:隔離結構 103:第二光電二極體 104:光電二極體陣列 105:第三光電二極體 106:第一光電二極體子集 107:第四光電二極體 108a~108p:第一光電二極體 110:第二光電二極體子集 112a~112i:第二光電二極體 114:第一隔離結構子集 116a~116p:第一隔離結構 116b3:片段 116b5:片段 116e3,116e4,116e5:片段 116f4,116f5,116f6,116f7:片段 116g7:片段 116i1,116i2,116i3:片段 116j1,116j2,116j7,116j8:片段 118:第一隔離結構子集 120a~120e:第一隔離結構 122:第二隔離結構子集 124a~124i:第二隔離結構 124d1~124d4:片段 124e1~124e4:片段 125:第二隔離結構子集 126:第二隔離結構 126d1,126d2,126d3,126d4:片段 130:邊界 130a~130d:邊界片段 200:基板 200a:第一部分 200b:第二部分 200c:第三部分 202a~202e:光電二極體 201:投射輻射 212:抗反射塗層 214:輻射過濾層 215:輻射濾通件 216:微透鏡陣列 216a~216e:微透鏡 218:近紅外線濾通件 220:紅色濾通件 222:綠色濾通件 224:藍色濾通件 226:白色濾通件 300:圖表 400:第一光電二極體子集 410:第二光電二極體子集 402:紅色光電二極體 404,406:綠色光電二極體 408:藍色光電二極體 412:第一光電二極體子集 414:白色光電二極體 416:第一光電二極體子集 418:第二光電二極體子集 420:紅色光電二極體 422:綠色光電二極體 424:綠色光電二極體 426:藍色光電二極體 428:第二光電二極體子集 434:白色光電二極體 500:光電二極體 504:第一側 506:第二側 508:電荷儲存井 510:固定層 512:轉移閘極 514:浮動擴散層 516:第一深儲存井段 518:隔離結構 519:第一井 519a:第一側 519b:第二側 520:深溝槽隔離 522:第一側 524:第二側 526:第一井 528:第二井 528a:第一側 528b:第二側 530:深溝槽隔離 532:第一側 534:第二側 536:第二深儲存井段 702:第一遮罩層 802:第一圖案遮罩層 902:佈植製程 1102:第二遮罩層 1202:第二圖案遮罩層 1302:淺溝槽 1402:第一側壁 1404:第二側壁 1502:第一阻障層 1504:第三側壁 1506:第四側壁 1602:第一介電層 1604:第五側壁 1606:第六側壁 1702:淺溝槽隔離 1704:第七側壁 1706:第八側壁 1802:第二介電層 2002:互連結構 2004:第一互連層 2006:第二互連層 2008:第三互連層 2010:第四互連層 2012:導線 2102:鈍化層 2104:第一氧化層 2106:第一晶圓 2202:第二晶圓 2204:第二基板 2206:第二晶圓介面區域 2208:第一晶圓介面區域 2502:第三圖案遮罩層 2504:深佈植製程 2602:第四圖案遮罩層 2702:凹槽 2704:突出件 2802:第三介電層 2902:第四圖案遮罩層 2904:深溝槽 2906:第九側壁 2908:第十側壁 3002:第二阻障層 3004:第十一側壁 3006:第十二側壁 3102:第十三側壁 3104:第十四側壁 3202:第三阻障層 3204:金屬網格 3302:第二氧化層 3402:輻射過濾件 3500:方法 3502~3522:操作 3600:電腦可讀取媒體設備 3601:方法 3602:處理器可執行的電腦指令 3604:電腦可讀取數據 3606:電腦可讀取媒體 B:藍 D1dti:深溝槽隔離深度 D2dti:深溝槽隔離深度 D3dti:深溝槽隔離深度 D1dw:深井深度 D2dw:深井深度 D3dw:深井深度 D4dw:深井深度 D1sw:儲存井深度 D2sw:深儲存井深度 D3sw:深儲存井深度 Ds:基板深度 G:綠 L1pd:第一橫向截面長度 L2pd:第二橫向截面長度 NIR:近紅外線 R:紅 P1:第一壓力 P2:第二壓力 W:白 W1dt:最小寬度 W2dt:最大寬度 W1dti:最小寬度 W2dti:最大寬度 W1dw:深井寬度 W2dw:深井寬度 W1pd:第一橫向截面直徑 W2pd:第二橫向截面直徑 W1st:第一最大寬度 W2st:第一最小寬度 W1sti:寬度/第一最大寬度 W2sti:寬度/第一最小寬度 W2sw:深儲存井寬度 W3sw:深儲存井寬度 F-F:剖線 K-K:剖線
閱讀以下實施方法時搭配附圖以清楚理解本揭示案的觀點。應注意的是,根據業界的標準做法,各種特徵並未按照比例繪製。事實上,為了能清楚地討論,各種特徵的尺寸可能任意地放大或縮小。 第1A圖至第1M圖根據本揭示案的一些實施例繪示半導體裝置,其具有隔離結構和光電二極體(photodiode)陣列。 第2圖根據本揭示案的一些實施例繪示半導體裝置,其具有光電二極體陣列。 第3圖根據本揭示案的一些實施例繪示光電二極體陣列對於偵測到的輻射波長的相對響應率之圖表。 第4A圖至第4D圖根據本揭示案的一些實施例繪示光電二極體陣列。 第5A圖至第5E圖根據本揭示案的一些實施例繪示半導體裝置,其具有光電二極體和隔離結構。 第6圖至第34圖根據本揭示案的一些實施例繪示在不同製程階段的半導體裝置。 第35A圖至第35B圖根據本揭示案的一些實施例繪示製造半導體裝置的方法。 第36圖根據本揭示案的一些實施例繪示示例性電腦可讀取媒體(computer-readable medium)設備。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:半導體裝置
102:隔離結構
200:基板
201:投射輻射
500:光電二極體
504:第一側
506:第二側
508:電荷儲存井
510:固定層
512:轉移閘極
514:浮動擴散層
516:第一深儲存井段
518:隔離結構
519:第一井
520:深溝槽隔離
522:第一側
524:第二側
D1dti:深溝槽隔離深度
D2dti:深溝槽隔離深度
D1dw:深井深度
D1sw:儲存井深度
D2sw:深儲存井深度
Ds:基板深度
W1dti:最小寬度
W2dti:最大寬度
W1dw:深井寬度
W2sw:深儲存井寬度

Claims (20)

  1. 一種半導體裝置,包括: 一光電二極體,從一基板的一第一側延伸到一第一深度; 一隔離結構,橫向圍繞該光電二極體,其中該隔離結構包括一第一井,該第一井從該基板的該第一側延伸到一第二深度,並且該第二深度大於該第一深度;以及 一深溝槽隔離,從該基板的該第一側的一第三深度延伸到該基板的該第一側的一第四深度,其中該深溝槽隔離的至少一部分位於該第一井之下。
  2. 如請求項1所述之半導體裝置,其中該第三深度小於該第二深度,並且該第一井橫向圍繞該深溝槽隔離。
  3. 如請求項1所述之半導體裝置,其中該隔離結構具有一第一最大寬度,並且該深溝槽隔離具有小於該第一最大寬度的一第二最大寬度。
  4. 如請求項1所述之半導體裝置,其中: 該基板經配置以接收來自一第一方向的輻射; 該隔離結構包括一淺溝槽隔離; 該淺溝槽隔離在一第二方向上從一第一最大寬度逐漸變化到一第一最小寬度,其中該第二方向與該第一方向相反;以及 該深溝槽隔離在該第二方向上從一第二最小寬度逐漸變化到一第二最大寬度。
  5. 如請求項1所述之半導體裝置,其中: 該隔離結構包括一淺溝槽隔離;以及 該隔離結構至少部分地圍繞該淺溝槽隔離。
  6. 如請求項1所述之半導體裝置,其中: 該光電二極體是一第一光電二極體,設置以偵測在一第一波長範圍內的輻射;以及 該半導體裝置包括: 一第二光電二極體,設置以偵測在一第二波長範圍內的輻射。
  7. 如請求項6所述之半導體裝置,其中該隔離結構和該深溝槽隔離位於該第一光電二極體和該第二光電二極體之間。
  8. 如請求項1所述之半導體裝置,其中該基板為一第一型磊晶層,並且該第一井包括一第一型摻雜劑。
  9. 一種半導體裝置,包括: 一光電二極體陣列在一基板上,其中該光電二極體陣列包括一第一光電二極體、一第二光電二極體和一第三光電二極體; 一隔離結構,設置在該第一光電二極體和該第二光電二極體之間,其中: 該隔離結構圍繞該第三光電二極體;以及 該隔離結構包括一第一井和一淺溝槽隔離,其中該第一井圍繞該淺溝槽隔離的至少一部分;以及 一近紅外線濾通件,覆蓋在該第二光電二極體上並經配置以允許一第一波長範圍通過並允許該第一波長範圍被該第二光電二極體偵測。
  10. 如請求項9所述之半導體裝置,其中: 該光電二極體陣列包括一第一光電二極體子集和一第二光電二極體子集,該第一光電二極體子集具有一第一橫向截面直徑,而該第二光電二極體子集具有一第二橫向截面直徑; 該第一光電二極體和該第三光電二極體是該第一光電二極體子集的成員;以及 該第二光電二極體是該第二光電二極體子集的成員。
  11. 如請求項10所述之半導體裝置,其中: 該第一光電二極體子集中的每一者具有一第一橫向截面面積;以及 該第二光電二極體子集中的每一者具有小於該第一橫向截面面積的一第二橫向截面面積。
  12. 如請求項10所述之半導體裝置,其中: 該第一光電二極體子集中的每一者具有一第一橫向截面面積;以及 該第二光電二極體子集中的每一者具有大於該第一橫向截面面積的一第二橫向截面面積。
  13. 如請求項10所述之半導體裝置,其中: 該第一光電二極體子集中的每一者的上方具有相應的一輻射濾通件,該輻射濾通件包括以下的其中一者: 一第一輻射濾通件,經設置以允許一第一輻射濾通波長範圍通過並允許該第一輻射濾通波長範圍被相應的光電二極體偵測; 一第二輻射濾通件,經設置以允許一第二輻射濾通波長範圍通過並允許該第二輻射濾通波長範圍被相應的光電二極體偵測;以及 一第三輻射濾通件,經設置以允許一第三輻射濾通波長範圍通過並允許該第三輻射濾通波長範圍被相應的光電二極體偵測。
  14. 如請求項10所述之半導體裝置,其中: 該隔離結構包括: 一第一隔離結構子集,圍繞該第一光電二極體子集,並且該第一隔離結構子集中的每一者具有一第一橫向截面形狀;以及 一第二隔離結構子集,圍繞該第二光電二極體子集,並且該第二隔離結構子集中的每一者具有與該第一橫向截面形狀不同的一第二橫向截面形狀。
  15. 如請求項14所述之半導體裝置,其中該第一隔離結構子集包括複數個橫向連續的隔離結構,橫向圍繞該第三光電二極體。
  16. 如請求項9所述之半導體裝置,其中該第一井從該基板的一第一側延伸,該半導體裝置進一步包括: 一深溝槽隔離,從該基板的一第二側延伸,其中該深溝槽隔離的至少一部分重疊該第一井並位於該第一井之下。
  17. 一種製造半導體裝置的方法,包括: 形成一第一光電二極體,其中該第一光電二極體具有距離一基板的一第一側的一第一深度; 形成一第一隔離結構,該第一隔離結構橫向圍繞該第一光電二極體,其中該第一隔離結構包括一第一井,該第一井從該基板的該第一側延伸到一第二深度,並且該第二深度大於該第一深度; 對該基板的一第二側進行蝕刻以形成一深溝槽,該第二側相對於該第一側,其中該深溝槽延伸在該基板的該第一側的一第三深度和該基板的該第二側之間,該第三深度小於該第二深度,並且其中該深溝槽的至少一部分位於第一井之下; 形成一深溝槽隔離在該深溝槽中;以及 形成一近紅外線濾通件,該近紅外線濾通件覆蓋在該基板的該第二側和該第一光電二極體上,其中該近紅外線濾通件經設置以允許一第一波長範圍通過並允許該第一波長範圍被第一光電二極體偵測。
  18. 如請求項17所述之製造半導體裝置的方法,其中一輻射從一第一方向以及該基板的該第二側進入該基板,製造半導體裝置的方法進一步包括: 對該基板的該第二側進行蝕刻,其中該深溝槽在該第一方向上從第一最大寬度逐漸變化到第一最小寬度。
  19. 如請求項17所述之製造半導體裝置的方法,其中一輻射從一第一方向以及該基板的該第二側進入該基板,製造半導體裝置的方法進一步包括: 對該基板的該第一側進行蝕刻以形成一淺溝槽,其中該淺溝槽在與該第一方向相反的一第二方向上從第一最大寬度逐漸變化到第一最小寬度;以及 形成一淺溝槽隔離在該淺溝槽中。
  20. 如請求項17所述之製造半導體裝置的方法,進一步包括: 形成具有一第一橫向截面形狀的該第一隔離結構; 從該基板的該第一側形成一第二光電二極體; 形成一第二隔離結構,其中該第二隔離結構橫向圍繞該第二光電二極體,並且該第二隔離結構包括一第二井,該第二井從該基板的該第一側延伸到該第二深度,並具有一第二橫向截面形狀;以及 形成一輻射濾通件覆蓋在該基板的該第二側和該第二光電二極體的上方,該輻射濾通件包括以下的其中一者: 一第一輻射濾通件,經設置以允許一第一輻射濾通波長範圍通過並允許該第一輻射濾通波長範圍被該第二光電二極體偵測; 一第二輻射濾通件,經設置以允許一第二輻射濾通波長範圍通過並允許該第二輻射濾通波長範圍被該第二光電二極體偵測;或 一第三輻射濾通件,經設置以允許一第三輻射濾通波長範圍通過並允許該第三輻射濾通波長範圍被該第二光電二極體偵測。
TW111132113A 2021-08-27 2022-08-25 半導體裝置 TW202327062A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/458,720 2021-08-27
US17/458,720 US11837619B2 (en) 2021-08-27 2021-08-27 Semiconductor arrangement with isolation structure

Publications (1)

Publication Number Publication Date
TW202327062A true TW202327062A (zh) 2023-07-01

Family

ID=85286133

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111132113A TW202327062A (zh) 2021-08-27 2022-08-25 半導體裝置

Country Status (2)

Country Link
US (2) US11837619B2 (zh)
TW (1) TW202327062A (zh)

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2820883B1 (fr) * 2001-02-12 2003-06-13 St Microelectronics Sa Photodiode a grande capacite
US7800192B2 (en) * 2008-02-08 2010-09-21 Omnivision Technologies, Inc. Backside illuminated image sensor having deep light reflective trenches

Also Published As

Publication number Publication date
US20230387168A1 (en) 2023-11-30
US11837619B2 (en) 2023-12-05
US20230068723A1 (en) 2023-03-02

Similar Documents

Publication Publication Date Title
US10510789B2 (en) Extra doped region for back-side deep trench isolation
CN108962924B (zh) 形成图像传感器的吸收增强结构的方法
US8941204B2 (en) Apparatus and method for reducing cross talk in image sensors
US8890273B2 (en) Methods and apparatus for an improved reflectivity optical grid for image sensors
TWI525804B (zh) 影像感測器裝置及其製造方法
TWI593290B (zh) 影像感測器
US10497729B2 (en) Image sensor having conductive layer and protective layer
TWI685093B (zh) 影像感測器、半導體影像感測器及其製造方法
US20230261024A1 (en) Image Sensor and Method of Fabricating Same
US11342373B2 (en) Manufacturing method of image sensing device
US10872921B2 (en) Image sensor and method for fabricating the image sensor
KR20220147503A (ko) 이미지 센서를 위한 후면 구조체
EP3550606A1 (en) Solid-state imaging element
US20220020797A1 (en) Image sensor
US11749699B2 (en) Solid-state image sensor with pillar surface microstructure and method of fabricating the same
US9379275B2 (en) Apparatus and method for reducing dark current in image sensors
CN108878464B (zh) 图像传感器及其形成方法
TW202327062A (zh) 半導體裝置
US20240055462A1 (en) Image sensor device and manufacturing method thereof
US20230317758A1 (en) Isolation structures in image sensors
KR20210053264A (ko) 이미지 센서 디바이스용 차광층
TW202146943A (zh) 互補式金氧半導體影像感測器結構暨其製作方法
KR20220151103A (ko) 크로스 토크 감소를 위한 딥 트렌치 격리부