TW202324631A - An integrated chip - Google Patents

An integrated chip Download PDF

Info

Publication number
TW202324631A
TW202324631A TW111125426A TW111125426A TW202324631A TW 202324631 A TW202324631 A TW 202324631A TW 111125426 A TW111125426 A TW 111125426A TW 111125426 A TW111125426 A TW 111125426A TW 202324631 A TW202324631 A TW 202324631A
Authority
TW
Taiwan
Prior art keywords
opening
layer
disposed
conductive
imd
Prior art date
Application number
TW111125426A
Other languages
Chinese (zh)
Inventor
學理 莊
林新富
黃享弘
葉宗浩
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/728,048 external-priority patent/US20230010333A1/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202324631A publication Critical patent/TW202324631A/en

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Various embodiments of the present disclosure are directed towards an integrated chip (IC). The IC comprises a substrate. A semiconductor device is disposed on the substrate. An interlayer dielectric (ILD) structure is disposed over the substrate and the semiconductor device. A first intermetal dielectric (IMD) structure is disposed over the substrate and the ILD structure. An opening is disposed in the first IMD structure, wherein the opening overlies at least a portion of the semiconductor device.

Description

具良好散熱性能的積體晶片Integrated chip with good heat dissipation performance

半導體裝置是利用半導體材料的電子特性來影響電子或相關領域的電子組件。金屬氧化物半導體場效型電晶體(metal oxide semiconductor field-effect transistor;MOSFET)是一種被廣泛使用的半導體裝置類型。傳統上會在塊材半導體基板上形成半導體裝置。近年來,出現可替代塊材半導體基板的絕緣體上半導體基板。另一種半導體裝置的類型為高壓裝置,例如高壓橫向擴散金屬氧化物半導體(laterally diffused metal oxide semiconductor;LDMOS)裝置,具備應付高崩潰電壓(例如大於大約20伏特或50伏特)和高頻率的能力。在利用更多的絕緣體上半導體基板和高壓裝置的情況下,需要採用散熱的技術以及/或結構,以改善裝置的耐受度並且提升裝置密度。A semiconductor device is an electronic component that utilizes the electronic properties of semiconductor materials to affect electronics or related fields. A metal oxide semiconductor field-effect transistor (MOSFET) is a widely used type of semiconductor device. Semiconductor devices are traditionally formed on bulk semiconductor substrates. In recent years, semiconductor-on-insulator substrates that can replace bulk semiconductor substrates have emerged. Another type of semiconductor device is a high voltage device, such as a high voltage laterally diffused metal oxide semiconductor (LDMOS) device, capable of handling high breakdown voltage (eg, greater than about 20V or 50V) and high frequency. In the case of using more semiconductor-on-insulator substrates and high-voltage devices, heat dissipation techniques and/or structures need to be adopted to improve device tolerance and increase device density.

以下之揭露將提供多個不同的實施方式或實施例以實現所提供之專利標的之不同特徵。各個組件與安排將以特定實施例在以下說明,以簡化本揭露。當然這些實施例僅用於示例而非意旨於限制本揭露。舉例而言,敘述中之「第一特徵形成於第二特徵上」包含多種實施方式,其中涵蓋第一特徵與第二特徵直接接觸,亦涵蓋額外的特徵形成於第一特徵與第二特徵之間而使兩者不直接接觸。此外,於各式各樣的實施例中,本揭露可能會重複標號以及/或標示。此重複是為了簡化並清楚說明,而非意圖表明該處所討論的各種實施方式以及/或配置之間的關係。The following disclosures will provide a number of different implementations or examples to achieve different features of the provided patent subject matter. Various components and arrangements are described below in terms of specific embodiments to simplify the present disclosure. Of course, these examples are for illustration only and are not intended to limit the present disclosure. For example, "the first feature is formed on the second feature" in the description includes a variety of implementations, including direct contact between the first feature and the second feature, and also covers that additional features are formed between the first feature and the second feature without direct contact between the two. In addition, in various embodiments, the present disclosure may repeat reference numerals and/or indications. This repetition is for simplicity and clarity of illustration and is not intended to imply a relationship between the various implementations and/or configurations discussed herein.

更甚者,空間相對的詞彙,例如「下層的」、「低於」、「下方」、「高於」、「上方」等相關詞彙,於此用以簡單描述如圖所示之元件或特徵與另一元件或特徵的關係。這些空間相對的詞彙除了圖中所描繪的轉向之外,也涵蓋在使用或操作裝置時的不同的轉向。此外,當裝置可旋轉(旋轉90度或其他角度)時,在此使用之空間相對的描述語也可作對應的解讀。Furthermore, spatially relative terms, such as "lower", "below", "underneath", "above", "above" and other related terms, are used herein to briefly describe elements or features as shown in the drawings A relationship to another element or feature. These spatially relative terms also encompass different orientations in use or operation of the device in addition to the orientations depicted in the figures. In addition, when the device is rotatable (rotated 90 degrees or other angles), the spatially relative descriptors used herein may also be interpreted accordingly.

部分積體晶片(integrated chips;ICs)包含設置於絕緣體上半導體(semiconductor-on-insulator;SOI)基板上方/中的半導體裝置(例如絕緣閘極場效電晶體(insulated gate field-effect transistors;IGFET))。絕緣體上半導體基板包含將第一半導體層與第二半導體層分隔開的絕緣層(例如介電層)。絕緣層設置於半導體裝置下方(例如正下方)。金屬間介電質(intermetal dielectric;IMD)結構以及/或鈍化層設置於半導體裝置上方。內連接結構(例如銅內連接結構)嵌設於金屬間介電質結構中。Parts of integrated chips (ICs) include semiconductor devices (such as insulated gate field-effect transistors (IGFETs) disposed on/in semiconductor-on-insulator (SOI) substrates. )). A semiconductor-on-insulator substrate includes an insulating layer (eg, a dielectric layer) that separates a first semiconductor layer from a second semiconductor layer. The insulating layer is disposed under (eg directly under) the semiconductor device. An intermetal dielectric (IMD) structure and/or a passivation layer are disposed above the semiconductor device. An interconnection structure, such as a copper interconnection structure, is embedded in the IMD structure.

通常,金屬間介電質結構以及/或鈍化層設置於半導體裝置正上方。金屬間介電質結構、鈍化層與絕緣層是由抑制熱能逸散的材料(例如介電質材料)製成,以防止半導體產生的熱能輕易地從積體晶片逸散。因此,具有絕緣體上半導體基板的典型積體晶片可能具有較差之散熱性能(例如半導體裝置產生之熱能的低逸散),這會降低積體晶片的性能以及/或損壞半導體裝置(例如由熱失控導致)。Typically, an IMD structure and/or a passivation layer are disposed directly above the semiconductor device. IMD structures, passivation layers, and insulating layers are made of materials that inhibit heat dissipation (such as dielectric materials) to prevent heat generated by semiconductors from easily escaping from the integrated chip. Therefore, a typical integrated wafer with a semiconductor-on-insulator substrate may have poor heat dissipation performance (such as low dissipation of heat energy generated by the semiconductor device), which can reduce the performance of the integrated wafer and/or damage the semiconductor device (such as caused by thermal runaway ).

本揭露之各種實施例是關於具備良好散熱性能的積體晶片(例如半導體裝置產生之熱能的高逸散)。本揭露之積體晶片包含基板。在部分實施例中,基板為絕緣體上半導體基板,包含設置於絕緣層上方的裝置層。半導體裝置於基板上/上方。金屬間介電質結構設置於基板以及層間介電質結構上方。開口(例如金屬間介電質結構中的孔隙)設置於金屬間介電質結構中。開口覆蓋半導體裝置的至少一部分。由於開口覆蓋半導體裝置的至少一部分,所以半導體裝置產生的熱能可以更有效率地從半導體裝置逸散(例如藉著使半導體裝置上方具有較少的金屬間介電質材料,熱能得以更有效地從半導體裝置逸散至大氣)。因此,本揭露之積體晶片具備良好的散熱性能(例如半導體裝置產生之熱能的高逸散)。Various embodiments of the present disclosure relate to integrated chips with good heat dissipation properties (eg, high dissipation of heat energy generated by semiconductor devices). The integrated chip of the present disclosure includes a substrate. In some embodiments, the substrate is a semiconductor-on-insulator substrate including a device layer disposed above the insulating layer. The semiconductor device is on/over the substrate. The intermetal dielectric structure is disposed above the substrate and the interlayer dielectric structure. Openings, such as pores in the IMD structure, are disposed in the IMD structure. The opening covers at least a portion of the semiconductor device. Since the opening covers at least a portion of the semiconductor device, heat generated by the semiconductor device can be more efficiently dissipated from the semiconductor device (for example, by having less IMD material over the semiconductor device, heat can be more efficiently dissipated from semiconductor device escapes to the atmosphere). Therefore, the integrated chip of the present disclosure has good heat dissipation performance (such as high dissipation of heat energy generated by semiconductor devices).

舉例而言,在典型的積體晶片中,金屬間介電質結構的一部分(以及/或鈍化層)覆蓋在半導體裝置正上方,可抑制半導體裝置的熱逸散。不同於典型的積體晶片,開口覆蓋半導體裝置的至少一部分。在熱能逸散方面,開口可以比金屬間介電質結構的一部分更有效率。因此,本揭露之積體晶片可改善典型積體晶片的散熱性能。For example, in a typical integrated wafer, a portion of the IMD structure (and/or a passivation layer) covers the semiconductor device directly above, which can suppress heat dissipation of the semiconductor device. Unlike typical bulk wafers, the opening covers at least a portion of the semiconductor device. The opening may be more efficient at dissipating thermal energy than a portion of the IMD structure. Therefore, the integrated chip of the present disclosure can improve the heat dissipation performance of a typical integrated chip.

圖1繪示積體晶片的部分實施例的剖視圖100,積體晶片包含設置於第一金屬間介電質結構119中的開口128。FIG. 1 illustrates a cross-sectional view 100 of a partial embodiment of an integrated wafer including an opening 128 disposed in a first IMD structure 119 .

如圖1之剖視圖100所示,積體晶片包含基板102。基板102包含任一類型的半導體基體(例如單晶矽/互補式金屬氧化物半導體塊材、鍺(Ge)、矽鍺(SiGe)、三五族半導體、絕緣體上半導體等等)。在部分實施例中,基板102為絕緣體上半導體基板(例如絕緣體上矽)。在這些實施例中,基板102可包含裝置層104、絕緣層106以及處理層108。裝置層104設置於絕緣層106以及處理層108上方。絕緣層106垂直地設置於處理層108以及裝置層104之間。As shown in the cross-sectional view 100 of FIG. 1 , the integrated chip includes a substrate 102 . The substrate 102 includes any type of semiconductor substrate (eg monocrystalline silicon/CMOS bulk, germanium (Ge), silicon germanium (SiGe), III-V semiconductor, semiconductor-on-insulator, etc.). In some embodiments, the substrate 102 is a semiconductor-on-insulator substrate (such as silicon-on-insulator). In these embodiments, the substrate 102 may include a device layer 104 , an insulating layer 106 , and a handling layer 108 . The device layer 104 is disposed over the insulating layer 106 and the handle layer 108 . The insulating layer 106 is vertically disposed between the handle layer 108 and the device layer 104 .

裝置層104為半導體材料。半導體材料可以是或可包括例如矽(Si)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、其他半導體材料或上述之組合。在部分實施例中,裝置層104為矽(Si)。在進一步的實施例中,裝置層104為單晶矽。The device layer 104 is a semiconductor material. The semiconductor material may be or include, for example, silicon (Si), germanium (Ge), silicon germanium (SiGe), gallium arsenide (GaAs), other semiconductor materials, or combinations thereof. In some embodiments, the device layer 104 is silicon (Si). In a further embodiment, the device layer 104 is monocrystalline silicon.

處理層108設置於絕緣層106和裝置層104兩者之下方。處理層108可以是或可包括半導體材料(例如矽、鍺、單晶矽、多晶矽等)、摻雜半導體材料(例如摻雜矽、摻雜鍺等)、金屬(例如銅(Cu)、鋁(Al)、鎢(W)、金(Au)、銀(Ag)、鉑(Pt)等)或相似物。A handle layer 108 is disposed below both the insulating layer 106 and the device layer 104 . The handle layer 108 may be or may include a semiconductor material (eg, silicon, germanium, monocrystalline silicon, polycrystalline silicon, etc.), a doped semiconductor material (eg, doped silicon, doped germanium, etc.), a metal (eg, copper (Cu), aluminum ( Al), tungsten (W), gold (Au), silver (Ag), platinum (Pt), etc.) or similar.

絕緣層106垂直地將處理層108與裝置層104分隔開。絕緣層106電性隔離裝置層104與處理層108。絕緣層106可以是或可包含例如氧化物(例如二氧化矽(SiO 2))、氮化物(例如氮化矽(SiN))、氮氧化物(例如氮氧化矽(SiON))、低k介電材料(例如介電常數小於大約3.9的介電材料)、高k介電材料(例如介電常數大於大約3.9的介電材料如氧化鉿(HfO)、氧化鉭(TaO)、矽氧化鉿(HfSiO)或相似物)、未摻雜之矽酸鹽玻璃(silicate glass;USG)、摻雜之二氧化矽(例如摻碳二氧化矽)、硼矽酸鹽玻璃(borosilicate glass;BSG)、磷矽酸鹽玻璃(phosphosilicate glass;PSG)、硼磷矽酸鹽玻璃(boron-doped phosphosilicate glass;BPSG)、氟矽酸鹽玻璃 (fluorosilicate glass;FSG)、旋塗式玻璃(spin-on glass;SOG)、其他介電材料或上述之組合。 An insulating layer 106 vertically separates the handle layer 108 from the device layer 104 . The insulating layer 106 electrically isolates the device layer 104 from the handle layer 108 . The insulating layer 106 may be or include, for example, an oxide (eg, silicon dioxide (SiO 2 )), a nitride (eg, silicon nitride (SiN)), an oxynitride (eg, silicon oxynitride (SiON)), a low-k dielectric Dielectric materials (such as dielectric materials with a dielectric constant less than about 3.9), high-k dielectric materials (such as dielectric materials with a dielectric constant greater than about 3.9 such as hafnium oxide (HfO), tantalum oxide (TaO), hafnium silicon oxide ( HfSiO) or similar), undoped silicate glass (silicate glass; USG), doped silicon dioxide (such as carbon-doped silicon dioxide), borosilicate glass (borosilicate glass; BSG), phosphorus Silicate glass (phosphosilicate glass; PSG), boron-doped phosphosilicate glass (BPSG), fluorosilicate glass (fluorosilicate glass; FSG), spin-on glass (spin-on glass; SOG) ), other dielectric materials or a combination of the above.

第一半導體裝置110(例如絕緣閘極場效電晶體(insulated gate field-effect transistors;IGFET))設置於基板102上/上方。在部分實施例中,第一半導體裝置110設置於裝置層104上/上方。舉例而言,第一半導體裝置110包含一對源極/汲極區112、閘極介電質114以及閘極電極116。一對源極/汲極區112為具有第一摻雜型態(例如n型)的裝置層104之區域。The first semiconductor device 110 (eg, insulated gate field-effect transistors (IGFET)) is disposed on/over the substrate 102 . In some embodiments, the first semiconductor device 110 is disposed on/over the device layer 104 . For example, the first semiconductor device 110 includes a pair of source/drain regions 112 , a gate dielectric 114 and a gate electrode 116 . A pair of source/drain regions 112 are regions of the device layer 104 having a first doping type (eg, n-type).

閘極介電質114設置於裝置層104上方,且位於該一對源極/汲極區112的源極/汲極區之間。閘極電極116覆蓋閘極介電質114。在部分實施例中,閘極介電質114和閘極電極116併稱為閘極堆疊。在部分實施例中,閘極電極116是或包括多晶矽。在這些實施例中,閘極介電質114可以是或可包括例如氧化物(例如二氧化矽(SiO 2))。在其他實施例中,閘極電極116可以是或可包括金屬,例如鋁(Al)、銅(Cu)、鈦(Ti)、鉭(Ta)、鎢(W)、鉬(Mo)、鈷(Co)或相似物。在這些實施例中,閘極介電質114可以是或可包括高k介電材料例如氧化鉿(HfO)、氧化鉭(TaO)、矽氧化鉿(HfSiO)、鉭氧化鉿(HfTaO)、氧化鋁(AlO)、氧化鋯(ZrO)或相似物。 A gate dielectric 114 is disposed above the device layer 104 and between the source/drain regions of the pair of source/drain regions 112 . Gate electrode 116 covers gate dielectric 114 . In some embodiments, the gate dielectric 114 and the gate electrode 116 are collectively referred to as a gate stack. In some embodiments, the gate electrode 116 is or includes polysilicon. In these embodiments, the gate dielectric 114 may be or include, for example, an oxide such as silicon dioxide (SiO 2 ). In other embodiments, the gate electrode 116 may be or include a metal such as aluminum (Al), copper (Cu), titanium (Ti), tantalum (Ta), tungsten (W), molybdenum (Mo), cobalt ( Co) or similar. In these embodiments, gate dielectric 114 may be or include a high-k dielectric material such as hafnium oxide (HfO), tantalum oxide (TaO), hafnium silicon oxide (HfSiO), tantalum hafnium oxide (HfTaO), Aluminum (AlO), Zirconia (ZrO), or similar.

層間介電質(interlayer dielectric;ILD)結構118設置於第一半導體裝置110和基板102兩者上方。層間介電質結構118包含一或多個堆疊的層間介電質層,可分別包括低k介電材料(例如介電常數小於大約3.9之介電材料)、氧化物(例如二氧化矽(SiO 2))、氮化物(例如氮化矽(SiN))、氮氧化物(例如氮氧化矽(SiON))、未摻雜之矽酸鹽玻璃(USG)、摻雜之二氧化矽(例如碳摻雜二氧化矽)、硼矽酸鹽玻璃(BSG)、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、氟矽酸鹽玻璃(FSG)、旋塗式玻璃(SOG)或相似物。在部分實施例中,層間介電質結構118為單一層間介電質層。 An interlayer dielectric (interlayer dielectric; ILD) structure 118 is disposed above both the first semiconductor device 110 and the substrate 102 . The ILD structure 118 includes one or more stacked ILD layers, which may respectively include low-k dielectric materials (eg, dielectric materials with a dielectric constant less than about 3.9), oxides (eg, silicon dioxide (SiO 2 )), nitrides (such as silicon nitride (SiN)), oxynitrides (such as silicon oxynitride (SiON)), undoped silicate glass (USG), doped silicon dioxide (such as carbon doped silicon dioxide), borosilicate glass (BSG), phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), fluorosilicate glass (FSG), spin-on glass (SOG ) or similar. In some embodiments, the ILD structure 118 is a single ILD layer.

第一金屬間介電質(intermetal dielectric;IMD)結構119設置於層間介電質結構118和基板102兩者上方。第一金屬間介電質結構119包含一或多個堆疊的金屬間介電質層,可分別包括低k介電材料(例如介電常數小於大約3.9之介電材料)、氧化物(例如二氧化矽(SiO 2))、氮化物(例如氮化矽(SiN))、氮氧化物(例如氮氧化矽(SiON))、未摻雜之矽酸鹽玻璃(USG)、摻雜之二氧化矽(例如碳摻雜二氧化矽)、硼矽酸鹽玻璃(BSG)、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、氟矽酸鹽玻璃(FSG)、旋塗式玻璃(SOG)或相似物。 A first intermetal dielectric (IMD) structure 119 is disposed over both the IMD structure 118 and the substrate 102 . The first IMD structure 119 includes one or more stacked IMD layers, which may respectively include low-k dielectric materials (such as dielectric materials with a dielectric constant less than about 3.9), oxides (such as two Silicon oxide (SiO 2 )), nitrides (such as silicon nitride (SiN)), oxynitrides (such as silicon oxynitride (SiON)), undoped silicate glass (USG), doped dioxide Silicon (e.g. carbon-doped silica), borosilicate glass (BSG), phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), fluorosilicate glass (FSG), spin-on type glass (SOG) or similar.

內連接結構120(例如金屬內連接)設置於(例如嵌設於)層間介電質結構118以及第一金屬間介電質結構119中。內連接結構120設置於基板102上方。內連接結構120包含複數個導電接觸122(例如金屬接觸)、複數個導電線124(例如金屬導線)以及複數個導電通孔126(例如金屬通孔)。為了圖示之清晰明確,僅在圖中標示部分的複數個導電接觸122、部分的複數個導電線124、部分的複數個導電通孔126。內連接結構120配置用以提供積體晶片中各裝置之間的電性連接。亦即,將複數個導電線124、複數個導電通孔126以及複數個導電接觸122以預定的方式電性耦合在一起,並配置在積體晶片中,以提供各種裝置之間的電性連接。The interconnection structure 120 (eg, a metal interconnection) is disposed (eg, embedded) in the ILD structure 118 and the first IMD structure 119 . The interconnection structure 120 is disposed above the substrate 102 . The interconnection structure 120 includes a plurality of conductive contacts 122 (such as metal contacts), a plurality of conductive lines 124 (such as metal wires), and a plurality of conductive vias 126 (such as metal vias). For the clarity of the illustration, only a part of the plurality of conductive contacts 122 , a part of the plurality of conductive lines 124 , and a part of the plurality of conductive vias 126 are marked in the figure. The interconnect structure 120 is configured to provide electrical connections between devices in the integrated chip. That is, a plurality of conductive lines 124, a plurality of conductive vias 126, and a plurality of conductive contacts 122 are electrically coupled together in a predetermined manner and arranged in an integrated chip to provide electrical connections between various devices. .

導電接觸122設置於層間介電質結構118中。導電接觸122通過層間介電質結構118,延伸至接觸到該一對源極/汲極區112以及閘極電極116。在部分實施例中,複數個導電接觸122可以是或可包括例如鎢(W)、銅(Cu)、鋁(Al)或相似物。The conductive contact 122 is disposed in the ILD structure 118 . The conductive contact 122 extends through the ILD structure 118 to contact the pair of source/drain regions 112 and the gate electrode 116 . In some embodiments, the plurality of conductive contacts 122 may be or include, for example, tungsten (W), copper (Cu), aluminum (Al), or the like.

複數個導電線124和複數個導電通孔126設置於導電接觸122上方,並且從導電接觸122往第一金屬間介電質結構119的頂表面,反覆交替。在部分實施例中,複數個導電線124和複數個導電通孔126可以是或可包括例如銅(Cu)、鋁(Al)、金(Au)、銀(Ag)、鉑(Pt)或相似物。A plurality of conductive lines 124 and a plurality of conductive vias 126 are disposed above the conductive contacts 122 and alternately alternately from the conductive contacts 122 to the top surface of the first IMD structure 119 . In some embodiments, the plurality of conductive lines 124 and the plurality of conductive vias 126 may be or include, for example, copper (Cu), aluminum (Al), gold (Au), silver (Ag), platinum (Pt) or the like thing.

開口128設置於第一金屬間介電質結構119中。第一金屬間介電質結構119的側壁119s,分別至少部分地定義開口128的側壁。舉例而言,第一金屬間介電質結構119的側壁119s的第一側壁119s 1,至少部分地定義開口128的第一側壁;第一金屬間介電質結構119的側壁119s的第二側壁119s 2,至少部分地定義開口128的第二側壁,以此類推。在部分實施例中,開口128的底表面(例如開口128的最底表面)藉由第一金屬間介電質結構119的頂表面定義。 The opening 128 is disposed in the first IMD structure 119 . The sidewalls 119s of the first IMD structure 119 respectively at least partially define the sidewalls of the opening 128 . For example, the first sidewall 119s 1 of the sidewall 119s of the first IMD structure 119 at least partially defines the first sidewall of the opening 128; the second sidewall of the sidewall 119s of the first IMD structure 119 119s 2 , at least partially defining the second sidewall of the opening 128, and so on. In some embodiments, the bottom surface of the opening 128 (eg, the bottommost surface of the opening 128 ) is defined by the top surface of the first IMD structure 119 .

開口128覆蓋第一半導體裝置110的至少一部分。舉例而言,在部分實施例中,開口128覆蓋閘極電極116(以及閘極介電質114)。在進一步的實施例中,開口128覆蓋閘極電極116(以及閘極介電質114)以及該一對源極/汲極區112的至少一源極/汲極區域。在其他實施例中,開口128覆蓋該一對源極/汲極區112的至少一源極/汲極區域。在這些實施例中,開口128可覆蓋該一對源極/汲極區112的至少一源極/汲極區域以及閘極電極116的至少一部分(以及閘極介電質114的至少一部分)。因為開口128覆蓋第一半導體裝置110的至少一部分,所以第一半導體裝置110產生的熱能可以有效地從第一半導體裝置110逸散(例如從第一半導體裝置110逸散至大氣)。因此,本揭露之積體晶片具有良好的散熱性能(例如半導體裝置產生之熱的高逸散)。The opening 128 covers at least a portion of the first semiconductor device 110 . For example, in some embodiments, opening 128 covers gate electrode 116 (and gate dielectric 114 ). In a further embodiment, the opening 128 covers the gate electrode 116 (and the gate dielectric 114 ) and at least one source/drain region of the pair of source/drain regions 112 . In other embodiments, the opening 128 covers at least one source/drain region of the pair of source/drain regions 112 . In these embodiments, the opening 128 may cover at least a source/drain region of the pair of source/drain regions 112 and at least a portion of the gate electrode 116 (and at least a portion of the gate dielectric 114 ). Because the opening 128 covers at least a portion of the first semiconductor device 110 , thermal energy generated by the first semiconductor device 110 can be efficiently dissipated from the first semiconductor device 110 (eg, from the first semiconductor device 110 to the atmosphere). Therefore, the integrated chip of the present disclosure has good heat dissipation performance (eg, high dissipation of heat generated by semiconductor devices).

圖2繪示圖1的積體晶片的部分實施例之簡化上視圖200。圖2的簡化上視圖200被「簡化」在於,在圖2的簡化上視圖200中,省略被開口128覆蓋的部分的第一金屬間介電質結構119、部分的內連接結構120以及部分的層間介電質結構118。FIG. 2 illustrates a simplified top view 200 of a partial embodiment of the integrated chip of FIG. 1 . The simplified top view 200 of FIG. 2 is "simplified" in that, in the simplified top view 200 of FIG. Interlayer dielectric structure 118 .

如圖2的上視圖200所示,開口128具有一周邊128p。開口128的周邊128p由第一金屬間介電質結構119的側壁119s定義。舉例而言,開口128的周邊128p由第一金屬間介電質結構119的第一側壁119s 1、第一金屬間介電質結構119的第二側壁119s 2、第一金屬間介電質結構119的第三側壁119s 3、第一金屬間介電質結構119的第四側壁119s 4定義。 As shown in the top view 200 of FIG. 2 , the opening 128 has a perimeter 128p. The perimeter 128p of the opening 128 is defined by the sidewalls 119s of the first IMD structure 119 . For example, the perimeter 128p of the opening 128 is defined by the first sidewall 119s 1 of the first IMD structure 119 , the second sidewall 119s 2 of the first IMD structure 119 , the first IMD structure The third sidewall 119s 3 of the first IMD structure 119 and the fourth sidewall 119s 4 of the first IMD structure 119 are defined.

在部分實施例中,開口128的周邊128p可具有正方形的形狀。應當理解到,開口128的周邊128p不僅限於正方形,也可具有其他的幾何形狀。舉例而言,開口128的周邊128p可為矩形、圓形、橢圓形、長圓形、三角形、其他幾何形狀或上述之組合。在部分實施例中,如圖2的上視圖200所示,開口128的周邊128p是由第一金屬間介電質結構119的側壁119s中的四個側壁定義。除了由第一金屬間介電質結構119的側壁119s中的四個側壁定義之外,應當理解到,開口128的周邊128p可以由第一金屬間介電質結構119的任意數量之側壁連接在一起所形成的封閉迴路來定義。In some embodiments, the perimeter 128p of the opening 128 may have a square shape. It should be understood that the perimeter 128p of the opening 128 is not limited to a square shape, but may have other geometric shapes. For example, the perimeter 128p of the opening 128 can be rectangular, circular, elliptical, oblong, triangular, other geometric shapes, or combinations thereof. In some embodiments, as shown in the top view 200 of FIG. 2 , the perimeter 128 p of the opening 128 is defined by four of the sidewalls 119 s of the first IMD structure 119 . In addition to being defined by four of the sidewalls 119s of the first IMD structure 119, it should be understood that the perimeter 128p of the opening 128 may be connected by any number of sidewalls of the first IMD structure 119. The closed loop formed together is defined.

同樣如圖2的上視圖200所示,開口128覆蓋第一半導體裝置110的一部分。在部分實施例中,第一半導體裝置110的一部分橫向地設置於開口128的周邊128p內。開口128的周邊128p以一個封閉迴路,橫向包圍第一半導體裝置110的一部分。舉例而言,如圖2的上視圖200所示,開口128的周邊128p橫向地延伸包圍著閘極電極116和一對源極/汲極區112,使得閘極電極116和該一對源極/汲極區112橫向地設置於開口128的周邊128p內。因為第一半導體裝置110的一部分橫向地設置於開口128的周邊128p內,所以第一半導體裝置110產生的熱能可以更有效率地從第一半導體裝置110逸散。As also shown in the top view 200 of FIG. 2 , the opening 128 covers a portion of the first semiconductor device 110 . In some embodiments, a portion of the first semiconductor device 110 is disposed laterally within the perimeter 128p of the opening 128 . The perimeter 128p of the opening 128 laterally surrounds a portion of the first semiconductor device 110 in a closed loop. For example, as shown in the top view 200 of FIG. 2, the perimeter 128p of the opening 128 extends laterally around the gate electrode 116 and the pair of source/drain regions 112 such that the gate electrode 116 and the pair of source The /drain region 112 is disposed laterally within the perimeter 128p of the opening 128 . Because a portion of the first semiconductor device 110 is laterally disposed within the perimeter 128p of the opening 128 , thermal energy generated by the first semiconductor device 110 can be more efficiently dissipated from the first semiconductor device 110 .

圖3繪示圖1的積體晶片的部分其他實施例之剖視圖300。FIG. 3 illustrates a cross-sectional view 300 of some other embodiments of the integrated chip of FIG. 1 .

如圖3的剖視圖300所示,積體晶片包含第二金屬間介電質結構302。第二金屬間介電質結構302垂直地設置於層間介電質結構118以及第一金屬間介電質119之間。第二金屬間介電質結構302包含一或多個堆疊的金屬間介電質層,可分別包括低k介電材料(例如介電常數小於大約3.9之介電材料)、氧化物(例如二氧化矽(SiO 2))、氮化物(例如氮化矽(SiN))、氮氧化物(例如氮氧化矽(SiON))、未摻雜之矽酸鹽玻璃(USG)、摻雜之二氧化矽(例如碳摻雜二氧化矽)、硼矽酸鹽玻璃(BSG)、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、氟矽酸鹽玻璃(FSG)、旋塗式玻璃(SOG)或相似物。在部分實施例中,第二金屬間介電質結構302為單一金屬間介電質層。內連接結構120設置於(例如嵌設於)第二金屬間介電質結構302中。 As shown in the cross-sectional view 300 of FIG. 3 , the integrated wafer includes a second IMD structure 302 . The second IMD structure 302 is vertically disposed between the ILD structure 118 and the first IMD 119 . The second IMD structure 302 includes one or more stacked IMD layers, which may respectively include low-k dielectric materials (such as dielectric materials with a dielectric constant less than about 3.9), oxides (such as two Silicon oxide (SiO 2 )), nitrides (such as silicon nitride (SiN)), oxynitrides (such as silicon oxynitride (SiON)), undoped silicate glass (USG), doped dioxide Silicon (e.g. carbon-doped silica), borosilicate glass (BSG), phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), fluorosilicate glass (FSG), spin-on type glass (SOG) or similar. In some embodiments, the second IMD structure 302 is a single IMD layer. The interconnection structure 120 is disposed (eg, embedded) in the second IMD structure 302 .

在部分實施例中,第三金屬間介電質結構304垂直地設置於第二金屬間介電質結構302以及第一金屬間介電質結構119之間。在這些實施例中,第三金屬間介電質結構304的頂表面可定義開口128的底表面(例如開口128的最底表面)。在其他實施例中,可省略第三金屬間介電質結構304。在這些實施例中,第二金屬間介電質結構302的頂表面可定義開口128的底表面(例如開口128的最底表面)。第三金屬間介電質結構304包含一或多個堆疊的金屬間介電質層,可分別包括低k介電材料(例如介電常數小於大約3.9之介電材料)、氧化物(例如二氧化矽(SiO 2))、氮化物(例如氮化矽(SiN))、氮氧化物(例如氮氧化矽(SiON))、未摻雜之矽酸鹽玻璃(USG)、摻雜之二氧化矽(例如碳摻雜二氧化矽)、硼矽酸鹽玻璃(BSG)、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、氟矽酸鹽玻璃(FSG)、旋塗式玻璃(SOG)或相似物。在部分實施例中,第三金屬間介電質結構304為單一金屬間介電質層。內連接結構120設置於(例如嵌設於)第三金屬間介電質結構304中。 In some embodiments, the third IMD structure 304 is vertically disposed between the second IMD structure 302 and the first IMD structure 119 . In these embodiments, the top surface of the third IMD structure 304 may define the bottom surface of the opening 128 (eg, the bottommost surface of the opening 128 ). In other embodiments, the third IMD structure 304 may be omitted. In these embodiments, the top surface of the second IMD structure 302 may define the bottom surface of the opening 128 (eg, the bottommost surface of the opening 128 ). The third IMD structure 304 includes one or more stacked IMD layers, which may respectively include low-k dielectric materials (such as dielectric materials with a dielectric constant less than about 3.9), oxides (such as two Silicon oxide (SiO 2 )), nitrides (such as silicon nitride (SiN)), oxynitrides (such as silicon oxynitride (SiON)), undoped silicate glass (USG), doped dioxide Silicon (e.g. carbon-doped silica), borosilicate glass (BSG), phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), fluorosilicate glass (FSG), spin-on type glass (SOG) or similar. In some embodiments, the third IMD structure 304 is a single IMD layer. The interconnection structure 120 is disposed (eg, embedded) in the third IMD structure 304 .

複數個導電線124設置於複數個導電層306(例如複數個金屬層)中。複數個導電層306包含N個導電層,其中N為大於或等於1之任一整數。舉例而言,如圖3的剖視圖300所示,複數個導電層306包含4個導電層(例如N等於4)。複數個導電層306橫向地延伸通過第一金屬間介電質結構119以及第二金屬間介電質結構302。舉例而言,複數個導電層306中的第一導電層306 N-3(例如金屬層1)橫向地延伸通過第二金屬間介電質結構302,複數個導電層306中的第二導電層306 N-2(例如金屬層2)橫向地延伸通過第一金屬間介電質結構119,複數個導電層306中的第三導電層306 N- (例如金屬層3)橫向地延伸通過第一金屬間介電質結構119,且複數個導電層306中的第四導電層306 N(例如金屬層4)橫向地延伸通過第一金屬間介電質結構119。在部分實施例中,複數個導電層306橫向地延伸通過第三金屬間介電質結構304。在其他實施例中,複數個導電層306可以不橫向地延伸通過第三金屬間介電質結構304。在進一步的實施例中,複數個導電層306中的第N導電層(例如第四導電層306 N)為複數個導電層306中最上方的導電層。 The plurality of conductive lines 124 are disposed in the plurality of conductive layers 306 (eg, the plurality of metal layers). The plurality of conductive layers 306 includes N conductive layers, where N is any integer greater than or equal to 1. For example, as shown in the cross-sectional view 300 of FIG. 3 , the plurality of conductive layers 306 includes 4 conductive layers (eg, N is equal to 4). A plurality of conductive layers 306 extend laterally through the first IMD structure 119 and the second IMD structure 302 . For example, a first conductive layer 306 N-3 (eg, metal layer 1 ) of the plurality of conductive layers 306 extends laterally through the second IMD structure 302 , and a second conductive layer of the plurality of conductive layers 306 306 N-2 (such as metal layer 2 ) extends laterally through the first IMD structure 119 , and the third conductive layer 306 N- 1 (such as metal layer 3 ) of the plurality of conductive layers 306 extends laterally through the first IMD structure 119 . An IMD structure 119 , and a fourth conductive layer 306 N (eg, the metal layer 4 ) of the plurality of conductive layers 306 extends laterally through the first IMD structure 119 . In some embodiments, the plurality of conductive layers 306 extend laterally through the third IMD structure 304 . In other embodiments, the plurality of conductive layers 306 may not extend laterally through the third IMD structure 304 . In a further embodiment, the Nth conductive layer (for example, the fourth conductive layer 306 N ) of the plurality of conductive layers 306 is the uppermost conductive layer of the plurality of conductive layers 306 .

複數個導電線124包含M組導電線,其中M為大於或等於1之任一整數。舉例而言,如圖3的剖視圖300所示,複數個導電線124包含4組導電線(例如M等於4)。M組導電線中的每一組包含一或多個複數個導電線124。複數個導電層306中的每一個包含M組導電線的其中一組。舉例而言,如圖3的剖視圖300所示,複數個導電層306中的第一導電層306 N-3包含第一組導電線124 M-3,複數個導電層306中的第二導電層306 N-2包含第二組導電線124 M-2,複數個導電層306中的第三導電層306 N-1包含第三組導電線124 M-1,且複數個導電層306中的第四導電層306 N包含第四組導電線124 MThe plurality of conductive wires 124 includes M groups of conductive wires, wherein M is any integer greater than or equal to 1. For example, as shown in the cross-sectional view 300 of FIG. 3 , the plurality of conductive wires 124 includes 4 groups of conductive wires (for example, M is equal to 4). Each of the M sets of conductive lines includes one or more plurality of conductive lines 124 . Each of the plurality of conductive layers 306 includes one of M groups of conductive lines. For example, as shown in the cross-sectional view 300 of FIG . 306 N-2 includes the second group of conductive lines 124 M-2 , the third conductive layer 306 N-1 in the plurality of conductive layers 306 includes the third group of conductive lines 124 M-1 , and the third group of conductive lines 306 in the plurality of conductive layers 306 The fourth conductive layer 306N includes a fourth set of conductive lines 124M .

複數個導電層306相互設置於彼此上方。舉例而言,第二導電層306 N-2設置於第一導電層306 N-3上方,第三導電層306 N-1設置於第二導電層306 N-2上方,第四導電層306 N設置於第三導電層306 N-1上方。複數個導電通孔126在複數個導電層306之間垂直地延伸,並且以預定之方式將複數個導電層306的複數個導電線124電性耦合在一起。舉例而言,複數個導電通孔126中的第一組導電通孔在第一導電層306 N-3以及第二導電層306 N-2之間垂直地延伸,並且以預定的方式將第一組導電線124 M-3電性耦合至第二組導電線124 M-2;複數個導電通孔126中的第二組導電通孔在第二導電層306 N-2以及第三導電層306 N-1之間垂直地延伸,並且以預定的方式將第二組導電線124 M-2電性耦合至第三組導電線124 M-1,以此類推。 The plurality of conductive layers 306 are disposed on top of each other. For example, the second conductive layer 306N -2 is disposed above the first conductive layer 306N -3 , the third conductive layer 306N-1 is disposed above the second conductive layer 306N -2 , and the fourth conductive layer 306N It is disposed on the third conductive layer 306 N-1 . The plurality of conductive vias 126 vertically extend between the plurality of conductive layers 306 and electrically couple the plurality of conductive lines 124 of the plurality of conductive layers 306 together in a predetermined manner. For example, a first group of the plurality of conductive vias 126 extends vertically between the first conductive layer 306N -3 and the second conductive layer 306N -2 , and connects the first conductive vias in a predetermined manner. The set of conductive lines 124M-3 is electrically coupled to the second set of conductive lines 124M-2 ; the second set of conductive vias in the plurality of conductive vias 126 are in the second conductive layer 306N -2 and the third conductive layer 306 N-1 extends vertically and electrically couples the second set of conductive lines 124 M- 2 to the third set of conductive lines 124 M-1 in a predetermined manner, and so on.

如圖3的剖視圖300所示,第一鈍化層308設置於第一金屬間介電質結構119、內連接結構120以及基板102上方。第一鈍化層308可以是或可包括例如氧化物(例如二氧化矽(SiO 2))、氮化物(例如氮化矽(SiN))、氮氧化物(例如氮氧化矽(SiO XN Y))、部分其他鈍化材料或上述之組合。在部分實施例中,第一鈍化層308的材料與第一金屬間介電質結構119不同。在進一步的實施例中,第一鈍化層308具有一平面的頂表面。 As shown in the cross-sectional view 300 of FIG. 3 , the first passivation layer 308 is disposed over the first IMD structure 119 , the interconnect structure 120 and the substrate 102 . The first passivation layer 308 may be or include, for example, oxides (such as silicon dioxide (SiO 2 )), nitrides (such as silicon nitride (SiN)), oxynitrides (such as silicon oxynitride (SiO X NY ) ), some other passivation materials or a combination of the above. In some embodiments, the material of the first passivation layer 308 is different from that of the first IMD structure 119 . In a further embodiment, the first passivation layer 308 has a planar top surface.

第一金屬間介電質結構119的側壁119s以及第一鈍化層308的側壁308s,至少部分地定義開口128的側壁。舉例而言,第一金屬間介電質結構119的第一側壁119s 1以及第一鈍化層308的側壁308s中的第一側壁308s 1,至少部分地定義開口128的第一側壁;且第一金屬間介電質結構119的第二側壁119s 2以及第一鈍化層308的側壁308s中的第二側壁308s 2,至少部分地定義開口128的第二側壁。在部分實施例中,第一金屬間介電質結構119的第一側壁119s 1對齊(例如切齊)第一鈍化層308的第一側壁308s 1。在進一步的實施例中,第一金屬間介電質結構119的第二側壁119s 2對齊(例如切齊)第一鈍化層308的第二側壁308s 2The sidewalls 119 s of the first IMD structure 119 and the sidewalls 308 s of the first passivation layer 308 at least partially define the sidewalls of the opening 128 . For example, the first sidewall 119s 1 of the first IMD structure 119 and the first sidewall 308s 1 of the sidewalls 308s of the first passivation layer 308 at least partially define the first sidewall of the opening 128 ; and the first The second sidewall 119s 2 of the IMD structure 119 and the second sidewall 308s 2 of the sidewalls 308s of the first passivation layer 308 at least partially define the second sidewall of the opening 128 . In some embodiments, the first sidewall 119s 1 of the first IMD structure 119 is aligned (eg, aligned) with the first sidewall 308s 1 of the first passivation layer 308 . In a further embodiment, the second sidewall 119s 2 of the first IMD structure 119 is aligned (eg, aligned) with the second sidewall 308s 2 of the first passivation layer 308 .

複數個導電線124與開口128分隔開。更具體而言,複數個導電線124分隔於開口128的側壁以及開口128的底表面。在進一步的實施例中,第二組導電線124 M-2、第三組導電線124 M-1和第四組導電線124 M橫向地分隔於開口128的側壁。在更進一步的實施例中,第一組導電線124 M-3垂直地分隔於開口128的側壁。 The plurality of conductive lines 124 are separated from the opening 128 . More specifically, the plurality of conductive lines 124 are separated from the sidewalls of the opening 128 and the bottom surface of the opening 128 . In a further embodiment, the second set of conductive lines 124 M−2 , the third set of conductive lines 124 M−1 , and the fourth set of conductive lines 124 M are laterally separated from the sidewall of the opening 128 . In a further embodiment, the first set of conductive lines 124M- 3 are vertically separated from the sidewall of the opening 128 .

在部分實施例中,複數個導電通孔126與開口128分隔開。在進一步的實施例中,複數個導電接觸122與開口128分隔開。在更進一步的實施例中,內連接結構120與開口128分隔開(例如複數個導電線124、複數個導電通孔126以及複數個導電接觸122分隔於開口128)。In some embodiments, the plurality of conductive vias 126 are separated from the opening 128 . In a further embodiment, the plurality of conductive contacts 122 are spaced apart from the opening 128 . In a further embodiment, the internal connection structure 120 is separated from the opening 128 (for example, the plurality of conductive lines 124 , the plurality of conductive vias 126 and the plurality of conductive contacts 122 are separated from the opening 128 ).

亦如圖3的剖視圖300所示,部分的複數個導電線124以及部分的複數個導電通孔126定義內連接結構120的導電特徵的第一集合310,其中這些部分的複數個導電線124和部分的複數個導電通孔126設置於開口128的第一側(例如右側)上,且嵌設於第一金屬間介電質結構119中。進一步而言,部分的複數個導電線124和部分的複數個導電通孔126定義內連接結構120的導電特徵的第二集合312,其中這些部分的複數個導電線124和部分的複數個導電通孔126設置於開口128的第二側(相對於第一側)(例如左側)上,且嵌設於第一金屬間介電質結構119中。在部分實施例中,導電特徵的第一集合310透過內連接結構120的導電特徵的第三集合314,電性耦合至導電特徵的第二集合312。導電特徵的第三集合314包含第一組導電線124 M-3的複數個導電線124的一部分。 As also shown in the cross-sectional view 300 of FIG. Part of the plurality of conductive vias 126 is disposed on the first side (eg, the right side) of the opening 128 and embedded in the first IMD structure 119 . Further, portions of the plurality of conductive lines 124 and portions of the plurality of conductive vias 126 define a second set 312 of conductive features of the interconnect structure 120, wherein the portions of the plurality of conductive lines 124 and portions of the plurality of conductive vias define the second set 312 of conductive features of the interconnect structure 120. The hole 126 is disposed on a second side (relative to the first side) (eg, the left side) of the opening 128 and is embedded in the first IMD structure 119 . In some embodiments, the first set of conductive features 310 is electrically coupled to the second set of conductive features 312 through the third set of conductive features 314 of the interconnect structure 120 . The third set of conductive features 314 includes a portion of the plurality of conductive lines 124 of the first group of conductive lines 124M -3 .

圖4繪示圖3的積體晶片的部分實施例之簡化上視圖400。圖4的簡化上視圖400被「簡化」在於,在圖4的簡化上視圖400中,省略被開口128覆蓋的部分的第二金屬間介電質結構302、部分的第三金屬間介電質結構304、部分的內連接結構120以及部分的層間介電質結構118。FIG. 4 illustrates a simplified top view 400 of a partial embodiment of the integrated chip of FIG. 3 . The simplified top view 400 of FIG. 4 is "simplified" in that, in the simplified top view 400 of FIG. structure 304 , a portion of interconnect structure 120 , and a portion of ILD structure 118 .

如圖4的上視圖400所示,開口128的周邊128p由第一鈍化層308的側壁308s定義。舉例而言,開口128的周邊128p由第一鈍化層308的第一側壁308s 1、第一鈍化層308的第二側壁308s 2、第一鈍化層308的第三側壁308s 3、第一鈍化層308的第四側壁308s 4定義。儘管在圖4的上視圖400中未示出,但應當理解到,開口128的周邊128p由第一鈍化層308的側壁308s以及第一金屬間介電質結構119的側壁119s兩者定義。 As shown in the top view 400 of FIG. 4 , the perimeter 128p of the opening 128 is defined by the sidewalls 308s of the first passivation layer 308 . For example, the perimeter 128p of the opening 128 is composed of the first sidewall 308s 1 of the first passivation layer 308 , the second sidewall 308s 2 of the first passivation layer 308 , the third sidewall 308s 3 of the first passivation layer 308 , the first passivation layer A fourth side wall 308s 4 of 308 is defined. Although not shown in the top view 400 of FIG. 4 , it should be understood that the perimeter 128p of the opening 128 is defined by both the sidewalls 308s of the first passivation layer 308 and the sidewalls 119s of the first IMD structure 119 .

圖5繪示圖1的積體晶片的部分其他實施例之剖視圖500。FIG. 5 shows a cross-sectional view 500 of some other embodiments of the integrated chip of FIG. 1 .

如圖5的剖視圖500所示,一或多個輸入/輸出(I/O)結構502(例如焊墊、焊錫凸塊等)設置於第一鈍化層308中以及第一金屬間介電質結構119上方。在部分實施例中,一或多個輸入/輸出(I/O)結構502至少部分地設置於第一鈍化層308上方。一或多個上部導電通孔504設置於第一鈍化層308中,且將輸入/輸出(I/O)結構502電性耦合至內連接結構120。輸入/輸出(I/O)結構502以及上部導電通孔504是或包括例如銅(Cu)、鋁(Al)、鋁銅合金(AlCu)、鎢(W)、金(Au)、銀(Ag)、鉛(Pb)、錫(Sn)、鋅(Zn)、銻(Sb)、其他導電材料或上述之組合。As shown in the cross-sectional view 500 of FIG. 5, one or more input/output (I/O) structures 502 (eg, pads, solder bumps, etc.) are disposed in the first passivation layer 308 and the first IMD structure. 119 above. In some embodiments, one or more input/output (I/O) structures 502 are at least partially disposed over the first passivation layer 308 . One or more upper conductive vias 504 are disposed in the first passivation layer 308 and electrically couple the input/output (I/O) structure 502 to the interconnection structure 120 . Input/output (I/O) structures 502 and upper conductive vias 504 are or include, for example, copper (Cu), aluminum (Al), aluminum copper (AlCu), tungsten (W), gold (Au), silver (Ag ), lead (Pb), tin (Sn), zinc (Zn), antimony (Sb), other conductive materials or a combination of the above.

如圖5的剖視圖500所示,第三金屬間介電質結構304的第一頂表面304u 1定義開口208的底表面(例如開口208的最底表面)。第三金屬間介電質結構304的第一頂表面304u 1,垂直地設置於第三金屬間介電質結構304的第二頂表面304u 2以及基板102之間。在部分實施例中,第三金屬間介電質結構304的第一頂表面304u 1,橫向地設置於第三金屬間介電質結構304的第二頂表面304u 2的兩個部分之間。在進一步的實施例中,第三金屬間介電質結構304的第二頂表面304u 2,可橫向地包圍第三金屬間介電質結構304的第一頂表面304u 1As shown in the cross-sectional view 500 of FIG. 5 , the first top surface 304u1 of the third IMD structure 304 defines the bottom surface of the opening 208 (eg, the bottommost surface of the opening 208 ). The first top surface 304u 1 of the third IMD structure 304 is vertically disposed between the second top surface 304u 2 of the third IMD structure 304 and the substrate 102 . In some embodiments, the first top surface 304u 1 of the third IMD structure 304 is laterally disposed between two portions of the second top surface 304u 2 of the third IMD structure 304 . In a further embodiment, the second top surface 304u 2 of the third IMD structure 304 may laterally surround the first top surface 304u 1 of the third IMD structure 304 .

圖6繪示圖5的積體晶片的部分其他實施例之剖視圖600。FIG. 6 shows a cross-sectional view 600 of some other embodiments of the integrated chip of FIG. 5 .

如圖6的剖視圖600所示,第二鈍化層602設置於第一鈍化層308上方。在部分實施例中,第二鈍化層602設置於上部導電通孔504上方。在進一步的實施例中,輸入/輸出(I/O)結構502至少部分地設置於第二鈍化層602中。第二鈍化層602可以是或可包含例如氧化物(例如二氧化矽(SiO 2))、氮化物(例如氮化矽(SiN))、氮氧化物(例如氮氧化矽(SiO XN Y))、其他鈍化材料或上述之組合。在部分實施例中,第二鈍化層602的材料與第一金屬間介電質結構119以及/或第一鈍化層308不同。在進一步的實施例中,第二鈍化層602具有平坦的頂表面。 As shown in the cross-sectional view 600 of FIG. 6 , the second passivation layer 602 is disposed above the first passivation layer 308 . In some embodiments, the second passivation layer 602 is disposed above the upper conductive via 504 . In a further embodiment, the input/output (I/O) structure 502 is at least partially disposed in the second passivation layer 602 . The second passivation layer 602 may be or may include, for example, an oxide (such as silicon dioxide (SiO 2 )), a nitride (such as silicon nitride (SiN)), an oxynitride (such as silicon oxynitride (SiO X NY ) ), other passivation materials or a combination of the above. In some embodiments, the material of the second passivation layer 602 is different from that of the first IMD structure 119 and/or the first passivation layer 308 . In a further embodiment, the second passivation layer 602 has a flat top surface.

第一金屬間介電質結構119的側壁119s、第一鈍化層308的側壁308s以及第二鈍化層602的側壁602s,至少部分地定義開口128的側壁。舉例而言,第一金屬間介電質結構119的第一側壁119s 1、第一鈍化層308的第一側壁308s 1以及第二鈍化層602的側壁602s中的第一側壁602s 1,至少部分地定義開口128的第一側壁,且第一金屬間介電質結構119的第二側壁119s 2、第一鈍化層308的第二側壁308s 2以及第二鈍化層602的側壁602s中的第二側壁602s 2,至少部分地定義開口128的第二側壁。 The sidewalls 119 s of the first IMD structure 119 , the sidewalls 308 s of the first passivation layer 308 , and the sidewalls 602 s of the second passivation layer 602 at least partially define the sidewalls of the opening 128 . For example, the first sidewall 119s 1 of the first IMD structure 119 , the first sidewall 308s 1 of the first passivation layer 308 , and the first sidewall 602s 1 of the sidewall 602s of the second passivation layer 602 are at least partially The first sidewall of the opening 128 is defined, and the second sidewall 119s 2 of the first IMD structure 119 , the second sidewall 308s 2 of the first passivation layer 308 and the second sidewall 602s of the second passivation layer 602 Sidewall 602s 2 at least partially defines a second sidewall of opening 128 .

亦如圖6的剖視圖600所示,一或多個蝕刻停止層604設置於基板102上方。舉例而言,第一蝕刻停止層604a、第二蝕刻停止層604b以及第三蝕刻停止層604c設置於基板102上方。內連接結構120部分設置於蝕刻停止層604之中(例如複數個導電通孔126垂直地延伸通過蝕刻停止層604)。蝕刻停止層604設置於第一金屬間介電質結構119、第二金屬間介電質結構302以及第三金屬間介電質結構304之間(以及/或之中)。舉例而言,第一蝕刻停止層604a設置於第三金屬間介電質結構304和第一金屬間介電質結構119之間,且第二蝕刻停止層604b以及第三蝕刻停止層604c設置於第一金屬間介電質結構119中。蝕刻停止層604可以是或可包括例如氧化物(例如二氧化矽(SiO 2))、氮化物(例如氮化矽(SiN))、氮氧化物(例如氮氧化矽(SiO XN Y))、碳化物(例如碳化矽(SiC))、其他介電材料或上述之組合。 As also shown in cross-sectional view 600 of FIG. 6 , one or more etch stop layers 604 are disposed over substrate 102 . For example, the first etch stop layer 604 a , the second etch stop layer 604 b and the third etch stop layer 604 c are disposed on the substrate 102 . The interconnection structure 120 is partially disposed in the etch stop layer 604 (eg, the plurality of conductive vias 126 vertically extend through the etch stop layer 604 ). The etch stop layer 604 is disposed between (and/or in) the first IMD structure 119 , the second IMD structure 302 and the third IMD structure 304 . For example, the first etch stop layer 604a is disposed between the third IMD structure 304 and the first IMD structure 119, and the second etch stop layer 604b and the third etch stop layer 604c are disposed between In the first IMD structure 119 . The etch stop layer 604 can be or include, for example, an oxide (eg, silicon dioxide (SiO 2 )), a nitride (eg, silicon nitride (SiN)), an oxynitride (eg, silicon oxynitride (SiO X NY )). , carbide (such as silicon carbide (SiC)), other dielectric materials or a combination of the above.

蝕刻停止層604的側壁可至少部分地定義開口128的側壁。舉例而言,第二蝕刻停止層604b的側壁604bs以及第三蝕刻停止層604c的側壁604cs,部分地定義開口128的側壁。更具體而言,第二蝕刻停止層604b的側壁604bs中的第一側壁604bs 1以及第三蝕刻停止層604c的側壁604cs中的第一側壁604cs 1兩者,皆部分地定義了開口128的第一側壁;且第二蝕刻停止層604b的側壁604bs中的第二側壁604bs 2以及第三蝕刻停止層604c的側壁604cs中的第二側壁604cs 2兩者,皆部分地定義了開口128的第二側壁。在部分實施例中,其中之一個蝕刻停止層604的頂表面,定義開口128的底表面。舉例而言,第一蝕刻停止層604a的頂表面,定義開口128的底表面。 Sidewalls of etch stop layer 604 may at least partially define sidewalls of opening 128 . For example, the sidewalls 604bs of the second etch stop layer 604b and the sidewalls 604cs of the third etch stop layer 604c partially define the sidewalls of the opening 128 . More specifically, both the first sidewall 604bs1 of the sidewalls 604bs of the second etch stop layer 604b and the first sidewall 604cs1 of the sidewalls 604cs of the third etch stop layer 604c partially define the first sidewall of the opening 128. and the second sidewall 604bs 2 of the sidewalls 604bs of the second etch stop layer 604b and the second sidewall 604cs 2 of the sidewalls 604cs of the third etch stop layer 604c partially define the second sidewall of the opening 128. side wall. In some embodiments, the top surface of one of the etch stop layers 604 defines the bottom surface of the opening 128 . For example, the top surface of the first etch stop layer 604 a defines the bottom surface of the opening 128 .

雖然圖6僅繪示出三個蝕刻停止層604,但應當理解到,積體晶片可包含設置於基板102上方的任意數量的蝕刻停止層。且應當理解到,雖然圖6僅繪示出兩個鈍化層(例如第一鈍化層308以及第二鈍化層602),但在基板102上方可設置任意數量的鈍化層。尚且應當理解到,在第一金屬間介電質結構119、第二金屬間介電質結構302以及/或第三金屬間介電質結構304上方、之中以及/或之間,可設置任意數量的蝕刻停止層以及鈍化層。Although only three etch stop layers 604 are shown in FIG. 6 , it should be understood that a bulk wafer may include any number of etch stop layers disposed over the substrate 102 . And it should be understood that although FIG. 6 only shows two passivation layers (eg, the first passivation layer 308 and the second passivation layer 602 ), any number of passivation layers may be disposed on the substrate 102 . It should also be understood that any number of etch stop and passivation layers.

圖7繪示圖6的積體晶片的部分其他實施例之剖視圖700。FIG. 7 illustrates a cross-sectional view 700 of some other embodiments of the integrated chip of FIG. 6 .

如圖7的剖視圖700所示,其中一個蝕刻停止層604的頂表面可定義開口128的底表面。舉例而言,第一蝕刻停止層604a的第一頂表面604au 1定義開口128的底表面。第一蝕刻停止層604a的第一頂表面604au 1垂直地設置於第一蝕刻停止層604a的第二頂表面604au 2以及基板102之間。在部分實施例中,第一蝕刻停止層604a的第一頂表面604au 1橫向地設置於第一蝕刻停止層604a的第二頂表面604au 2的兩個部分之間。在進一步的實施例中,第一蝕刻停止層604a的第二頂表面604au 2可橫向地包圍第一蝕刻停止層604a的第一頂表面604au 1As shown in the cross-sectional view 700 of FIG. 7 , the top surface of one of the etch stop layers 604 may define the bottom surface of the opening 128 . For example, the first top surface 604au 1 of the first etch stop layer 604 a defines the bottom surface of the opening 128 . The first top surface 604au 1 of the first etch stop layer 604 a is vertically disposed between the second top surface 604 au 2 of the first etch stop layer 604 a and the substrate 102 . In some embodiments, the first top surface 604au 1 of the first etch stop layer 604a is laterally disposed between two portions of the second top surface 604au 2 of the first etch stop layer 604a. In a further embodiment, the second top surface 604au 2 of the first etch stop layer 604a may laterally surround the first top surface 604au 1 of the first etch stop layer 604a.

圖8繪示圖3的積體晶片的部分其他實施例之剖視圖800。FIG. 8 illustrates a cross-sectional view 800 of some other embodiments of the integrated chip of FIG. 3 .

如圖8的剖視圖800所示,第一井區802設置於基板102中。在部分實施例中,第一井區802設置於裝置層104中。第一井區802為裝置層104的一個區域,具有與第一摻雜型態(例如n型)相反的第二摻雜型態(例如p型)。該一對源極/汲極區112設置於第一井區802中。As shown in the cross-sectional view 800 of FIG. 8 , the first well region 802 is disposed in the substrate 102 . In some embodiments, the first well region 802 is disposed in the device layer 104 . The first well region 802 is a region of the device layer 104 having a second doping type (eg, p-type) opposite to the first doping type (eg, n-type). The pair of source/drain regions 112 is disposed in the first well region 802 .

第二井區804設置於基板102中。在部分實施例中,第二井區804設置於裝置層104中。第二井區804為裝置層104的一個區域,具有第一摻雜型態。第一井區802至少部分地設置於第二井區804中。The second well region 804 is disposed in the substrate 102 . In some embodiments, the second well region 804 is disposed in the device layer 104 . The second well region 804 is a region of the device layer 104 having the first doping type. The first well region 802 is at least partially disposed in the second well region 804 .

第一隔離結構806設置於基板102中。在部分實施例中,第一隔離結構806設置於裝置層104中。第一隔離結構806配置用以將第一半導體裝置110與積體晶片的其他裝置(未示於圖中)電性隔離開來。第一隔離結構806可具有呈角度的側壁。在其他實施例中,第一隔離結構806的側壁可以實質上是直的(例如垂直的)。在部分實施例中,第一隔離結構806橫向包圍第一半導體裝置110。在部分實施例中,第一隔離結構806可以是或可包括例如氧化物(例如二氧化矽(SiO 2))、氮化物(例如氮化矽(SiN))、氮氧化物(例如氮氧化矽(SiON))、碳化物(例如碳化矽(SiC))、其他介電材料或上述之組合。在部分實施例中,第一隔離結構806稱作淺溝槽隔離(shallow trench isolation;STI)結構。 The first isolation structure 806 is disposed in the substrate 102 . In some embodiments, the first isolation structure 806 is disposed in the device layer 104 . The first isolation structure 806 is configured to electrically isolate the first semiconductor device 110 from other devices (not shown in the figure) of the integrated wafer. The first isolation structure 806 may have angled sidewalls. In other embodiments, the sidewalls of the first isolation structure 806 may be substantially straight (eg, vertical). In some embodiments, the first isolation structure 806 laterally surrounds the first semiconductor device 110 . In some embodiments, the first isolation structure 806 may be or include, for example, an oxide (such as silicon dioxide (SiO 2 )), a nitride (such as silicon nitride (SiN)), an oxynitride (such as silicon oxynitride (SiON)), carbides (such as silicon carbide (SiC)), other dielectric materials, or combinations of the above. In some embodiments, the first isolation structure 806 is called a shallow trench isolation (STI) structure.

圖9繪示圖8的積體晶片的部分實施例之簡化上視圖900。圖9的簡化上視圖900被「簡化」在於,在圖9的簡化上視圖900中,省略被開口128覆蓋的部分的第二金屬間介電質結構302、部分的第三金屬間介電質結構304、部分的內連接結構120以及部分的層間介電質結構118。FIG. 9 illustrates a simplified top view 900 of a partial embodiment of the integrated chip of FIG. 8 . The simplified top view 900 of FIG. 9 is "simplified" in that, in the simplified top view 900 of FIG. structure 304 , a portion of interconnect structure 120 , and a portion of ILD structure 118 .

如圖9的上視圖900所示,第一井區802具有周邊802p(例如外部周邊)。第一井區802的周邊802p虛擬繪示(透過虛線)於圖9的上視圖900中。在部分實施例中,開口128的周邊128p橫向地包圍第一井區802的周邊802p。應當理解到,第二井區804具有內部周邊,此內部周邊對應於第一井區802的周邊802p。因此,也應理解到,在部分實施例中,開口128的周邊128p橫向地包圍第二井區804的內部周邊。儘管未標示在圖9的上視圖900中,仍應當理解到,開口128的周邊128p可橫向地設置於第二井區804的內部周邊以及第二井區804的外部周邊之間。As shown in the top view 900 of FIG. 9 , the first well region 802 has a perimeter 802p (eg, an outer perimeter). The perimeter 802p of the first well region 802 is shown phantom (through dashed lines) in the top view 900 of FIG. 9 . In some embodiments, the perimeter 128p of the opening 128 laterally surrounds the perimeter 802p of the first well region 802 . It should be appreciated that the second well section 804 has an inner perimeter that corresponds to the perimeter 802p of the first well section 802 . Accordingly, it should also be understood that in some embodiments, the perimeter 128p of the opening 128 laterally surrounds the inner perimeter of the second well region 804 . Although not shown in the top view 900 of FIG. 9 , it should be understood that the perimeter 128p of the opening 128 may be disposed laterally between the inner perimeter of the second well 804 and the outer perimeter of the second well 804 .

亦如圖9的上視圖900所示,第一隔離結構806具有內部周邊806ip和外部周邊806op。第一隔離結構806的內部周邊806ip以及第一隔離結構806的外部周邊806op,虛擬繪示(透過虛線)於圖9的上視圖900中。在部分實施例中,開口128的周邊128p橫向地包圍第一隔離結構806的內部周邊806ip。在進一步的實施例中,開口128的周邊128p橫向地設置於第一隔離結構806的內部周邊806ip以及第一隔離結構806的外部周邊806op之間。在其他實施例中,開口128的周邊128p橫向地包圍第一隔離結構806的內部周邊806ip以及第一隔離結構806的外部周邊806op兩者。As also shown in the top view 900 of FIG. 9 , the first isolation structure 806 has an inner perimeter 806ip and an outer perimeter 806op. The inner perimeter 806ip of the first isolation structure 806 and the outer perimeter 806op of the first isolation structure 806 are shown virtually (through dashed lines) in the top view 900 of FIG. 9 . In some embodiments, the perimeter 128p of the opening 128 laterally surrounds the inner perimeter 806ip of the first isolation structure 806 . In a further embodiment, the perimeter 128p of the opening 128 is disposed laterally between the inner perimeter 806ip of the first isolation structure 806 and the outer perimeter 806op of the first isolation structure 806 . In other embodiments, the perimeter 128p of the opening 128 laterally surrounds both the inner perimeter 806ip of the first isolation structure 806 and the outer perimeter 806op of the first isolation structure 806 .

圖10繪示圖8的積體晶片的部分其他實施例之剖視圖1000。FIG. 10 shows a cross-sectional view 1000 of some other embodiments of the integrated chip of FIG. 8 .

如圖10的剖視圖1000所示,第三井區1002設置於裝置層104中。第三井區1002為裝置層104的一個區域,具有第二摻雜型態(例如p型)。第四井區1004亦設置於裝置層104中。第四井區1004為裝置層104的一個區域,具有第一摻雜型態(例如n型)。As shown in the cross-sectional view 1000 of FIG. 10 , the third well region 1002 is disposed in the device layer 104 . The third well region 1002 is a region of the device layer 104 with a second doping type (eg, p-type). A fourth well region 1004 is also disposed in the device layer 104 . The fourth well region 1004 is a region of the device layer 104 with the first doping type (eg, n-type).

第二半導體裝置1006(例如雙重擴散金屬氧化物半導體場效電晶體(double-diffused metal oxide semiconductor field-effect transistor;DMOS))設置於裝置層104上/上方。第二半導體裝置1006具有汲極區1008。汲極區1008是裝置層104的一個區域,具有第一摻雜型態。汲極區1008設置於第四井區1004中。複數個導電接觸122之中的第一個電性耦合至汲極區1008。第二半導體裝置1006也包含源極區1010、基體接觸區1012、閘極介電質1014以及閘極電極1016。源極區1010是裝置層104的一個區域,具有第一摻雜型態。源極區1010設置於第三井區1002中。基體接觸區1012是裝置層104的一個區域,具有第二摻雜型態。基體接觸區1012設置於第三井區1002中。複數個導電接觸122之中的第二個電性耦合至源極區1010以及基體接觸區1012兩者。閘極介電質1014設置於裝置層104上方,且橫向地設置於源極區1010以及汲極區1008之間。閘極電極1016覆蓋閘極介電質1014。複數個導電接觸122之中的第三個電性耦合至閘極電極1016。A second semiconductor device 1006 (such as a double-diffused metal oxide semiconductor field-effect transistor (DMOS)) is disposed on/over the device layer 104 . The second semiconductor device 1006 has a drain region 1008 . The drain region 1008 is a region of the device layer 104 having the first doping type. The drain region 1008 is disposed in the fourth well region 1004 . A first one of the plurality of conductive contacts 122 is electrically coupled to the drain region 1008 . The second semiconductor device 1006 also includes a source region 1010 , a body contact region 1012 , a gate dielectric 1014 and a gate electrode 1016 . The source region 1010 is a region of the device layer 104 having a first doping type. The source region 1010 is disposed in the third well region 1002 . The body contact region 1012 is a region of the device layer 104 having the second doping type. The body contact region 1012 is disposed in the third well region 1002 . A second one of the plurality of conductive contacts 122 is electrically coupled to both the source region 1010 and the body contact region 1012 . A gate dielectric 1014 is disposed above the device layer 104 and laterally between the source region 1010 and the drain region 1008 . Gate electrode 1016 covers gate dielectric 1014 . A third one of the plurality of conductive contacts 122 is electrically coupled to the gate electrode 1016 .

在部分實施例中,第二隔離結構1018設置於裝置層104中。第二隔離結構1018橫向地設置於汲極區1008以及閘極電極1016之間。在部分實施例中,閘極介電質1014(以及閘極電極1016)可部分地覆蓋第二隔離結構1018。第二隔離結構1018可具有呈角度的側壁。在其他實施例中,第二隔離結構1018的側壁可以是實質上直的(例如垂直的)。在部分實施例中,第二隔離結構1018可以是或可包括例如氧化物(例如二氧化矽(SiO 2))、氮化物(例如氮化矽(SiN))、氮氧化物(例如氮氧化矽(SiON))、碳化物(例如碳化矽(SiC))、其他介電材料或上述之組合。在進一步的實施例中,第二隔離結構1018可稱作淺溝槽隔離結構。在更進一步的實施例中,第二隔離結構1018為第一隔離結構806的一部分。 In some embodiments, the second isolation structure 1018 is disposed in the device layer 104 . The second isolation structure 1018 is laterally disposed between the drain region 1008 and the gate electrode 1016 . In some embodiments, the gate dielectric 1014 (and the gate electrode 1016 ) may partially cover the second isolation structure 1018 . The second isolation structure 1018 may have angled sidewalls. In other embodiments, the sidewalls of the second isolation structure 1018 may be substantially straight (eg, vertical). In some embodiments, the second isolation structure 1018 may be or include, for example, oxides (such as silicon dioxide (SiO 2 )), nitrides (such as silicon nitride (SiN)), oxynitrides (such as silicon oxynitride (SiON)), carbides (such as silicon carbide (SiC)), other dielectric materials, or combinations of the above. In a further embodiment, the second isolation structure 1018 may be called a shallow trench isolation structure. In a further embodiment, the second isolation structure 1018 is a part of the first isolation structure 806 .

第三隔離結構1020設置於基板102中。在部分實施例中,第三隔離結構1020設置於裝置層104中。第三隔離結構1020通過裝置層104,垂直地延伸至絕緣層106。在部分實施例中,第三隔離結構1020通過裝置層104以及絕緣層106,垂直地延伸至處理層108。在更進一步的實施例中,第三隔離結構1020垂直地延伸通過第一隔離結構806。The third isolation structure 1020 is disposed in the substrate 102 . In some embodiments, the third isolation structure 1020 is disposed in the device layer 104 . The third isolation structure 1020 vertically extends to the insulating layer 106 through the device layer 104 . In some embodiments, the third isolation structure 1020 vertically extends to the processing layer 108 through the device layer 104 and the insulating layer 106 . In a further embodiment, the third isolation structure 1020 extends vertically through the first isolation structure 806 .

第三隔離結構1020可具有呈角度的側壁。在其他實施例中,第三隔離結構1020的側壁可以是實質上直的(例如垂直的)。在部分實施例中,第三隔離結構1020橫向地包圍第二半導體裝置1006。在部分實施例中,第三隔離結構1020可以是或可包括例如氧化物(例如二氧化矽(SiO 2))、氮化物(例如氮化矽(SiN))、氮氧化物(例如氮氧化矽(SiON))、碳化物(例如碳化矽(SiC))、其他介電材料或上述之組合。在部分實施例中,第三隔離結構1020稱作深溝槽隔離結構(deep trench isolation;DTI)。 The third isolation structure 1020 may have angled sidewalls. In other embodiments, the sidewalls of the third isolation structure 1020 may be substantially straight (eg, vertical). In some embodiments, the third isolation structure 1020 laterally surrounds the second semiconductor device 1006 . In some embodiments, the third isolation structure 1020 may be or include, for example, oxides (such as silicon dioxide (SiO 2 )), nitrides (such as silicon nitride (SiN)), oxynitrides (such as silicon oxynitride (SiON)), carbides (such as silicon carbide (SiC)), other dielectric materials, or combinations of the above. In some embodiments, the third isolation structure 1020 is called a deep trench isolation (deep trench isolation; DTI).

圖11繪示圖10的積體晶片的部分實施例之簡化上視圖1100。圖11的簡化上視圖1100被「簡化」在於,在圖11的簡化上視圖1100中,省略被開口128覆蓋的部分的第二金屬間介電質結構302、部分的第三金屬間介電質結構304、部分的內連接結構120以及部分的層間介電質結構118。FIG. 11 illustrates a simplified top view 1100 of a partial embodiment of the integrated chip of FIG. 10 . The simplified top view 1100 of FIG. 11 is "simplified" in that, in the simplified top view 1100 of FIG. structure 304 , a portion of interconnect structure 120 , and a portion of ILD structure 118 .

如圖11的上視圖1100所示,開口128的周邊128p覆蓋並且對齊(例如中心對齊和中間對齊)第三隔離結構1020的佈局。此外,第三隔離結構1020具有內部周邊1020ip以及外部周邊1020op。第三隔離結構1020的外部周邊1020op虛擬繪示(透過虛線)於圖11的上視圖1100中。As shown in the top view 1100 of FIG. 11 , the perimeter 128p of the opening 128 covers and aligns (eg, is center-aligned and mid-aligned) with the layout of the third isolation structure 1020 . Furthermore, the third isolation structure 1020 has an inner perimeter 1020ip and an outer perimeter 1020op. The outer perimeter 1020op of the third isolation structure 1020 is shown phantom (through dashed lines) in the top view 1100 of FIG. 11 .

在部分實施例中,開口128的周邊128p橫向地包圍第三隔離結構1020的內部周邊1020ip。在進一步的實施例中,開口128的周邊128p橫向地設置於第三隔離結構1020的內部周邊1020ip以及第三隔離結構1020的外部周邊1020op之間。在其他實施例中,開口128的周邊128p橫向地包圍第三隔離結構1020的內部周邊1020ip以及第三隔離結構1020的外部周邊1020op兩者。In some embodiments, the perimeter 128p of the opening 128 laterally surrounds the inner perimeter 1020ip of the third isolation structure 1020 . In a further embodiment, the perimeter 128p of the opening 128 is disposed laterally between the inner perimeter 1020ip of the third isolation structure 1020 and the outer perimeter 1020op of the third isolation structure 1020 . In other embodiments, the perimeter 128p of the opening 128 laterally surrounds both the inner perimeter 1020ip of the third isolation structure 1020 and the outer perimeter 1020op of the third isolation structure 1020 .

亦如圖11的上視圖1100所示,第二隔離結構1018為第一隔離結構806的一部分。此外,第二隔離結構1018具有第一側壁1018sw 1以及與第一側壁1018sw 1相對的第二側壁1018sw 2。開口128的周邊128p可橫向地包圍第二隔離結構1018的第一側壁1018sw 1以及第二隔離結構1018的第二側壁1018sw 2兩者。 Also shown in the top view 1100 of FIG. 11 , the second isolation structure 1018 is a part of the first isolation structure 806 . In addition, the second isolation structure 1018 has a first sidewall 1018sw 1 and a second sidewall 1018sw 2 opposite to the first sidewall 1018sw 1 . The perimeter 128p of the opening 128 may laterally surround both the first sidewall 1018sw 1 of the second isolation structure 1018 and the second sidewall 1018sw 2 of the second isolation structure 1018 .

圖12繪示圖6的積體晶片的部分實施例之立體圖1200。FIG. 12 illustrates a perspective view 1200 of a partial embodiment of the integrated chip of FIG. 6 .

如圖12的立體圖1200所示,開口128設置於積體晶片的區域1202內。更具體而言,開口128的側壁以及底表面設置於區域1202內。區域1202設置於第一金屬間介電質結構119、第二金屬間介電質結構302以及第三金屬間介電質結構304之中的一個或多個內。在部分實施例中,區域1202設置於第一鈍化層308(以及第二鈍化層602)內以及一或多個蝕刻停止層604中的一個或多個中。舉例而言,如圖12的立體圖1200所示,區域1202設置於第一金屬間介電質結構119、第三金屬間介電質結構304、第一鈍化層308以及第三蝕刻停止層604c內。區域1202具有長度、寬度和高度。區域1202的長度大於開口128的長度。區域1202的寬度大於開口128的寬度。區域1202的高度大於開口128的高度。As shown in the perspective view 1200 of FIG. 12 , the opening 128 is disposed in the area 1202 of the integrated wafer. More specifically, the sidewalls and the bottom surface of the opening 128 are disposed within the region 1202 . The region 1202 is disposed within one or more of the first IMD structure 119 , the second IMD structure 302 and the third IMD structure 304 . In some embodiments, region 1202 is disposed within first passivation layer 308 (and second passivation layer 602 ) and within one or more of one or more etch stop layers 604 . For example, as shown in the perspective view 1200 of FIG. 12, the region 1202 is disposed within the first IMD structure 119, the third IMD structure 304, the first passivation layer 308, and the third etch stop layer 604c. . Region 1202 has a length, width and height. The length of region 1202 is greater than the length of opening 128 . The width of region 1202 is greater than the width of opening 128 . The height of region 1202 is greater than the height of opening 128 .

圖13繪示圖12的積體晶片的部分實施例之剖視圖1300。沿著線圖12中的A-A擷取圖13之剖視圖1300。圖13的剖面圖1300僅繪示出圖12的積體晶片的特徵,該些特徵位於第二金屬間介電質結構302上方。FIG. 13 illustrates a cross-sectional view 1300 of a partial embodiment of the integrated chip of FIG. 12 . The cross-sectional view 1300 of FIG. 13 is taken along line A-A in FIG. 12 . The cross-sectional view 1300 of FIG. 13 depicts only the features of the integrated wafer of FIG. 12 , which are located above the second IMD structure 302 .

如圖13的剖視圖1300所示,區域1202至少部分地由積體晶片的範圍定義,其中沒有內連接結構120的導電特徵設置於此範圍。舉例而言,如圖13的剖視圖1300所示,內連接結構120沒有導電特徵(例如複數個導電線124和複數個導電通孔126)設置於區域1202中。在部分實施例中,區域1202的側邊由導電特徵(例如複數個導電線124中的一或多個以及/或複數個導電通孔126中的一或多個)的側邊定義。舉例而言,區域1202的第一側邊由第一導電線(以及/或第一導電通孔)的側壁定義,且區域1202的第二側邊(與區域1202的第一側邊相對)由第二導電線(以及/或第二導電通孔)的側壁定義。此外,區域1202的底部側邊可由第三導電線(以及/或第三導電通孔)的頂表面定義。因為內連接結構120沒有導電特徵設置於區域1202中,且因為開口128設置於區域1202內,所以開口128不會對積體晶片造成負面影響(例如不會降低內連接結構120的結構完整性)。As shown in cross-sectional view 1300 of FIG. 13 , region 1202 is at least partially defined by the area of the built-up wafer where no conductive features of interconnect structure 120 are disposed. For example, as shown in the cross-sectional view 1300 of FIG. 13 , the interconnection structure 120 has no conductive features (eg, the plurality of conductive lines 124 and the plurality of conductive vias 126 ) disposed in the region 1202 . In some embodiments, sides of region 1202 are defined by sides of conductive features (eg, one or more of conductive lines 124 and/or one or more of conductive vias 126 ). For example, the first side of the region 1202 is defined by the sidewall of the first conductive line (and/or the first conductive via), and the second side of the region 1202 (opposite the first side of the region 1202 ) is defined by The sidewall definition of the second conductive line (and/or the second conductive via). Additionally, the bottom side of region 1202 may be defined by the top surface of the third conductive line (and/or the third conductive via). Because interconnect structure 120 has no conductive features disposed in region 1202, and because opening 128 is disposed within region 1202, opening 128 does not adversely affect the integrated wafer (eg, does not degrade the structural integrity of interconnect structure 120). .

圖14繪示圖12的積體晶片的部分其他實施例之立體圖1400。FIG. 14 illustrates a perspective view 1400 of some other embodiments of the integrated chip of FIG. 12 .

如圖14的立體圖1400所示,複數個開口1402a至1402d設置於第一金屬間介電質結構119以及第一鈍化層308中。舉例而言,複數個開口1402a至1402d包含第一開口1402a、第二開口1402b、第三開口1402c以及第四開口1402d。在部分實施例中,複數個開口1402a至1402d具有實質相似的涵蓋面積(例如開口的寬度、長度以及上端的周邊的形狀實質相同)。在進一步的實施例中,複數個開口1402a至1402d的涵蓋面積可具有正方形的形狀,如圖14的立體圖1400所示。在其他實施例中,複數個開口1402a至1402d的涵蓋面積可具有其他幾何形狀(例如矩形、圓形、橢圓形、長圓形、三角形等)。在更進一步的實施例中,複數個開口1402a至1402d具有實質相似之高度(例如深度)。As shown in the perspective view 1400 of FIG. 14 , a plurality of openings 1402 a to 1402 d are disposed in the first IMD structure 119 and the first passivation layer 308 . For example, the plurality of openings 1402a to 1402d include a first opening 1402a, a second opening 1402b, a third opening 1402c and a fourth opening 1402d. In some embodiments, the plurality of openings 1402 a to 1402 d have substantially similar coverage areas (eg, the width, length, and shape of the periphery of the upper end of the openings are substantially the same). In a further embodiment, the coverage areas of the plurality of openings 1402a to 1402d may have a square shape, as shown in the perspective view 1400 of FIG. 14 . In other embodiments, the coverage areas of the plurality of openings 1402 a - 1402 d may have other geometric shapes (eg, rectangle, circle, ellipse, oblong circle, triangle, etc.). In a further embodiment, the plurality of openings 1402a-1402d have substantially similar heights (eg, depths).

複數個開口1402a至1402d彼此之間橫向地分隔開。複數個開口1402a至1402d具有與開口128實質相似之特徵(例如結構特徵)。因為積體晶片包含複數個開口1402a至1402d,所以積體晶片的半導體裝置產生之熱能可更有效率地逸散(例如由於第一金屬間介電質結構119中開口的總面積較大)。The plurality of openings 1402a-1402d are laterally spaced apart from each other. The plurality of openings 1402 a - 1402 d have substantially similar features (eg, structural features) to opening 128 . Because the integrated wafer includes a plurality of openings 1402a to 1402d, the heat generated by the semiconductor devices of the integrated wafer can be dissipated more efficiently (eg, due to the larger total area of the openings in the first IMD structure 119).

複數個開口1402a至1402d設置於積體晶片的複數個區域1404a至1404d內。舉例而言,第一開口1402a設置於第一區域1404a中,第二開口1402b設置於第二區域1404b中,第三開口1402c設置於第三區域1404c中,且第四開口1402d設置於第四區域1404d中。複數個區域1404a至1404d具有與區域1202實質相似的特徵(例如結構特徵)。The plurality of openings 1402a-1402d are disposed in the plurality of regions 1404a-1404d of the integrated wafer. For example, the first opening 1402a is disposed in the first region 1404a, the second opening 1402b is disposed in the second region 1404b, the third opening 1402c is disposed in the third region 1404c, and the fourth opening 1402d is disposed in the fourth region 1404d. Plurality of regions 1404a-1404d have substantially similar features (eg, structural features) to region 1202 .

圖15繪示圖14的積體晶片的部分實施例之剖視圖1500。FIG. 15 illustrates a cross-sectional view 1500 of a partial embodiment of the integrated chip of FIG. 14 .

如圖15的剖視圖1500所示,複數個半導體裝置1502a至1502b(例如絕緣閘極場效電晶體)設置於裝置層104上/上方。舉例而言,第三半導體裝置1502a設置於裝置層104上/上方,且第四半導體裝置1502b設置於裝置層104上/上方。複數個半導體裝置1502a至1502b可具有與第一半導體裝置110以及/或第二半導體裝置1006實質相似之特徵。As shown in the cross-sectional view 1500 of FIG. 15 , a plurality of semiconductor devices 1502 a - 1502 b (eg, insulated gate field effect transistors) are disposed on/over the device layer 104 . For example, the third semiconductor device 1502 a is disposed on/over the device layer 104 , and the fourth semiconductor device 1502 b is disposed on/over the device layer 104 . The plurality of semiconductor devices 1502 a - 1502 b may have substantially similar features to the first semiconductor device 110 and/or the second semiconductor device 1006 .

第一開口1402a至少部分地覆蓋第三半導體裝置1502a。第二開口1402b至少部分地覆蓋第四半導體裝置1502b。因為第一開口1402a至少部分地覆蓋第三半導體裝置1502a,且因為第二開口1402b至少部分地覆蓋第四半導體裝置1502b,所以複數個半導體裝置1502a至1502b產生之熱能可以更有效率地逸散(例如由於開口設置得更靠近半導體裝置)。The first opening 1402a at least partially covers the third semiconductor device 1502a. The second opening 1402b at least partially covers the fourth semiconductor device 1502b. Because the first opening 1402a at least partially covers the third semiconductor device 1502a, and because the second opening 1402b at least partially covers the fourth semiconductor device 1502b, the heat energy generated by the plurality of semiconductor devices 1502a to 1502b can be dissipated more efficiently ( eg due to the opening being arranged closer to the semiconductor device).

圖16繪示圖15的積體晶片的部分其他實施例之剖視圖1600。FIG. 16 shows a cross-sectional view 1600 of some other embodiments of the integrated chip of FIG. 15 .

如圖16的剖視圖1600所示,第一開口1402a具有與第二開口1402b不同的涵蓋面積。舉例而言,第一開口1402a具有第一寬度,且第二開口1402b具有大於第一寬度的第二寬度。因此,第一開口1402a具有與第二開口1402b不同的涵蓋面積。應當理解到,在部分實施例中,第一開口1402a以及第二開口1402b可具有相同的寬度但仍具有不同的涵蓋面積。舉例而言,第一寬度以及第二寬度可實質相同,但第一開口1402a可具有與第二開口1402b不同的長度(以及/或總體形狀)。As shown in the cross-sectional view 1600 of FIG. 16, the first opening 1402a has a different footprint than the second opening 1402b. For example, the first opening 1402a has a first width, and the second opening 1402b has a second width greater than the first width. Accordingly, the first opening 1402a has a different footprint than the second opening 1402b. It should be understood that, in some embodiments, the first opening 1402a and the second opening 1402b may have the same width but still have different coverage areas. For example, the first width and the second width may be substantially the same, but the first opening 1402a may have a different length (and/or overall shape) than the second opening 1402b.

亦如圖16的剖視圖1600所示,第一開口1402a具有與第二開口1402b不同的高度。舉例而言,第一開口1402a具有第一高度(例如深度)且第二開口1402b具有比第一高度小的第二高度(例如深度)。雖然未標示於圖16的剖視圖1600中,應當理解到,在部分實施例中,第一開口1402a的底表面可由第一蝕刻停止層(例如第二蝕刻停止層604b)定義,且第二開口1402b的底表面可由第二蝕刻停止層(例如第三蝕刻停止層604c)定義,第二蝕刻停止層與第一蝕刻停止層垂直地分隔開。因為第一開口1402a 可具有與第二開口1402b不同的涵蓋面積以及/或高度,所以複數個半導體裝置1502a至1502b產生之熱能可以更有效率地逸散(例如開口1402a至1402d的涵蓋面積以及高度是建立在對應的區域1404a至1402d的大小上,區域1404a至1402d的大小可能會因為內連接結構120的佈局而不同,以至於並非每一個開口1402a至1402d能適於區域1404a至1404d之中最小的一個)。As also shown in the cross-sectional view 1600 of FIG. 16, the first opening 1402a has a different height than the second opening 1402b. For example, the first opening 1402a has a first height (eg, depth) and the second opening 1402b has a second height (eg, depth) smaller than the first height. Although not shown in the cross-sectional view 1600 of FIG. 16, it should be understood that in some embodiments, the bottom surface of the first opening 1402a may be defined by a first etch stop layer (eg, a second etch stop layer 604b), and the second opening 1402b The bottom surface of may be defined by a second etch stop layer (eg, third etch stop layer 604c ) that is vertically separated from the first etch stop layer. Since the first opening 1402a can have a different footprint and/or height from the second opening 1402b, the heat generated by the plurality of semiconductor devices 1502a to 1502b can be dissipated more efficiently (eg, the footprint and height of the openings 1402a to 1402d Based on the size of the corresponding regions 1404a to 1402d, the size of the regions 1404a to 1402d may be different due to the layout of the interconnection structure 120, so that not every opening 1402a to 1402d can fit into the smallest of the regions 1404a to 1404d. one of).

圖17繪示圖10的積體晶片的部分其他實施例之剖視圖1700。FIG. 17 illustrates a cross-sectional view 1700 of some other embodiments of the integrated chip of FIG. 10 .

如圖17的剖視圖1700所示,在部分實施例中,第一開口1402a以及第二開口1402b兩者皆至少部分地覆蓋第二半導體裝置1006。因為第一開口1402a以及第二開口1402b兩者皆至少部分地覆蓋第二半導體裝置1006,所以第二半導體裝置1006產生之熱能可以更有效率地逸散。舉例而言,積體晶片可以不具有覆蓋第二半導體裝置1006的一個大區域(見例如區域1202),但可以有多個(彼此間橫向分隔的)較小的區域設置於第二半導體裝置1006上方(例如由於內連接結構120的佈局,使其排除大區域,但卻容許多個較小的區域)。因此,第一開口1402a和第二開口1402b兩者皆至少部分地覆蓋第二半導體裝置1006比只有一個小的開口(或沒有任何大的開口),可以更有效率地逸散半導體裝置1006產生之熱能。As shown in the cross-sectional view 1700 of FIG. 17 , in some embodiments, both the first opening 1402 a and the second opening 1402 b at least partially cover the second semiconductor device 1006 . Because both the first opening 1402a and the second opening 1402b at least partially cover the second semiconductor device 1006, the heat energy generated by the second semiconductor device 1006 can be dissipated more efficiently. For example, the integrated wafer may not have one large area covering the second semiconductor device 1006 (see e.g. area 1202), but may have multiple (laterally separated from each other) smaller areas disposed on the second semiconductor device 1006 above (for example due to the layout of the interconnection structure 120 , which excludes large areas but allows multiple smaller areas). Therefore, both the first opening 1402a and the second opening 1402b at least partially cover the second semiconductor device 1006, can more efficiently dissipate the resulting semiconductor device 1006 than just one small opening (or without any large openings). thermal energy.

圖18至圖23繪示形成積體晶片的方法的部分實施例之一系列剖視圖,包含設置於第一金屬間介電質結構119中的複數個開口。雖然是參考一方法來描述圖18至圖23,但應當理解,圖18至圖23所示之結構未受限於該方法,可區隔於該方法獨立存在。18-23 illustrate a series of cross-sectional views of some embodiments of a method of forming an integrated wafer, including a plurality of openings disposed in the first IMD structure 119 . Although FIGS. 18 to 23 are described with reference to a method, it should be understood that the structures shown in FIGS. 18 to 23 are not limited to the method and may exist independently of the method.

如圖18的剖視圖1800所示,接收工作件1802。工作件1802包含基板102。在部分實施例中,基板102為絕緣體上半導體基板(例如絕緣體上矽)。在這些實施例中,基板102包含裝置層104、絕緣層106以及處理層108。As shown in cross-sectional view 1800 of FIG. 18 , a workpiece 1802 is received. Workpiece 1802 includes substrate 102 . In some embodiments, the substrate 102 is a semiconductor-on-insulator substrate (such as silicon-on-insulator). In these embodiments, substrate 102 includes device layer 104 , insulating layer 106 , and handle layer 108 .

複數個半導體裝置1502a至1502b形成於裝置層104上/上方。舉例而言,第三半導體裝置1502a以及第四半導體裝置1502b形成於裝置層104上/上方。在部分實施例中,複數個半導體裝置1502a至1502b中的每一個,皆包含一對源極/汲極區、閘極介電質以及閘極電極。層間介電質結構118,形成於複數個半導體裝置1502a至1502b以及裝置層104兩者上方。A plurality of semiconductor devices 1502 a - 1502 b are formed on/over the device layer 104 . For example, the third semiconductor device 1502 a and the fourth semiconductor device 1502 b are formed on/over the device layer 104 . In some embodiments, each of the plurality of semiconductor devices 1502a-1502b includes a pair of source/drain regions, a gate dielectric, and a gate electrode. An ILD structure 118 is formed over both the plurality of semiconductor devices 1502 a - 1502 b and the device layer 104 .

第一金屬間介電質結構119形成於層間介電質結構118以及複數個半導體裝置1502a至1502b上方。一或多個蝕刻停止層604形成於基板102上方以及第一金屬間介電質結構119中。舉例而言,第一蝕刻停止層604a以及第二蝕刻停止層604b設置於基板102上方以及第一金屬間介電質結構119中。內連接結構120形成於基板102上方以及層間介電質結構118、第一金屬間介電質結構119中以及一或多個蝕刻停止層604中。內連接結構120包含複數個導電接觸122(例如金屬接觸)、複數個導電線124(例如金屬導線)以及複數個導電通孔126(例如金屬通孔)。在部分實施例中,複數個導電接觸122、複數個導電線124以及複數個導電通孔126被稱為(內連接結構120的)導電特徵。可藉由已知的互補式金屬氧化物半導體製程,形成複數個半導體裝置1502a至1502b、層間介電質結構118、第一金屬間介電質結構119、一或多個蝕刻停止層604以及內連接結構120。The first IMD structure 119 is formed over the ILD structure 118 and the plurality of semiconductor devices 1502a to 1502b. One or more etch stop layers 604 are formed over the substrate 102 and in the first IMD structure 119 . For example, the first etch stop layer 604 a and the second etch stop layer 604 b are disposed on the substrate 102 and in the first IMD structure 119 . The interconnect structure 120 is formed over the substrate 102 and in the ILD structure 118 , the first IMD structure 119 and in the one or more etch stop layers 604 . The interconnection structure 120 includes a plurality of conductive contacts 122 (such as metal contacts), a plurality of conductive lines 124 (such as metal wires), and a plurality of conductive vias 126 (such as metal vias). In some embodiments, the plurality of conductive contacts 122 , the plurality of conductive lines 124 and the plurality of conductive vias 126 are referred to as conductive features (of the interconnect structure 120 ). The plurality of semiconductor devices 1502a to 1502b, the ILD structure 118, the first IMD structure 119, one or more etch stop layers 604 and inner Connection structure 120 .

如圖19的剖視圖1900所示,第一鈍化層308形成於第一金屬間介電質結構119以及內連接結構120上方。可藉由例如化學氣相沉積(chemical vapor deposition;CVD)、物理氣相沉積(physical vapor deposition;PVD)、原子層沉積(atomic layer deposition;ALD)、旋轉塗佈製程、其他沉積製程或上述之組合,形成第一鈍化層308。在部分實施例中,第一鈍化層308由實質平坦的頂表面組成。在進一步的實施例中,透過毯覆的沉積製程,形成第一鈍化層308。As shown in the cross-sectional view 1900 of FIG. 19 , the first passivation layer 308 is formed over the first IMD structure 119 and the interconnect structure 120 . For example, chemical vapor deposition (chemical vapor deposition; CVD), physical vapor deposition (physical vapor deposition; PVD), atomic layer deposition (atomic layer deposition; ALD), spin coating process, other deposition process or the above combined to form the first passivation layer 308 . In some embodiments, the first passivation layer 308 consists of a substantially planar top surface. In a further embodiment, the first passivation layer 308 is formed through a blanket deposition process.

如圖20的剖視圖2000所示,第一圖案化遮罩層2002(例如正/負光阻、硬遮罩等等)形成於第一鈍化層308上方。第一圖案化遮罩層2002包含第一開孔2004(例如第一開口)。第一開孔2004至少部分地覆蓋第三半導體裝置1502a。第一開孔2004也覆蓋第一鈍化層308的第一部分以及第一金屬間介電質結構119的第一部分。內連接結構120的導電特徵分隔於第一鈍化層308的第一部分以及第一金屬間介電質結構119的第一部分。儘管未示於圖20的剖視圖2000中,應理解到,在形成第一圖案化遮罩層2002之前,可在第一鈍化層308中/上方,形成一或多個輸入/輸出結構(參見例如圖5)。As shown in the cross-sectional view 2000 of FIG. 20 , a first patterned mask layer 2002 (eg, positive/negative photoresist, hard mask, etc.) is formed over the first passivation layer 308 . The first patterned mask layer 2002 includes a first opening 2004 (eg, a first opening). The first opening 2004 at least partially covers the third semiconductor device 1502a. The first opening 2004 also covers the first portion of the first passivation layer 308 and the first portion of the first IMD structure 119 . The conductive features of the interconnect structure 120 are separated from the first portion of the first passivation layer 308 and the first portion of the first IMD structure 119 . Although not shown in the cross-sectional view 2000 of FIG. 20 , it should be understood that one or more input/output structures may be formed in/over the first passivation layer 308 prior to forming the first patterned mask layer 2002 (see e.g. Figure 5).

在部分實施例中,形成第一圖案化遮罩層2002的製程包含在第一鈍化層308上,沉積遮罩層(未示於圖中)。可藉由例如化學氣相沉積、物理氣相沉積、原子層沉積、旋轉塗佈製程、其他沉積製程或上述之組合,沉積遮罩層。此後,將遮罩層曝於圖案(例如透過微影製程如光刻微影、極紫外光微影或相似方法)並顯影,從而在第一鈍化層308上方,形成第一圖案化遮罩層2002。In some embodiments, the process of forming the first patterned mask layer 2002 includes depositing a mask layer (not shown in the figure) on the first passivation layer 308 . The masking layer can be deposited by, for example, chemical vapor deposition, physical vapor deposition, atomic layer deposition, spin-on-coating process, other deposition processes, or combinations thereof. Thereafter, the mask layer is exposed to a pattern (for example, through a lithography process such as photolithography, EUV lithography, or similar methods) and developed, thereby forming a first patterned mask layer on the first passivation layer 308 2002.

如圖21的剖視圖2100所示,第一開口1402a形成於第一鈍化層308以及第一金屬間介電質結構119中。第一開口1402a形成並覆蓋於第三半導體裝置1502a的至少一部分。在部分實施例中,形成的第一開口1402a具有實質垂直的側壁。在進一步的實施例中,形成第一開口1402a,使得第二蝕刻停止層604b的頂表面,定義第一開口1402a的底表面。在其他實施例中,形成第一開口1402a,使得第一金屬間介電質結構119(或其他金屬間介電質結構)的第一頂表面,定義第一開口1402a的底表面。As shown in the cross-sectional view 2100 of FIG. 21 , the first opening 1402 a is formed in the first passivation layer 308 and the first IMD structure 119 . The first opening 1402a is formed and covers at least a part of the third semiconductor device 1502a. In some embodiments, the formed first opening 1402a has substantially vertical sidewalls. In a further embodiment, the first opening 1402a is formed such that the top surface of the second etch stop layer 604b defines the bottom surface of the first opening 1402a. In other embodiments, the first opening 1402a is formed such that the first top surface of the first IMD structure 119 (or other IMD structure) defines the bottom surface of the first opening 1402a.

在部分實施例中,形成第一開口1402a的製程包含藉由第一圖案化遮罩層2002就位於第一鈍化層308上方,而在第一鈍化層308和第一金屬間介電質結構119上,執行蝕刻製程。蝕刻製程依照第一圖案化遮罩層2002,選擇性蝕刻第一鈍化層308以及第一金屬間介電質結構119。因此,蝕刻製程移除第一鈍化層308的第一部分以及第一金屬間介電質結構119的第一部分,從而形成第一開口1402a。在部分實施例中,蝕刻製程在第二蝕刻停止層604b上停止,使得第二蝕刻停止層604b的頂表面,定義第一開口1402a的底表面。在進一步的實施例中,蝕刻製程可以是或可包含例如濕式蝕刻製程、乾式蝕刻製程、反應離子蝕刻(reactive ion etching;RIE)製程、部分其他蝕刻製程或上述之組合。隨後,在部分實施例中,第一圖案化遮罩層2002被剝離。In some embodiments, the process of forming the first opening 1402a includes using the first patterned mask layer 2002 on the first passivation layer 308, and the first passivation layer 308 and the first IMD structure 119 On, performing an etching process. The etching process selectively etches the first passivation layer 308 and the first IMD structure 119 according to the first patterned mask layer 2002 . Therefore, the etching process removes the first portion of the first passivation layer 308 and the first portion of the first IMD structure 119 , thereby forming the first opening 1402 a. In some embodiments, the etching process is stopped on the second etch stop layer 604b such that the top surface of the second etch stop layer 604b defines the bottom surface of the first opening 1402a. In a further embodiment, the etching process may be or include, for example, a wet etching process, a dry etching process, a reactive ion etching (RIE) process, some other etching processes, or a combination thereof. Subsequently, in some embodiments, the first patterned mask layer 2002 is stripped.

如圖22的剖視圖2200所示,第二圖案化遮罩層2202(例如正/負光阻、硬遮罩等等)形成於第一鈍化層308上方以及第一開口1402a中。第二圖案化遮罩層2202包含第二開孔2204(例如第二開口)。第二開孔2204至少部分地覆蓋第四半導體裝置1502b。As shown in the cross-sectional view 2200 of FIG. 22 , a second patterned mask layer 2202 (eg, positive/negative photoresist, hard mask, etc.) is formed over the first passivation layer 308 and in the first opening 1402a. The second patterned mask layer 2202 includes a second opening 2204 (eg, a second opening). The second opening 2204 at least partially covers the fourth semiconductor device 1502b.

第二開孔2204亦覆蓋第一鈍化層308的第二部分、第一金屬間介電質結構119的第二部分、第二蝕刻停止層604b的第一部分以及第一金屬間介電質結構119的第三部分。第一金屬間介電質結構119的第二部分覆蓋第一金屬間介電質結構119的第三部分。內連接結構120的導電特徵分隔於第一鈍化層308的第二部分、第一金屬間介電質結構119的第二部分、第一金屬間介電質結構119的第三部分以及第二蝕刻停止層604b的第一部分。The second opening 2204 also covers the second portion of the first passivation layer 308, the second portion of the first IMD structure 119, the first portion of the second etch stop layer 604b and the first IMD structure 119. the third part of . The second portion of the first IMD structure 119 covers the third portion of the first IMD structure 119 . The conductive features of the interconnect structure 120 are separated by the second portion of the first passivation layer 308, the second portion of the first IMD structure 119, the third portion of the first IMD structure 119, and the second etch The first portion of the stop layer 604b.

在部分實施例中,形成第二圖案化遮罩層2202包含在第一鈍化層308上以及第一開口1402a中,沉積遮罩層(未示於圖中)。可以藉由例如化學氣相沉積、物理氣相沉積、原子層沉積、旋轉塗佈製程、其他沉積製程或上述之組合,沉積遮罩層。隨後,將遮罩層曝於圖案(例如透過微影製程如光刻微影、極紫外光微影或相似方法)並顯影,從而在第一鈍化層308上方以及第一開口1402a中,形成第二圖案化遮罩層2202。In some embodiments, forming the second patterned mask layer 2202 includes depositing a mask layer (not shown in the figure) on the first passivation layer 308 and in the first opening 1402a. The masking layer can be deposited by, for example, chemical vapor deposition, physical vapor deposition, atomic layer deposition, spin-on-coating process, other deposition processes, or combinations thereof. Subsequently, the mask layer is exposed to a pattern (for example, through a lithography process such as photolithography, EUV lithography, or similar methods) and developed, thereby forming a first passivation layer 308 above and in the first opening 1402a. Second, pattern the mask layer 2202 .

如圖23的剖視圖2300所示,第二開口1402b形成於第一鈍化層308、第一金屬間介電質結構119以及第二蝕刻停止層604b中。第二開口1402b形成並覆蓋於第四半導體裝置1502b的至少一部分。第二開口1402b形成並與第一開口1402a橫向地分隔開。在部分實施例中,形成的第二開口1402b具有實質垂直的側壁。在進一步的實施例中,第二開口1402b形成,使得第一蝕刻停止層604a的頂表面,定義第二開口1402b的底表面。在其他實施例中,第二開口1402b形成,使得第一金屬間介電質結構119(或其他金屬間介電質結構)的第二頂表面,定義第二開口1402b的底表面。As shown in the cross-sectional view 2300 of FIG. 23 , the second opening 1402b is formed in the first passivation layer 308 , the first IMD structure 119 and the second etch stop layer 604b. The second opening 1402b is formed and covers at least a part of the fourth semiconductor device 1502b. A second opening 1402b is formed and spaced laterally from the first opening 1402a. In some embodiments, the formed second opening 1402b has substantially vertical sidewalls. In a further embodiment, the second opening 1402b is formed such that the top surface of the first etch stop layer 604a defines the bottom surface of the second opening 1402b. In other embodiments, the second opening 1402b is formed such that the second top surface of the first IMD structure 119 (or other IMD structure) defines the bottom surface of the second opening 1402b.

在部分實施例中,形成第二開口1402b的製程包含在第一鈍化層308以及第一金屬間介電質結構119上執行第一蝕刻製程。藉由第二圖案化遮罩層2202就位於第一鈍化層308上方以及第一開口1402a中,執行第一蝕刻製程。第一蝕刻製程依照第二圖案化遮罩層2202,選擇性蝕刻第一鈍化層308以及第一金屬間介電質結構119。因此,第一蝕刻製程移除第一鈍化層308的第二部分以及第一金屬間介電質結構119的第二部分。在部分實施例中,第一蝕刻製程在第二蝕刻停止層604b上停止。在進一步的實施例中,第一蝕刻製程可以是或可包含例如濕式蝕刻製程、乾式蝕刻製程、反應離子蝕刻製程、其他蝕刻製程或上述之組合。In some embodiments, the process of forming the second opening 1402 b includes performing a first etching process on the first passivation layer 308 and the first IMD structure 119 . With the second patterned mask layer 2202 just above the first passivation layer 308 and in the first opening 1402a, a first etching process is performed. The first etching process selectively etches the first passivation layer 308 and the first IMD structure 119 according to the second patterned mask layer 2202 . Thus, the first etch process removes the second portion of the first passivation layer 308 and the second portion of the first IMD structure 119 . In some embodiments, the first etch process stops on the second etch stop layer 604b. In a further embodiment, the first etching process may be or include, for example, a wet etching process, a dry etching process, a reactive ion etching process, other etching processes, or a combination thereof.

隨後,在第二蝕刻停止層604b上執行第二蝕刻製程。藉由第二圖案化遮罩層2202就位於第一鈍化層308上方以及第一開口1402a中,執行第二蝕刻製程。第二蝕刻製程依照第二圖案化遮罩層2202,選擇性蝕刻第二蝕刻停止層604b。因此,第二蝕刻製程移除第二蝕刻停止層604b的第一部分。在部分實施例中,第二蝕刻製程在第一金屬間介電質結構119的一層上停止,此第一金屬間介電質結構119的一層(直接)設置於第二蝕刻停止層604b下方。在進一步的實施例中,第二蝕刻製程可以是或可包含例如濕式蝕刻製程、乾式蝕刻製程、反應離子蝕刻製程、其他蝕刻製程或上述之組合。Subsequently, a second etching process is performed on the second etching stop layer 604b. With the second patterned mask layer 2202 just above the first passivation layer 308 and in the first opening 1402a, a second etching process is performed. The second etching process selectively etches the second etch stop layer 604 b according to the second patterned mask layer 2202 . Therefore, the second etch process removes the first portion of the second etch stop layer 604b. In some embodiments, the second etch process stops on a layer of the first IMD structure 119 disposed (directly) under the second etch stop layer 604b. In a further embodiment, the second etching process may be or include, for example, a wet etching process, a dry etching process, a reactive ion etching process, other etching processes, or a combination thereof.

然後,在第一金屬間介電質結構119上執行第三蝕刻製程。藉由第二圖案化遮罩層2202就位於第一鈍化層308上方以及第一開口1402a中,執行第三蝕刻製程。第三蝕刻製程依照第二圖案化遮罩層2202,選擇性蝕刻第一金屬間介電質結構119。因此,第三蝕刻製程移除第一金屬間介電質結構119的第三部分。在部分實施例中,第三蝕刻製程在第一蝕刻停止層604a上停止,使得第一蝕刻停止層604a的頂表面,定義第二開口1402b的底表面。在進一步的實施例中,第三蝕刻製程可以是或可包含例如濕式蝕刻製程、乾式蝕刻製程、反應離子蝕刻製程、其他蝕刻製程或上述之組合。隨後,在部分實施例中,第二圖案化遮罩層2202被剝離。Then, a third etching process is performed on the first IMD structure 119 . With the second patterned mask layer 2202 just above the first passivation layer 308 and in the first opening 1402a, a third etching process is performed. The third etching process selectively etches the first IMD structure 119 according to the second patterned mask layer 2202 . Therefore, the third etch process removes the third portion of the first IMD structure 119 . In some embodiments, the third etching process is stopped on the first etch stop layer 604a, so that the top surface of the first etch stop layer 604a defines the bottom surface of the second opening 1402b. In a further embodiment, the third etching process may be or include, for example, a wet etching process, a dry etching process, a reactive ion etching process, other etching processes, or a combination thereof. Subsequently, in some embodiments, the second patterned mask layer 2202 is peeled off.

圖24至圖27繪示圖18至圖23中的方法的部份其他實施例之一系列剖視圖。雖然是參考一方法來描述圖24至圖27,但應當理解,圖24至圖27所示之結構並未受限於該方法,可區隔於該方法獨立存在。24-27 show a series of cross-sectional views of some other embodiments of the method of FIGS. 18-23 . Although FIGS. 24-27 are described with reference to a method, it should be understood that the structures shown in FIGS. 24-27 are not limited to the method, and may exist independently of the method.

如圖24的剖視圖2400所示,第三圖案化遮罩層2402(例如正/負光阻、硬遮罩等等)形成於第一鈍化層308上方。第三圖案化遮罩層2402包含複數個開孔2404a至2404b(例如開口)。舉例而言,第三圖案化遮罩層2402包含第三開孔2404a(例如第一開口)以及第四開孔2404b(例如第二開口)。第三開孔2404a與第四開孔2404b橫向地分隔開。As shown in the cross-sectional view 2400 of FIG. 24 , a third patterned mask layer 2402 (eg, positive/negative photoresist, hard mask, etc.) is formed over the first passivation layer 308 . The third patterned mask layer 2402 includes a plurality of openings 2404 a to 2404 b (eg, openings). For example, the third patterned mask layer 2402 includes a third opening 2404a (such as a first opening) and a fourth opening 2404b (such as a second opening). The third opening 2404a is laterally spaced apart from the fourth opening 2404b.

在部分實施例中,複數個開孔2404a至2404b具有實質相似的涵蓋面積。在其他實施例中,複數個開孔2404a至2404b具有不同的涵蓋面積。舉例而言,如圖24的剖視圖2400所示,第三開孔2404a具有與第四開孔2404b不同的涵蓋面積。在部分實施例中,複數個開孔2404a至2404b分別至少部分地覆蓋複數個半導體裝置1502a至1502b。在其他實施例中,複數個開孔2404a至2404b可至少部分地覆蓋複數個半導體裝置1502a至1502b的其中之一(參見例如圖17)。In some embodiments, the plurality of openings 2404a-2404b have substantially similar footprints. In other embodiments, the plurality of openings 2404a-2404b have different coverage areas. For example, as shown in the cross-sectional view 2400 of FIG. 24 , the third opening 2404 a has a different coverage area than the fourth opening 2404 b. In some embodiments, the plurality of openings 2404a to 2404b at least partially cover the plurality of semiconductor devices 1502a to 1502b respectively. In other embodiments, the plurality of openings 2404 a - 2404 b may at least partially cover one of the plurality of semiconductor devices 1502 a - 1502 b (see eg FIG. 17 ).

複數個開孔2404a至2404b覆蓋部分的第一鈍化層308以及部分的第一金屬間介電質結構119。舉例而言,第三開孔2404a覆蓋第一鈍化層308的第一部分以及第一金屬間介電質結構119的第一部分;且第四開孔2404b覆蓋第一鈍化層308的第二部分以及第一金屬間介電質結構119的第二部分。內連接結構120的導電特徵分隔於第一鈍化層308的第一部分和第二部分以及第一金屬間介電質結構119的第一部分和第二部分。A plurality of openings 2404 a to 2404 b cover part of the first passivation layer 308 and part of the first IMD structure 119 . For example, the third opening 2404a covers the first portion of the first passivation layer 308 and the first portion of the first IMD structure 119; and the fourth opening 2404b covers the second portion of the first passivation layer 308 and the first A second portion of the IMD structure 119 . The conductive features of the interconnect structure 120 are separated from the first and second portions of the first passivation layer 308 and the first and second portions of the first IMD structure 119 .

在部分實施例中,形成第三圖案化遮罩層2402的製程包含在第一鈍化層308上,沉積遮罩層(未示於圖中)。可以藉由例如化學氣相沉積、物理氣相沉積、原子層沉積、旋轉塗佈製程、其他沉積製程或上述之組合,沉積遮罩層。隨後,將遮罩層曝於圖案(例如透過微影製程如光刻微影、極紫外光微影、或相似方法)並顯影,從而在第一鈍化層308上方,形成第三圖案化遮罩層2402。In some embodiments, the process of forming the third patterned mask layer 2402 includes depositing a mask layer (not shown in the figure) on the first passivation layer 308 . The masking layer can be deposited by, for example, chemical vapor deposition, physical vapor deposition, atomic layer deposition, spin-on-coating process, other deposition processes, or combinations thereof. Subsequently, the mask layer is exposed to a pattern (eg, through a lithography process such as photolithography, EUV lithography, or similar methods) and developed, thereby forming a third patterned mask over the first passivation layer 308. Layer 2402.

如圖25的剖視圖2500所示,第五開口2502形成於第一鈍化層308以及第一金屬間介電質結構119中。在部分實施例中,形成的第五開口2502具有實質垂直的側壁。在進一步的實施例中,形成第五開口2502,使得第二蝕刻停止層604b的第一頂表面,定義第五開口2502的底表面。在其他實施例中,形成第五開口2502,使得第一金屬間介電質結構119(或其他金屬間介電質結構)的第一頂表面,定義第五開口2502的底表面。As shown in the cross-sectional view 2500 of FIG. 25 , the fifth opening 2502 is formed in the first passivation layer 308 and the first IMD structure 119 . In some embodiments, the formed fifth opening 2502 has substantially vertical sidewalls. In a further embodiment, the fifth opening 2502 is formed such that the first top surface of the second etch stop layer 604 b defines the bottom surface of the fifth opening 2502 . In other embodiments, the fifth opening 2502 is formed such that the first top surface of the first IMD structure 119 (or other IMD structure) defines the bottom surface of the fifth opening 2502 .

亦如圖25的剖視圖2500所示,第六開口2504形成於第一鈍化層308以及第一金屬間介電質結構119中。在部分實施例中,形成的第六開口2504具有實質垂直的側壁。在進一步的實施例中,形成第六開口2504,使得第二蝕刻停止層604b的第二頂表面,定義第六開口2504的底表面。在其他實施例中,形成第六開口2504,使得第一金屬間介電質結構119(或其他金屬間介電質結構)的第二頂表面,定義第六開口2504的底表面。As also shown in the cross-sectional view 2500 of FIG. 25 , the sixth opening 2504 is formed in the first passivation layer 308 and the first IMD structure 119 . In some embodiments, the formed sixth opening 2504 has substantially vertical sidewalls. In a further embodiment, the sixth opening 2504 is formed such that the second top surface of the second etch stop layer 604 b defines the bottom surface of the sixth opening 2504 . In other embodiments, the sixth opening 2504 is formed such that the second top surface of the first IMD structure 119 (or other IMD structure) defines the bottom surface of the sixth opening 2504 .

在部分實施例中,形成第五開口2502以及第六開口2504的製程包含藉由第三圖案化遮罩層2402就位於第一鈍化層308上方,而在第一鈍化層308以及第一金屬間介電質結構119上,執行蝕刻製程。蝕刻製程依照第三圖案化遮罩層2402,選擇性蝕刻第一鈍化層308以及第一金屬間介電質結構119。因此,蝕刻製程移除第一鈍化層308的第一部分和第二部分以及移除第一金屬間介電質結構119的第一部分和第二部分,從而形成第五開口2502以及第六開口2504(例如透過同樣的蝕刻)。在部分實施例中,蝕刻製程在第二蝕刻停止層604b上停止。在進一步的實施例中,蝕刻製程可以是或可包含例如濕式蝕刻製程、乾式蝕刻製程、反應離子蝕刻製程、其他蝕刻製程或上述之組合。In some embodiments, the process of forming the fifth opening 2502 and the sixth opening 2504 includes using the third patterned mask layer 2402 on the first passivation layer 308, and between the first passivation layer 308 and the first metal On the dielectric structure 119, an etching process is performed. The etching process selectively etches the first passivation layer 308 and the first IMD structure 119 according to the third patterned mask layer 2402 . Therefore, the etching process removes the first portion and the second portion of the first passivation layer 308 and removes the first portion and the second portion of the first IMD structure 119, thereby forming the fifth opening 2502 and the sixth opening 2504 ( eg through the same etch). In some embodiments, the etching process stops on the second etch stop layer 604b. In further embodiments, the etching process may be or include, for example, a wet etching process, a dry etching process, a reactive ion etching process, other etching processes, or a combination thereof.

如圖26的剖視圖2600所示,第四圖案化遮罩層2602(例如正/負光阻、硬遮罩等等)形成於第一鈍化層308上方以及第五開口2502中。在部分實施例中,第四圖案化遮罩層2602形成於第三圖案化遮罩層2402上方。在其他實施例中,在第四圖案化遮罩層2602形成之前,剝離第三圖案化遮罩層2402。As shown in the cross-sectional view 2600 of FIG. 26 , a fourth patterned mask layer 2602 (eg, positive/negative photoresist, hard mask, etc.) is formed over the first passivation layer 308 and in the fifth opening 2502 . In some embodiments, the fourth patterned mask layer 2602 is formed on the third patterned mask layer 2402 . In other embodiments, the third patterned mask layer 2402 is stripped before the formation of the fourth patterned mask layer 2602 .

第四圖案化遮罩層2602包含第五開孔2604(例如第五開口)。在部分實施例中,第五開孔2604相對於第四開孔2404b橫移。在這些實施例中,如圖26的剖視圖2600所示,第四圖案化遮罩層2602部分地形成於第六開口2504內。第五開孔2604覆蓋第二蝕刻停止層604b的第二部分以及第一金屬間介電質結構119的第三部分。內連接結構120的導電特徵分隔於第二蝕刻停止層604b的第二部分以及第一金屬間介電質結構119的第三部分。The fourth patterned mask layer 2602 includes fifth openings 2604 (eg fifth openings). In some embodiments, the fifth opening 2604 is laterally displaced relative to the fourth opening 2404b. In these embodiments, as shown in the cross-sectional view 2600 of FIG. 26 , the fourth patterned mask layer 2602 is partially formed within the sixth opening 2504 . The fifth opening 2604 covers the second portion of the second etch stop layer 604 b and the third portion of the first IMD structure 119 . The conductive features of the interconnect structure 120 are separated from the second portion of the second etch stop layer 604 b and the third portion of the first IMD structure 119 .

在部分實施例中,形成第四圖案化遮罩層2602的製程包含在第一鈍化層308上方、第五開口2502以及第六開口2504中,沉積遮罩層(未示於圖中)。在進一步的實施例中,遮罩層也沉積於第三圖案化遮罩層2402上方。可以藉由例如化學氣相沉積、物理氣相沉積、原子層沉積、旋轉塗佈製程、其他沉積製程或上述之組合,沉積遮罩層。隨後,將遮罩層曝於圖案(例如透過微影製程如光刻微影、極紫外光微影、或相似方法)並顯影,從而在第一鈍化層308(以及第三圖案化遮罩層2402)上方、第五開口2502以及部分的第六開口2504中,形成第四圖案化遮罩層2602。In some embodiments, the process of forming the fourth patterned mask layer 2602 includes depositing a mask layer (not shown in the figure) above the first passivation layer 308 and in the fifth opening 2502 and the sixth opening 2504 . In a further embodiment, a mask layer is also deposited over the third patterned mask layer 2402 . The masking layer can be deposited by, for example, chemical vapor deposition, physical vapor deposition, atomic layer deposition, spin-on-coating process, other deposition processes, or combinations thereof. Subsequently, the mask layer is exposed to a pattern (for example, by a lithography process such as photolithography, extreme ultraviolet light lithography, or similar methods) and developed, so that the first passivation layer 308 (and the third patterned mask layer 2402 ), in the fifth opening 2502 and part of the sixth opening 2504 , a fourth patterned mask layer 2602 is formed.

如圖27的剖視圖2700所示,第七開口2702形成於第一鈍化層308以及第一金屬間介電質結構119中。藉由延伸第六開口2504的至少一部分的深度,形成第七開口2702。在部分實施例中,形成第七開口2702,使得第一蝕刻停止層604a的頂表面,定義第七開口2702的最底表面。在其他實施例中,形成第七開口2702,使得第一金屬間介電質結構119(或其他金屬間介電質結構)的第三頂表面,定義第七開口2702的最底表面。As shown in the cross-sectional view 2700 of FIG. 27 , the seventh opening 2702 is formed in the first passivation layer 308 and the first IMD structure 119 . The seventh opening 2702 is formed by extending at least a portion of the depth of the sixth opening 2504 . In some embodiments, the seventh opening 2702 is formed such that the top surface of the first etch stop layer 604 a defines the bottommost surface of the seventh opening 2702 . In other embodiments, the seventh opening 2702 is formed such that the third top surface of the first IMD structure 119 (or other IMD structure) defines the bottommost surface of the seventh opening 2702 .

在部分實施例中,形成的第七開口2702具有框架2704。框架2704包含第一金屬間介電質結構119的一部分(以及第二蝕刻停止層604b的一部分),此部分橫向地設置於第六開口2504的相對側壁之間(參見例如圖25)。更具體而言,框架2704包含第一金屬間介電質結構119的一部分(以及第二蝕刻停止層604b的一部分),其中第六開口2504以及第四圖案化遮罩層2602覆蓋在此部分上方(參見例如圖26)。在部分實施例中,框架2704的頂表面藉由第二蝕刻停止層604b的第三頂表面定義。在其他實施例中,框架2704的頂表面藉由第一金屬間介電質結構119(或其他金屬間介電質結構)的第四頂表面定義。在部分實施例中,框架2704的頂表面可覆蓋內連接結構120的一或多個導電特徵,這些導電特徵垂直設置於框架2704的頂表面以及第七開口2702的最底表面之間。In some embodiments, the seventh opening 2702 is formed with a frame 2704 . The frame 2704 includes a portion of the first IMD structure 119 (and a portion of the second etch stop layer 604b ) disposed laterally between opposing sidewalls of the sixth opening 2504 (see eg FIG. 25 ). More specifically, the frame 2704 includes a portion of the first IMD structure 119 (and a portion of the second etch stop layer 604b), with the sixth opening 2504 and the fourth patterned mask layer 2602 overlying the portion (see eg Figure 26). In some embodiments, the top surface of the frame 2704 is defined by the third top surface of the second etch stop layer 604b. In other embodiments, the top surface of the frame 2704 is defined by the fourth top surface of the first IMD structure 119 (or other IMD structure). In some embodiments, the top surface of the frame 2704 may cover one or more conductive features of the interconnection structure 120 vertically disposed between the top surface of the frame 2704 and the bottommost surface of the seventh opening 2702 .

在部分實施例中,形成第七開口2702的製程包含在第二蝕刻停止層604b上,執行第一蝕刻製程。藉由第四圖案化遮罩層2602(以及第三圖案化遮罩層2402)就位於在第一鈍化層308上方、第五開口2502以及部分的第六開口2504中,執行第一蝕刻製程。第一蝕刻製程依照第四圖案化遮罩層2602(以及第三圖案化遮罩層2402),選擇性蝕刻第二蝕刻停止層604b。因此,第一蝕刻製程移除第二蝕刻停止層604b的第二部分。在部分實施例中,第一蝕刻製程在第一金屬間介電質結構119的其中一層上停止,第一金屬間介電質結構119的其中該一層(例如直接)設置於第二蝕刻停止層604b下方。在進一步的實施例中,第一蝕刻製程可以是或可包含例如濕式蝕刻製程、乾式蝕刻製程、反應離子蝕刻製程、其他蝕刻製程或上述之組合。In some embodiments, the process of forming the seventh opening 2702 includes performing a first etching process on the second etch stop layer 604b. With the fourth patterned mask layer 2602 (and the third patterned mask layer 2402 ) located just above the first passivation layer 308 , in the fifth opening 2502 and part of the sixth opening 2504 , a first etching process is performed. The first etching process selectively etches the second etch stop layer 604 b according to the fourth patterned mask layer 2602 (and the third patterned mask layer 2402 ). Thus, the first etch process removes the second portion of the second etch stop layer 604b. In some embodiments, the first etching process is stopped on one layer of the first IMD structure 119, and the one layer of the first IMD structure 119 is (for example, directly) disposed on the second etch stop layer. 604b below. In a further embodiment, the first etching process may be or include, for example, a wet etching process, a dry etching process, a reactive ion etching process, other etching processes, or a combination thereof.

然後,在第一金屬間介電質結構119上,執行第二蝕刻製程。藉由第四圖案化遮罩層2602(以及第三圖案化遮罩層2402)就位於第一鈍化層308上方、第五開口2502以及部分的第六開口2504中,執行第二蝕刻製程。第二蝕刻製程依照第四圖案化遮罩層2602(以及第三圖案化遮罩層2402),選擇性蝕刻第一金屬間介電質結構119。因此,第二蝕刻製程移除第一金屬間介電質結構119的第三部分。在部分實施例中,第二蝕刻製程在第一蝕刻停止層604a上停止,使得第一蝕刻停止層604a的頂表面,定義第七開口2702的最底表面。在進一步的實施例中,第二蝕刻製程可以是或可包含例如濕式蝕刻製程、乾式蝕刻製程、反應離子蝕刻製程、其他蝕刻製程或上述之組合。隨後,在部分實施例中,第四圖案化遮罩層2602(以及第三圖案化遮罩曾2402)被剝離。Then, a second etching process is performed on the first IMD structure 119 . With the fourth patterned mask layer 2602 (and the third patterned mask layer 2402 ) located just above the first passivation layer 308 , in the fifth opening 2502 and part of the sixth opening 2504 , a second etching process is performed. The second etching process selectively etches the first IMD structure 119 according to the fourth patterned mask layer 2602 (and the third patterned mask layer 2402 ). Therefore, the second etching process removes the third portion of the first IMD structure 119 . In some embodiments, the second etching process is stopped on the first etch stop layer 604 a such that the top surface of the first etch stop layer 604 a defines the bottommost surface of the seventh opening 2702 . In a further embodiment, the second etching process may be or include, for example, a wet etching process, a dry etching process, a reactive ion etching process, other etching processes, or a combination thereof. Subsequently, in some embodiments, the fourth patterned mask layer 2602 (and the third patterned mask layer 2402) is stripped.

藉著上述之方法形成第七開口2702,複數個半導體裝置熱能1502a至1502b所產生之熱能可以更有效地逸散。舉例而言,藉由形成第六開口2504然後延伸第六開口2504的深度,以形成可具有更大的總高度(例如總深度)的第七開口2702。因此,第七開口2702的最底表面可設置得更接近第四半導體裝置1502b,從而改善第四半導體裝置1502b所產生的熱能從第四半導體裝置1502b逸散的速率。By forming the seventh opening 2702 in the above method, the heat generated by the plurality of semiconductor devices 1502a to 1502b can be dissipated more effectively. For example, by forming sixth opening 2504 and then extending the depth of sixth opening 2504 to form seventh opening 2702 which may have a greater overall height (eg, overall depth). Therefore, the bottommost surface of the seventh opening 2702 can be disposed closer to the fourth semiconductor device 1502b, thereby improving the rate at which heat energy generated by the fourth semiconductor device 1502b can escape from the fourth semiconductor device 1502b.

圖28繪示形成積體晶片的方法的部分實施例之流程圖2800,積體晶片包含設置於金屬間介電質結構中的一開口。應當理解到,圖28的流程圖2800在此繪示的一系列步驟或情況,並不對這些步驟或情況的解釋造成限制。舉例而言,部分步驟與這裡所繪示以及/或描述之外的步驟或情況,可以不同的順序以及/或同時發生。此外,並非所有繪示之步驟都需要在此處例舉一個或多個態樣或實施例,且此處描述的一個或多個步驟可以在一個或多個單獨的步驟以及/或階段中執行。FIG. 28 illustrates a flowchart 2800 of some embodiments of a method of forming an integrated wafer including an opening disposed in an IMD structure. It should be understood that the flow chart 2800 of FIG. 28 depicts a series of steps or situations, but does not limit the interpretation of these steps or situations. For example, some steps may occur in a different order and/or concurrently than those shown and/or described herein. In addition, not all illustrated steps need to illustrate one or more aspects or embodiments herein, and one or more steps described herein may be performed in one or more separate steps and/or stages .

在步驟2802中,接收包含基板的工作件,其中半導體裝置設置於基板上,其中金屬間介電質結構設置於基板以及半導體裝置上方,且其中複數個導電特徵設置於金屬間介電質結構中以及基板上方。圖18繪示對應步驟2802的部分實施例的剖視圖1800。In step 2802, a workpiece comprising a substrate is received, wherein a semiconductor device is disposed on the substrate, wherein an IMD structure is disposed above the substrate and the semiconductor device, and wherein a plurality of conductive features are disposed in the IMD structure and above the substrate. FIG. 18 illustrates a cross-sectional view 1800 of some embodiments corresponding to step 2802 .

在步驟2804中,鈍化層形成於金屬間介電質結構以及複數個導電特徵上方。圖19繪示對應步驟2804的部分實施例的剖視圖1900。In step 2804, a passivation layer is formed over the IMD structure and the plurality of conductive features. FIG. 19 illustrates a cross-sectional view 1900 of some embodiments corresponding to step 2804 .

在步驟2806中,開口形成於鈍化層以及金屬間介電質結構中,其中開口覆蓋半導體裝置的至少一部分。圖20至圖23繪示對應步驟2806的部分實施例的一系列剖視圖2000至2300。圖24至圖27繪示對應步驟2806的部分實施例的一系列剖視圖2400至2700。In step 2806, an opening is formed in the passivation layer and the IMD structure, wherein the opening covers at least a portion of the semiconductor device. 20-23 illustrate a series of cross-sectional views 2000-2300 of some embodiments corresponding to step 2806. 24-27 illustrate a series of cross-sectional views 2400-2700 of some embodiments corresponding to step 2806.

在部分實施例中,積體電路可包含設置於基板的前側表面上的高壓電晶體。高壓電晶體包含源極區和汲極區,藉由閘極結構將源極區和汲極區彼此分隔開,且高壓電晶體可具有大於大約20伏特的崩潰電壓。積體電路包含設置於基板的背側表面的電極,配置此電極可藉著操控高壓電晶體在基板中產生的電場(例如降低峰值電場),以增強高電壓電晶體的性能。電極位於高壓電晶體正下方,並藉由絕緣層與基板的背側表面分隔開。藉著操控高壓電晶體產生的電場,可以增加高壓電晶體的崩潰電壓的絕對值。舉例而言,電極可以接地或維持在合適的偏壓下,以改善相關於高壓電晶體的崩潰電壓的表現。此外,可橫跨電極的整個底表面,設置背側介電質結構,其中背側介電質結構位於高壓電晶體裝置的正下方。背側介電質結構可為背側結構(例如輸入/輸出結構)的一部分,且可被配置來隔離電極與設置在基板背側表面上的其他裝置以及/或結構。In some embodiments, the integrated circuit may include a high voltage transistor disposed on the front surface of the substrate. The high voltage transistor includes a source region and a drain region separated from each other by a gate structure, and the high voltage transistor may have a breakdown voltage greater than about 20 volts. The integrated circuit includes electrodes disposed on the backside surface of the substrate. The electrodes can be configured to enhance the performance of the high voltage transistor by manipulating the electric field generated by the high voltage transistor in the substrate (eg, reducing the peak electric field). The electrode is located directly under the high voltage transistor and separated from the backside surface of the substrate by an insulating layer. By manipulating the electric field generated by the high voltage transistor, the absolute value of the breakdown voltage of the high voltage transistor can be increased. For example, the electrodes can be grounded or maintained at a suitable bias to improve performance with respect to the breakdown voltage of the high voltage transistor. In addition, a backside dielectric structure can be provided across the entire bottom surface of the electrode, wherein the backside dielectric structure is located directly below the high voltage transistor device. The backside dielectric structure may be part of a backside structure, such as an input/output structure, and may be configured to isolate electrodes from other devices and/or structures disposed on the backside surface of the substrate.

在高壓電晶體操作期間,可以在高壓電晶體的摻雜區(例如源極區、汲極區、井區等等)中/周圍的基板內累積高熱。當高壓電晶體的操作電壓增加,熱將隨之增加,並且可造成層與層之間的漏電流以及/或脫層。背側介電質結構以及其他結構/層設置於電極的底表面上,且由抑制熱能輕易地逸散的材料(例如介電質材料)製成,此材料抑制高壓電晶體產生的熱能從積體電路逸散。因此,由於背側介電質結構位於高壓電晶體的正下方,且橫跨整個電極的底表面設置,積體電路可具有不佳的散熱性能(例如高壓電晶體所產生之熱能的低逸散)。這可降低積體電路的性能以及/或可造成高壓電晶體的損壞/崩潰(例如由熱失控導致)。During operation of the high voltage transistor, high heat may build up in the substrate in/around the doped regions (eg, source region, drain region, well region, etc.) of the high voltage transistor. As the operating voltage of the high voltage transistor increases, the heat will increase and may cause leakage current between layers and/or delamination. The backside dielectric structure and other structures/layers are placed on the bottom surface of the electrode and are made of materials that inhibit the easy dissipation of thermal energy (such as dielectric materials), which inhibit the thermal energy generated by the high voltage transistor from IC fugitive. Therefore, since the backside dielectric structure is located directly below the high voltage transistor and is disposed across the entire bottom surface of the electrode, the integrated circuit may have poor heat dissipation performance (such as low heat energy generated by the high voltage transistor). escape). This can degrade the performance of the integrated circuit and/or can cause damage/collapse of the high voltage transistor (eg, caused by thermal runaway).

因此,本揭露的各種實施例提供了一積體電路,具有改善散熱性能的高壓電晶體。高壓電晶體設置於基板的前側表面上。電極設置於高壓電晶體的下方,且藉由絕緣層分隔於基板的背側表面。此外,背側介電質結構設置於電極下。背側介電質結構具有定義開口的側壁(例如,背側介電質結構中的孔隙),開口位於高壓電晶體的正下方且曝露電極的底表面的至少一部分。因為開口位於高壓電晶體的至少一部分的下方,所以高壓電晶體產生之熱能可更有效率地從高壓電晶體逸散(例如藉著電極以及高壓電晶體下較少的介電質材料,熱能得以更有效地從高壓電晶體逸散至大氣)。在某種程度上,這會增加積體電路的散熱性能,且降低高壓電晶體的損壞/崩潰。Accordingly, various embodiments of the present disclosure provide an integrated circuit with high voltage transistors for improved heat dissipation. The high voltage transistor is disposed on the front surface of the substrate. The electrodes are arranged under the high voltage transistor and separated from the backside surface of the substrate by an insulating layer. In addition, the backside dielectric structure is disposed under the electrodes. The backside dielectric structure has sidewalls defining an opening (eg, an aperture in the backside dielectric structure) directly beneath the high voltage transistor and exposing at least a portion of the bottom surface of the electrode. Because the opening is located below at least a portion of the high voltage transistor, heat generated by the high voltage transistor can be more efficiently dissipated from the high voltage transistor (e.g., by the electrodes and less dielectric under the high voltage transistor material, heat energy can escape from the high voltage transistor to the atmosphere more efficiently). To some extent, this will increase the heat dissipation performance of the integrated circuit and reduce the damage/breakdown of the high voltage transistor.

圖29A 繪示積體電路的部分實施例之剖視圖2900a,積體電路包含一開口2905,設置於第二半導體裝置1006下方的背側介電質結構2908中。FIG. 29A shows a cross-sectional view 2900a of a partial embodiment of an integrated circuit including an opening 2905 disposed in a backside dielectric structure 2908 beneath the second semiconductor device 1006 .

如圖29的剖視圖2900所示,積體電路包含基板102,具有前側表面102f以及相對的背側表面102b。在部分實施例中,基板102可以是或可包括矽、塊材矽、絕緣體上矽基板、其他合適的半導體材料或相似物。絕緣層2904以及導電層2906設置於基板102的背側表面102b上。絕緣層2904將導電層2906與基板102分隔開。背側介電質結構2908設置於導電層2906上。在各種實施例中,導電層2906包括金屬例如銅、鋁、鎢、金、銀、鉑、其他金屬材料或上述之任意組合。在部分實施例中,絕緣層2904以及背側介電質結構2908包括氧化物(例如二氧化矽)、氮化矽、氮氧化矽、未摻雜之矽酸鹽玻璃、摻雜之二氧化矽、硼矽酸鹽玻璃、其他介電質材料或上述之任意組合。在各種實施例中,背側介電質結構2908為單一連續層或包含兩個或多個介電層。As shown in cross-sectional view 2900 of FIG. 29, the integrated circuit includes a substrate 102 having a front side surface 102f and an opposite back side surface 102b. In some embodiments, the substrate 102 may be or include silicon, bulk silicon, a silicon-on-insulator substrate, other suitable semiconductor materials, or the like. The insulating layer 2904 and the conductive layer 2906 are disposed on the backside surface 102 b of the substrate 102 . The insulating layer 2904 separates the conductive layer 2906 from the substrate 102 . A backside dielectric structure 2908 is disposed on the conductive layer 2906 . In various embodiments, the conductive layer 2906 includes a metal such as copper, aluminum, tungsten, gold, silver, platinum, other metallic materials, or any combination thereof. In some embodiments, the insulating layer 2904 and the backside dielectric structure 2908 include oxide (such as silicon dioxide), silicon nitride, silicon oxynitride, undoped silicate glass, doped silicon dioxide , borosilicate glass, other dielectric materials or any combination of the above. In various embodiments, backside dielectric structure 2908 is a single continuous layer or includes two or more dielectric layers.

第一隔離結構806由基板102的前側表面102f延伸至前側表面102f下方的一個點。第一隔離結構806可被配置為淺溝槽隔離結構。此外,第三隔離結構1020由基板102的前側表面102f延伸至絕緣層2904。第三隔離結構1020可被配置為深溝槽隔離結構。在各種實施例中,第一隔離結構806以及第三隔離結構1020可以是或可包含例如氮化矽、碳化矽、氮氧化矽、二氧化矽、其他介電質材料或上述之任意組合。此外,第二半導體裝置1006設置於基板102的前側表面102f上/上方。第一隔離結構806以及第三隔離結構1020分別橫向地包圍第二半導體裝置1006,且被配置用以將第二半導體裝置1006與設置於基板102上/內的其他裝置電性隔離。在更進一步的實施例中,一或多個基板通孔(through substrate vias;TSVs)(參見例如圖30A至圖30C)設置於第三隔離結構1020內,並且電性耦合至導電層2906。The first isolation structure 806 extends from the front surface 102f of the substrate 102 to a point below the front surface 102f. The first isolation structure 806 may be configured as a shallow trench isolation structure. In addition, the third isolation structure 1020 extends from the front surface 102f of the substrate 102 to the insulating layer 2904 . The third isolation structure 1020 may be configured as a deep trench isolation structure. In various embodiments, the first isolation structure 806 and the third isolation structure 1020 may be or include, for example, silicon nitride, silicon carbide, silicon oxynitride, silicon dioxide, other dielectric materials, or any combination thereof. In addition, the second semiconductor device 1006 is disposed on/over the front surface 102 f of the substrate 102 . The first isolation structure 806 and the third isolation structure 1020 respectively laterally surround the second semiconductor device 1006 and are configured to electrically isolate the second semiconductor device 1006 from other devices disposed on/in the substrate 102 . In a further embodiment, one or more through substrate vias (TSVs) (see, eg, FIGS. 30A-30C ) are disposed in the third isolation structure 1020 and electrically coupled to the conductive layer 2906 .

在部分實施例中,第二半導體裝置1006包含設置於前側表面102f上的閘極結構2910、汲極區1008、源極區1010、基體接觸區1012、第三井區1002以及第四井區1004。閘極結構2910包含位於基板102上方的閘極電極1016以及設置於閘極電極1016以及基板102之間的閘極介電質1014。汲極區1008藉由閘極結構2910以及第二隔離結構1018橫向分隔於與源極區1010。在部分實施例中,第二隔離結構1018是第一隔離結構806的一個分段。此外,基體接觸區1012以及源極區1010設置於第三井區1002內,且汲極區1008設置於第四井區1004內。In some embodiments, the second semiconductor device 1006 includes a gate structure 2910 disposed on the front surface 102f, a drain region 1008, a source region 1010, a body contact region 1012, a third well region 1002 and a fourth well region 1004 . The gate structure 2910 includes a gate electrode 1016 over the substrate 102 and a gate dielectric 1014 disposed between the gate electrode 1016 and the substrate 102 . The drain region 1008 is laterally separated from the source region 1010 by the gate structure 2910 and the second isolation structure 1018 . In some embodiments, the second isolation structure 1018 is a segment of the first isolation structure 806 . In addition, the body contact region 1012 and the source region 1010 are disposed in the third well region 1002 , and the drain region 1008 is disposed in the fourth well region 1004 .

當接收到偏壓時,閘極電極1016配置用以產生電場,此電場控制第三井區1002內的電荷載子,在源極區1010以及汲極區1008之間的移動。舉例而言,在操作時,可選擇性地對閘極電極1016相對於源極區1010施加閘極至源極電壓,從而在第三井區1002中且橫向地在源極區1010以及汲極區1008之間形成導電通道。在部分實施例中,第三井區1002以及基體接觸區1012分別包含第一摻雜型態(例如p型),且第四井區1004、源極區1010以及汲極區1008分別包含與第一摻雜型態相反的第二摻雜型態(例如n型)。第一摻雜型態為p型摻雜,第二摻雜型態為n型摻雜,或者反之亦然。在部分實施例中,第二半導體裝置1006被配置為高壓電晶體,例如橫向擴散金屬氧化物半導體裝置。在更進一步的實施例中,第二半導體裝置1006可以是任何類型的金屬氧化物半導體場效電晶體、雙極性接面電晶體(bipolar junction transistor ;BJT)、其他合適之半導體裝置或相似物。When biased, the gate electrode 1016 is configured to generate an electric field that controls the movement of charge carriers within the third well region 1002 between the source region 1010 and the drain region 1008 . For example, in operation, a gate-to-source voltage may be selectively applied to the gate electrode 1016 relative to the source region 1010 such that in the third well region 1002 and laterally between the source region 1010 and the drain Conductive pathways are formed between regions 1008 . In some embodiments, the third well region 1002 and the base contact region 1012 respectively contain the first doping type (for example, p-type), and the fourth well region 1004, the source region 1010 and the drain region 1008 respectively contain the same doping type as the first doping type. A second doping type opposite to the first doping type (eg, n-type). The first doping type is p-type doping, the second doping type is n-type doping, or vice versa. In some embodiments, the second semiconductor device 1006 is configured as a high voltage transistor, such as a laterally diffused metal oxide semiconductor device. In further embodiments, the second semiconductor device 1006 may be any type of MOSFET, bipolar junction transistor (BJT), other suitable semiconductor devices, or the like.

位在第二半導體裝置1006正下方的至少一部分的導電層2906可被稱為電極,並被配置來操控閘極電極1016產生之電場(例如降低峰值電場)。在某種程度上,這會增加第二半導體裝置1006的崩潰電壓的絕對值,從而提升積體電路的整體性能。舉例而言,在操作期間,導電層2906可操作在合適的電壓而具有偏壓,以改善第二半導體裝置1006的崩潰電壓的性能。除此之外,導電層2906包含側壁,這些側壁定義設置於第二半導體裝置1006正下方的一或多個間隙。在各種實施例中,每一個間隙具有一個形狀,此形狀對應於第二半導體裝置1006的覆蓋結構的形狀,例如第三井區1002、第四井區1004、閘極結構2910、源極區1010以及/或汲極區1008的形狀。具有含上述形狀和佈局的間隙的導電層2906,可改善基板102中電場的均勻性(例如進一步降低峰值電場),從而進一步改善第二半導體裝置1006的崩潰電壓的性能。以非導電材料例如第一介電質結構2903,填充設置於導電層2906中的間隙。在部分實施例中,第一介電質結構2903可以包含與絕緣層2904或背側介電質結構2908相同的材料。在更進一步的實施例中,第一介電質結構2903為空氣以及/或被省略,如此一來,導電層2906中的間隙為一開口,此開口曝露絕緣層2904的底表面的至少一部分。At least a portion of the conductive layer 2906 directly below the second semiconductor device 1006 may be referred to as an electrode and configured to manipulate the electric field generated by the gate electrode 1016 (eg, reduce the peak electric field). To some extent, this will increase the absolute value of the breakdown voltage of the second semiconductor device 1006, thereby improving the overall performance of the integrated circuit. For example, during operation, the conductive layer 2906 can be biased at a suitable voltage to improve the breakdown voltage performance of the second semiconductor device 1006 . In addition, the conductive layer 2906 includes sidewalls that define one or more gaps disposed directly under the second semiconductor device 1006 . In various embodiments, each gap has a shape corresponding to the shape of the capping structures of the second semiconductor device 1006, such as the third well region 1002, the fourth well region 1004, the gate structure 2910, the source region 1010 And/or the shape of the drain region 1008 . Having the conductive layer 2906 with gaps of the above shape and layout can improve the uniformity of the electric field in the substrate 102 (eg further reduce the peak electric field), thereby further improving the performance of the breakdown voltage of the second semiconductor device 1006 . The gap disposed in the conductive layer 2906 is filled with a non-conductive material such as the first dielectric structure 2903 . In some embodiments, the first dielectric structure 2903 may include the same material as the insulating layer 2904 or the backside dielectric structure 2908 . In a further embodiment, the first dielectric structure 2903 is air and/or omitted such that the gap in the conductive layer 2906 is an opening exposing at least a portion of the bottom surface of the insulating layer 2904 .

內連接結構120設置於基板102的前側表面102f上。在部分實施例中,內連接結構120包含層間介電質結構118、第一金屬間介電質結構119、複數個導電接觸122、複數個導電線124(例如複數個導線)以及複數個導電通孔126。層間介電質結構118覆蓋基板102的前側表面102f以及第二半導體裝置1006。第一金屬間介電質結構119覆蓋層間介電質結構118。導電接觸122設置於層間介電質結構118內,並且電性耦合至第二半導體裝置1006。此外,導電線124以及導電通孔126設置於第一金屬間介電質結構119內,並且電性耦合至複數個導電接觸122。層間介電質結構118以及第一金屬間介電質結構119可以是或可包括例如低k介電材料(例如介電常數小於大約3.9的介電材料)、氧化物(例如二氧化矽)、氮化矽、碳化矽、未摻雜之矽酸鹽玻璃、摻雜之二氧化矽、其他合適的介電材料或上述之任意組合。The interconnection structure 120 is disposed on the front surface 102 f of the substrate 102 . In some embodiments, the interconnection structure 120 includes an interlayer dielectric structure 118, a first intermetal dielectric structure 119, a plurality of conductive contacts 122, a plurality of conductive lines 124 (such as a plurality of wires), and a plurality of conductive vias. Hole 126. The ILD structure 118 covers the front surface 102f of the substrate 102 and the second semiconductor device 1006 . The first IMD structure 119 covers the ILD structure 118 . The conductive contact 122 is disposed within the ILD structure 118 and is electrically coupled to the second semiconductor device 1006 . In addition, the conductive lines 124 and the conductive vias 126 are disposed in the first IMD structure 119 and electrically coupled to the plurality of conductive contacts 122 . The ILD structure 118 and the first IMD structure 119 may be or include, for example, a low-k dielectric material (eg, a dielectric material with a dielectric constant less than about 3.9), an oxide (eg, silicon dioxide), Silicon nitride, silicon carbide, undoped silicate glass, doped silicon dioxide, other suitable dielectric materials or any combination thereof.

背側介電質結構2908包含相對的側壁2908s 1、2908s 2,側壁2908s 1、2908s 2至少部分地定義了設置於背側介電質結構2908內的開口2905。在各種實施例中,開口2905的頂表面由導電層2906的底表面的至少一部分以及第一介電質結構2903的底表面定義。開口2905位於第二半導體裝置1006的下方,如此一來,第二半導體裝置1006與背側介電質結構2908的側壁2908s 1和側壁2908s 2橫向地分隔開。因為開口2905位於第二半導體裝置1006的下方,所以第二半導體裝置1006產生之熱能(例如在第二半導體裝置1006操作期間)可更有效率地從第二半導體裝置1006逸散。舉例而言,藉著導電層2906以及第二半導體裝置1006下方具有較少的材料(例如較少介電質材料),熱得以更有效地從第二半導體裝置1006逸散至大氣。這增加了積體電路的散熱性能,且降低第二半導體裝置1006的損壞以及/或崩潰。 The backside dielectric structure 2908 includes opposing sidewalls 2908s 1 , 2908s 2 that at least partially define an opening 2905 disposed within the backside dielectric structure 2908 . In various embodiments, the top surface of opening 2905 is defined by at least a portion of the bottom surface of conductive layer 2906 and the bottom surface of first dielectric structure 2903 . The opening 2905 is located below the second semiconductor device 1006 such that the second semiconductor device 1006 is laterally separated from the sidewalls 2908s 1 and 2908s 2 of the backside dielectric structure 2908 . Because the opening 2905 is located below the second semiconductor device 1006 , thermal energy generated by the second semiconductor device 1006 (eg, during operation of the second semiconductor device 1006 ) can escape from the second semiconductor device 1006 more efficiently. For example, by having less material (eg, less dielectric material) beneath the conductive layer 2906 and the second semiconductor device 1006, heat is more efficiently dissipated from the second semiconductor device 1006 to the atmosphere. This increases the heat dissipation performance of the integrated circuit and reduces damage and/or breakdown of the second semiconductor device 1006 .

圖29B繪示圖29A的積體電路沿著A-A’線所擷取之平面圖2900b。為了方便繪示,在圖29B的平面圖2900b中省略導電層2906、第一介電質結構2903、絕緣層2904、部分的基板102、第三井區1002以及第四井區1004。FIG. 29B shows a plan view 2900b of the integrated circuit of FIG. 29A taken along line A-A'. For convenience of illustration, the conductive layer 2906 , the first dielectric structure 2903 , the insulating layer 2904 , part of the substrate 102 , the third well region 1002 and the fourth well region 1004 are omitted in the plan view 2900 b of FIG. 29B .

如圖29B之平面圖2900b所示,背側介電質結構2908具有內部周邊2908ip,此內部周邊2908ip定義開口2905的周邊。在各種實施例中,由背側介電質結構2908的四個側壁定義開口2905的周邊。在部分實施例中,開口2905的周邊具有矩形、正方形、圓形、橢圓形、或其他幾何形狀。開口2905的周邊以一封閉路徑,橫向地包圍第二半導體裝置1006。舉例而言,閘極結構2910、基體接觸區1012、源極區1010以及汲極區1008橫向地設置於開口2905的周邊內。因為第二半導體裝置1006橫向地設置於開口2905的周邊內,所以第二半導體裝置1006產生之熱能可以更有效率地從第二半導體裝置1006逸散。As shown in plan view 2900b of FIG. 29B , backside dielectric structure 2908 has an inner perimeter 2908ip that defines the perimeter of opening 2905 . In various embodiments, the perimeter of the opening 2905 is defined by the four sidewalls of the backside dielectric structure 2908 . In some embodiments, the perimeter of the opening 2905 has a rectangular, square, circular, oval, or other geometric shape. The periphery of the opening 2905 laterally surrounds the second semiconductor device 1006 in a closed path. For example, gate structure 2910 , body contact region 1012 , source region 1010 and drain region 1008 are disposed laterally within the perimeter of opening 2905 . Since the second semiconductor device 1006 is laterally disposed within the periphery of the opening 2905 , heat energy generated by the second semiconductor device 1006 can be more efficiently dissipated from the second semiconductor device 1006 .

圖29C繪示導電層2906的平面圖2900c,導電層2906面對圖29A的積體電路的基板102的背側表面102b。圖29C的平面圖2900c是沿著圖29A的A-A’線所擷取,且為了方便繪示,圖29C的平面圖2900c省略第一介電質結構2903、絕緣層2904、部分的基板102、第三井區1002以及第四井區1004。29C shows a plan view 2900c of a conductive layer 2906 facing the backside surface 102b of the substrate 102 of the integrated circuit of FIG. 29A. The plan view 2900c of FIG. 29C is taken along the line AA' of FIG. 29A, and for the convenience of illustration, the plan view 2900c of FIG. 29C omits the first dielectric structure 2903, the insulating layer 2904, part of the substrate 102, the Mitsui district 1002 and fourth well district 1004.

如圖29C之平面圖2900c所示,導電層2906具有內部周邊2906ip,此內部周邊2906ip定義導電層2906中的間隙的周邊。在更進一步的實施例中,間隙的周邊與第一介電質結構(圖29A中的2903)的周邊相等。此外,導電層2906中的間隙的形狀對應源極區1010的形狀,其中間隙位於源極區1010正下方(參見例如圖29A)。As shown in plan view 2900c of FIG. 29C , conductive layer 2906 has an inner perimeter 2906ip that defines the perimeter of a gap in conductive layer 2906 . In a still further embodiment, the perimeter of the gap is equal to the perimeter of the first dielectric structure (2903 in Figure 29A). Furthermore, the shape of the gap in conductive layer 2906 corresponds to the shape of source region 1010 , where the gap is located directly below source region 1010 (see, eg, FIG. 29A ).

圖30A繪示圖29A至圖29C的積體電路的替代實施例之剖視圖3000a,其中第一複數個基板通孔3002橫向地包圍第二半導體裝置1006,且背側結構3001設置於基板102的背側表面102b上。30A shows a cross-sectional view 3000a of an alternative embodiment of the integrated circuit of FIGS. 29A to 29C , wherein a first plurality of through substrate vias 3002 laterally surround a second semiconductor device 1006, and a backside structure 3001 is disposed on the backside of the substrate 102. on the side surface 102b.

如圖30A的剖視圖3000a所示,在各種實施例中,導電層2906電性耦合至第一複數個基板通孔3002。第一複數個基板通孔3002通過第三隔離結構1020,延伸且橫向包圍第二半導體裝置1006。背側結構3001包含背側介電質結構2908、第二複數個基板通孔3004以及複數個接觸墊3012。在部分實施例中,背側介電質結構2908包含第一介電層3007、第二介電層3008以及第三鈍化層3010。第二複數個基板通孔3004中的至少一個基板通孔經由導電接觸122、導電線124以及導電通孔126的路徑,電性耦合至第一複數個基板通孔3002。此外,第二複數個基板通孔3004電性耦合至複數個接觸墊3012。第二複數個基板通孔3004藉由一或多個第二介電質結構3006,與導電層2906分隔開。在部分實施例中,接觸墊3012配置用以將積體電路電性耦合至另一積體電路(未示於圖中)。此外,第一介電層3007、第二介電層3008以及第三鈍化層3010分別包含相對的側壁,這些側壁對齊且定義開口2905。As shown in the cross-sectional view 3000a of FIG. 30A , in various embodiments, the conductive layer 2906 is electrically coupled to the first plurality of TSVs 3002 . The first plurality of TSVs 3002 extend through the third isolation structure 1020 and laterally surround the second semiconductor device 1006 . The backside structure 3001 includes a backside dielectric structure 2908 , a second plurality of through substrate vias 3004 and a plurality of contact pads 3012 . In some embodiments, the backside dielectric structure 2908 includes a first dielectric layer 3007 , a second dielectric layer 3008 and a third passivation layer 3010 . At least one TSV of the second plurality of TSVs 3004 is electrically coupled to the first plurality of TSVs 3002 via the paths of the conductive contacts 122 , the conductive lines 124 , and the conductive vias 126 . In addition, the second plurality of TSVs 3004 are electrically coupled to the plurality of contact pads 3012 . The second plurality of TSVs 3004 are separated from the conductive layer 2906 by one or more second dielectric structures 3006 . In some embodiments, the contact pads 3012 are configured to electrically couple the integrated circuit to another integrated circuit (not shown). In addition, the first dielectric layer 3007 , the second dielectric layer 3008 and the third passivation layer 3010 respectively include opposite sidewalls that are aligned and define the opening 2905 .

圖30B繪示圖30B的積體電路的平面圖3000b,平面圖3000b為沿著圖30A的剖視圖3000a的A-A’線所擷取。為了方便繪示,圖30B的平面圖3000b省略導電層2906、第一介電質結構2903、絕緣層2904、部分的基板102、第三井區1002以及第四井區1004。FIG. 30B shows a plan view 3000b of the integrated circuit of FIG. 30B, which is taken along line A-A' of the cross-sectional view 3000a of FIG. 30A. For convenience of illustration, the plan view 3000b of FIG. 30B omits the conductive layer 2906 , the first dielectric structure 2903 , the insulating layer 2904 , part of the substrate 102 , the third well region 1002 and the fourth well region 1004 .

如圖30B的平面圖3000b所示,第一複數個基板通孔3002可以是例如圓形,且與第三鈍化層3010的內部周邊3010ip對齊。在部分實施例中,第三鈍化層3010的內部周邊3010ip定義開口2905的周邊。As shown in plan view 3000b of FIG. 30B , the first plurality of TSVs 3002 may be, for example, circular and aligned with the inner perimeter 3010ip of the third passivation layer 3010 . In some embodiments, the inner perimeter 3010ip of the third passivation layer 3010 defines the perimeter of the opening 2905 .

圖30C繪示導電層2906的平面圖3000c,導電層2906面對圖30A中積體電路的基板102的背側表面102b。圖30C的平面圖3000c是沿著圖30A的剖視圖3000a的A-A’線所擷取,為方便繪示,圖30C的平面圖3000c省略第一介電質結構2903、絕緣層2904、部分的基板102、第三井區1002以及第四井區1004。FIG. 30C shows a plan view 3000c of the conductive layer 2906 facing the backside surface 102b of the substrate 102 of the integrated circuit in FIG. 30A. The plan view 3000c of FIG. 30C is taken along the line AA' of the cross-sectional view 3000a of FIG. 30A. For the convenience of illustration, the plan view 3000c of FIG. 30C omits the first dielectric structure 2903, the insulating layer 2904, and part of the substrate 102. , the third well area 1002 and the fourth well area 1004.

如圖30C的平面圖3000c所示,導電層2906具有內部周邊2906ip,內部周邊2906ip定義導電層2906中的間隙的周邊。在各種實施例中,第一複數個基板通孔3002中的至少一個基板通孔,橫向地對齊導電層2906的內部周邊2906ip的至少一部分。As shown in plan view 3000c of FIG. 30C , conductive layer 2906 has an inner perimeter 2906ip that defines the perimeter of a gap in conductive layer 2906 . In various embodiments, at least one TSV of the first plurality of TSVs 3002 is laterally aligned with at least a portion of the inner perimeter 2906ip of the conductive layer 2906 .

圖31A繪示圖30A的積體電路的部分替代實施例之剖視圖3100a,其中第一複數個基板通孔3002從內連接結構120連續延伸至導電層2906下方的一個點。在部分實施例中,第一複數個基板通孔3002中的每一個基板通孔,分別直接接觸導電層2906的兩相對側壁。31A illustrates a cross-sectional view 3100a of a portion of an alternative embodiment of the integrated circuit of FIG. 30A , wherein a first plurality of through substrate vias 3002 extends continuously from interconnect structure 120 to a point below conductive layer 2906 . In some embodiments, each TSV of the first plurality of TSVs 3002 directly contacts two opposite sidewalls of the conductive layer 2906 .

圖31B繪示圖30A的積體電路的部分替代實施例之剖視圖3100b,其中第一複數個基板通孔3002直接接觸導電層2906的底表面。在各種實施例中,第一複數個基板通孔3002藉由至少一個第二介電質結構3006,與導電層2906橫向地分隔開。31B illustrates a cross-sectional view 3100b of a portion of an alternate embodiment of the integrated circuit of FIG. 30A , wherein the first plurality of through-substrate vias 3002 directly contacts the bottom surface of the conductive layer 2906 . In various embodiments, the first plurality of TSVs 3002 are laterally separated from the conductive layer 2906 by at least one second dielectric structure 3006 .

圖31C 繪示圖30A的積體電路的替代實施例之剖視圖3100c,其中導電層2906中的間隙以及第一介電質結構2903,位於汲極區1008的正下方。在部分實施例中,從上方看時,第一介電質結構2903具有與汲極區1008相同的形狀以及/或相同的寬度。在更進一步的實施例中,第一介電質結構2903的寬度大於汲極區1008的寬度。31C shows a cross-sectional view 3100c of an alternative embodiment of the integrated circuit of FIG. 30A , where the gap in the conductive layer 2906 and the first dielectric structure 2903 are located directly below the drain region 1008 . In some embodiments, the first dielectric structure 2903 has the same shape and/or the same width as the drain region 1008 when viewed from above. In a further embodiment, the width of the first dielectric structure 2903 is greater than the width of the drain region 1008 .

圖31D 繪示圖30A的積體電路的部分替代實施例之剖視圖3100d,其中導電層2906中的間隙以及第一介電質結構2903位於閘極電極1016正下方。在部分實施例中,從上方看時,第一介電質結構2903具有與閘極電極1016相同的形狀以及/或相同的寬度。在更進一步的實施例中,第一介電質結構2903的寬度大於閘極電極1016的寬度。FIG. 31D shows a cross-sectional view 3100d of a partial alternative embodiment of the integrated circuit of FIG. 30A , where the gap in the conductive layer 2906 and the first dielectric structure 2903 are located directly below the gate electrode 1016 . In some embodiments, the first dielectric structure 2903 has the same shape and/or the same width as the gate electrode 1016 when viewed from above. In a further embodiment, the width of the first dielectric structure 2903 is greater than the width of the gate electrode 1016 .

圖32至圖42繪示形成積體電路的方法的部分實施例之一系列剖視圖3200至圖4200,積體電路包含設置於背側介電質結構中的一開口。雖然是參考一方法來描述示於圖32至圖42中的剖視圖3200至圖4200,應理解到,圖32至圖42中所示的結構並未限於該方法,可區隔於該方法獨立存在。雖然圖32至圖42是描述一系列步驟,應理解到,這些步驟在順序上並未受到限制,在其他實施例中可改變這些步驟的順序,且揭露之方法亦可應用在其他結構中。在其他實施例中,繪示以及/或描述的部分步驟可全部省略或部分省略。32-42 illustrate a series of cross-sectional views 3200-4200 of some embodiments of a method of forming an integrated circuit including an opening disposed in a backside dielectric structure. Although the cross-sectional views 3200-4200 shown in FIGS. 32-42 are described with reference to a method, it should be understood that the structures shown in FIGS. . Although FIG. 32 to FIG. 42 describe a series of steps, it should be understood that the order of these steps is not limited, and the order of these steps can be changed in other embodiments, and the disclosed method can also be applied in other structures. In other embodiments, some steps shown and/or described may be omitted entirely or partially.

如圖32之剖視圖3200所示,接收具有前側表面102f以及背側表面102b的基板102。在部分實施例中,基板102可以是或可包括例如矽、塊材矽、矽鍺、鍺、砷化鎵、其他合適的半導體材料或前述之任意組合。在進一步的實施例中,基板102可包括第一摻雜型態(例如p型),摻雜濃度在大約10 14至大約10 16原子數每立方公分(atoms/cm 3)的範圍內。 As shown in cross-sectional view 3200 of FIG. 32, a substrate 102 having a front side surface 102f and a back side surface 102b is received. In some embodiments, the substrate 102 may be or include, for example, silicon, bulk silicon, silicon germanium, germanium, gallium arsenide, other suitable semiconductor materials, or any combination thereof. In a further embodiment, the substrate 102 may include a first doping type (eg, p-type) with a doping concentration ranging from about 10 14 to about 10 16 atoms per cubic centimeter (atoms/cm 3 ).

第一隔離結構806以及第三隔離結構1020形成於基板102內。在部分實施例中,形成第一隔離結構806的製程包含蝕刻基板102,以定義一或多個延伸至基板102的前側表面102f中的溝槽,並且以介電質材料填充一或多個溝槽(例如藉由化學氣相沉積製程、物理氣相沉積製程、原子層沉積製程等等)。在進一步的實施例中,形成第三隔離結構1020的製程包含蝕刻基板102以及/或第一隔離結構806,以定義一或多個延伸至基板102的前側表面102f中的溝槽,並以介電質材料填充一或多個溝槽(例如藉由化學氣相沉積製程、物理氣相沉積製程、原子層沉積製程等等)。第一隔離結構806以及第三隔離結構1020分別可以是或可包括例如二氧化矽、氮化矽、碳化矽、其他介電質材料或上述之任意組合。The first isolation structure 806 and the third isolation structure 1020 are formed in the substrate 102 . In some embodiments, the process of forming the first isolation structure 806 includes etching the substrate 102 to define one or more trenches extending into the front surface 102f of the substrate 102, and filling the one or more trenches with a dielectric material. tank (eg, by chemical vapor deposition process, physical vapor deposition process, atomic layer deposition process, etc.). In a further embodiment, the process of forming the third isolation structure 1020 includes etching the substrate 102 and/or the first isolation structure 806 to define one or more trenches extending into the front side surface 102f of the substrate 102 and for intervening The electrical material fills one or more trenches (eg, by chemical vapor deposition, physical vapor deposition, atomic layer deposition, etc.). The first isolation structure 806 and the third isolation structure 1020 may respectively be or include silicon dioxide, silicon nitride, silicon carbide, other dielectric materials, or any combination thereof.

此外,第三井區1002以及第四井區1004形成於基板102內。在各種實施例中,第三井區1002可以藉由第一離子佈植製程形成,且第四井區1004可以藉由第二離子佈植製程形成。在部分實施例中,可以在形成第三隔離結構1020之前,形成第三井區1002以及第四井區1004。在進一步的實施例中,第三井區1002包含第一摻雜型態(例如p型),摻雜濃度在大約10 16至大約10 18原子數每立方公分的範圍內。在更進一步的實施例中,第四井區1004包含第二摻雜型態(例如n型),摻雜濃度在大約10 16至大約10 18原子數每立方公分的範圍內。在各種實施例中,第一摻雜型態為n型且第二摻雜型態為p型,或者反之亦然。 In addition, the third well region 1002 and the fourth well region 1004 are formed in the substrate 102 . In various embodiments, the third well region 1002 may be formed by a first ion implantation process, and the fourth well region 1004 may be formed by a second ion implantation process. In some embodiments, the third well region 1002 and the fourth well region 1004 may be formed before forming the third isolation structure 1020 . In a further embodiment, the third well region 1002 includes the first doping type (eg, p-type), and the doping concentration is in the range of about 10 16 to about 10 18 atoms per cubic centimeter. In a further embodiment, the fourth well region 1004 includes the second doping type (eg, n-type), and the doping concentration is in the range of about 10 16 to about 10 18 atoms per cubic centimeter. In various embodiments, the first doping type is n-type and the second doping type is p-type, or vice versa.

如圖33的剖視圖3300所示,閘極結構2910、基體接觸區1012、源極區1010以及汲極區1008形成於基板102內/上,從而形成第二半導體裝置1006。閘極結構2910覆蓋基板102的前側表面102f並且包含覆蓋於閘極介電質1014的閘極電極1016。在部分實施例中,形成閘極結構2910的製程包含:在基板102的前側表面102f上方,沉積閘極介電質材料(例如藉由化學氣相沉積、物理氣相沉積、原子層沉積、熱氧化等等);在閘極介電質材料上方沉積閘極電極材料(例如藉由化學氣相沉積、物理氣相沉積、濺鍍、電鍍等等);以及依照遮罩層(未示於圖中),蝕刻閘極介電質材料以及閘極電極材料,以定義閘極介電質1014以及閘極電極1016。閘極介電質1014可以是或可包括例如二氧化矽、高k介電材料(例如介電常數大於大約3.9的介電材料)、其他介電質材料或上述之任意組合。閘極電極1016可以是或可包括例如鈦、鋁、鎢、氮化鈦、鉭、多晶矽、其他導電材料或上述之任意組合。As shown in the cross-sectional view 3300 of FIG. 33 , the gate structure 2910 , the body contact region 1012 , the source region 1010 and the drain region 1008 are formed in/on the substrate 102 to form the second semiconductor device 1006 . The gate structure 2910 covers the front side surface 102f of the substrate 102 and includes a gate electrode 1016 overlying the gate dielectric 1014 . In some embodiments, the process of forming the gate structure 2910 includes: depositing a gate dielectric material over the front surface 102f of the substrate 102 (for example, by chemical vapor deposition, physical vapor deposition, atomic layer deposition, thermal oxidation, etc.); depositing gate electrode material over the gate dielectric material (e.g., by chemical vapor deposition, physical vapor deposition, sputtering, electroplating, etc.); middle), etch the gate dielectric material and the gate electrode material to define the gate dielectric 1014 and the gate electrode 1016 . The gate dielectric 1014 can be or include, for example, silicon dioxide, a high-k dielectric material (eg, a dielectric material with a dielectric constant greater than about 3.9), other dielectric materials, or any combination thereof. The gate electrode 1016 can be or include, for example, titanium, aluminum, tungsten, titanium nitride, tantalum, polysilicon, other conductive materials, or any combination thereof.

在部分實施例中,藉由一或多個離子佈植製程,形成基體接觸區1012、源極區1010以及汲極區1008。在部分實施例中,基體接觸區1012包含第一摻雜型態(例如p型),摻雜濃度在大約10 18至大約10 20原子數每立方公分的範圍內。在更進一步的實施例中,源極區1010以及汲極區1008分別包含第二摻雜型態(例如n型),摻雜濃度在大約10 18至大約10 20原子數每立方公分的範圍內。 In some embodiments, the body contact region 1012 , the source region 1010 and the drain region 1008 are formed by one or more ion implantation processes. In some embodiments, the body contact region 1012 includes the first doping type (eg, p-type), and the doping concentration is in the range of about 10 18 to about 10 20 atoms per cubic centimeter. In a further embodiment, the source region 1010 and the drain region 1008 respectively contain the second doping type (for example, n-type), and the doping concentration is in the range of about 10 18 to about 10 20 atoms per cubic centimeter .

如圖34的剖視圖3400所示,內連接結構120形成於基板102的前側表面102f上方。內連接結構120包含層間介電質結構118、第一金屬間介電質結構119、複數個導電接觸122、複數個導電線124以及複數個導電通孔126。在各種實施例中,可以藉由化學氣相沉積製程、物理氣相沉積製程、原子層沉積製程或其他合適的成長或沉積製程,沉積層間介電質結構118以及第一金屬間介電質結構119。此外,導電接觸122、導電線124以及導電通孔126的形成可包含一或多個單鑲嵌以及/或雙鑲嵌製程。As shown in the cross-sectional view 3400 of FIG. 34 , the interconnect structure 120 is formed above the front side surface 102 f of the substrate 102 . The interconnection structure 120 includes an ILD structure 118 , a first IMD structure 119 , a plurality of conductive contacts 122 , a plurality of conductive lines 124 and a plurality of conductive vias 126 . In various embodiments, the ILD structure 118 and the first IMD structure may be deposited by a chemical vapor deposition process, a physical vapor deposition process, an atomic layer deposition process, or other suitable growth or deposition processes. 119. In addition, the formation of the conductive contacts 122 , the conductive lines 124 and the conductive vias 126 may include one or more single damascene and/or dual damascene processes.

如圖35的剖視圖3500所示,翻轉基板102,並且在基板102的背側表面102b上方,形成絕緣層2904以及導電層2906。可以藉由例如化學氣相沉積、物理氣相沉積、原子層沉積或其他合適的成長或沉積製程,形成絕緣層2904。可以藉由例如化學氣相沉積、物理氣相沉積、電鍍、化學鍍或其他合適的成長或沉積製程,形成導電層2906。在更進一步的實施例中,可以在形成絕緣層2904以及導電層2906之前,在基板102的背側表面102b之中,執行薄化製程,以減少基板102的厚度(未示於圖中)。As shown in the cross-sectional view 3500 of FIG. 35 , the substrate 102 is turned over, and an insulating layer 2904 and a conductive layer 2906 are formed over the backside surface 102 b of the substrate 102 . The insulating layer 2904 can be formed by, for example, chemical vapor deposition, physical vapor deposition, atomic layer deposition, or other suitable growth or deposition processes. The conductive layer 2906 can be formed by, for example, chemical vapor deposition, physical vapor deposition, electroplating, electroless plating, or other suitable growth or deposition processes. In a further embodiment, before forming the insulating layer 2904 and the conductive layer 2906 , a thinning process may be performed on the backside surface 102 b of the substrate 102 to reduce the thickness of the substrate 102 (not shown in the figure).

如圖36的剖視圖3600所示,在導電層2906上執行圖案化製程,以在導電層2906內形成複數個開口3602以及間隙3604。在部分實施例中,圖案化製程包含:在導電層2906上形成圖案化遮罩層(未示於圖中);依照圖案化遮罩層蝕刻導電層2906,從而形成開口3602以及間隙3604;以及執行移除製程,以移除圖案化遮罩層(未示於圖中)。在各種實施例中,蝕刻可包含濕式蝕刻製程、乾式蝕刻製程、反應離子蝕刻製程或相似方法。間隙3604可橫向地對齊第二半導體裝置1006的源極區1010。在進一步的實施例中,間隙3604可橫向地對齊第二半導體裝置1006的另一結構(例如汲極區1008、閘極電極1016等等)(參見例如圖31C或31D)。As shown in the cross-sectional view 3600 of FIG. 36 , a patterning process is performed on the conductive layer 2906 to form a plurality of openings 3602 and gaps 3604 in the conductive layer 2906 . In some embodiments, the patterning process includes: forming a patterned mask layer (not shown in the figure) on the conductive layer 2906; etching the conductive layer 2906 according to the patterned mask layer, thereby forming the opening 3602 and the gap 3604; and A removal process is performed to remove the patterned mask layer (not shown in the figure). In various embodiments, etching may include a wet etching process, a dry etching process, a reactive ion etching process, or the like. The gap 3604 can be laterally aligned with the source region 1010 of the second semiconductor device 1006 . In a further embodiment, the gap 3604 may be laterally aligned with another structure of the second semiconductor device 1006 (eg, the drain region 1008 , the gate electrode 1016 , etc.) (see eg, FIG. 31C or 31D ).

如圖37的剖視圖3700所示,分別在間隙(圖36的間隙3604)以及開口(圖36的開口3602)內,形成第一介電質結構2903以及一或多個第二介電質結構3006。在各種實施例中,形成第一介電質結構2903以及第二介電質結構3006的製程包含:在導電層2906上以及在間隙(圖36的間隙3604)以及開口(圖36的開口3602)內,沉積介電質材料(例如藉由化學氣相沉積、物理氣相沉積、原子層沉積等等);以及執行平坦化製程(例如藉由化學機械拋光(chemical mechanical polishing;CMP)或相似方法),以從導電層2906上方,移除多餘的介電質材料。第一介電質結構2903以及第二介電質結構3006可以是或可包括例如二氧化矽、氮化矽、碳化矽、其他合適的介電質材料或上述之任意組合。在進一步的實施例中,第一介電質結構2903以及第二介電質結構3006包含與絕緣層2904以及/或與部分的絕緣層2904相同的材料。As shown in the cross-sectional view 3700 of FIG. 37 , a first dielectric structure 2903 and one or more second dielectric structures 3006 are formed in the gap (the gap 3604 in FIG. 36 ) and the opening (the opening 3602 in FIG. 36 ), respectively. . In various embodiments, the process of forming the first dielectric structure 2903 and the second dielectric structure 3006 includes: on the conductive layer 2906 and in the gap (gap 3604 of FIG. 36 ) and opening (opening 3602 of FIG. 36 ). Inside, deposit a dielectric material (for example, by chemical vapor deposition, physical vapor deposition, atomic layer deposition, etc.); and perform a planarization process (for example, by chemical mechanical polishing (CMP) or similar methods ) to remove excess dielectric material from above the conductive layer 2906 . The first dielectric structure 2903 and the second dielectric structure 3006 may be or include, for example, silicon dioxide, silicon nitride, silicon carbide, other suitable dielectric materials, or any combination thereof. In a further embodiment, the first dielectric structure 2903 and the second dielectric structure 3006 include the same material as the insulating layer 2904 and/or part of the insulating layer 2904 .

如圖38的剖視圖3800所示,第一介電層3007形成於導電層2906上,且第二介電層3008形成於第一介電層3007上。在部分實施例中,藉由化學氣相沉積、物理氣相沉積、原子層沉積或其他合適的成長或沉積製程,沉積第一介電層3007以及第二介電層3008。第一介電層3007以及第二介電層3008可以是或可包括例如二氧化矽、氮化矽、低k介電質材料、其他介電質材料或上述之任意組合。As shown in the cross-sectional view 3800 of FIG. 38 , a first dielectric layer 3007 is formed on the conductive layer 2906 , and a second dielectric layer 3008 is formed on the first dielectric layer 3007 . In some embodiments, the first dielectric layer 3007 and the second dielectric layer 3008 are deposited by chemical vapor deposition, physical vapor deposition, atomic layer deposition or other suitable growth or deposition processes. The first dielectric layer 3007 and the second dielectric layer 3008 may be or include, for example, silicon dioxide, silicon nitride, low-k dielectric materials, other dielectric materials, or any combination thereof.

如圖39的剖視圖3900所示,形成第一複數個基板通孔3002以及第二複數個基板通孔3004延伸通過導電層2906和基板102。第一複數個基板通孔3002橫向地包圍第二半導體裝置1006,且電性耦合至導電層2906。在部分實施例中,形成第一複數個基板通孔3002以及第二複數個基板通孔3004的製程包含:在第二介電層3008上形成第一圖案化遮罩層(未示於圖中);圖案化第二介電層3008以及下方的層/結構,以形成複數個基板通孔開口;在第二介電層3008上方以及複數個基板通孔開口內,沉積導電材料(例如藉由化學氣相沉積、物理氣相沉積、電鍍、化學鍍等等)以及依照第二圖案化遮罩層(未示於圖中),圖案化導電材料。在各種實施例中,第一複數個基板通孔3002以及第二複數個基板通孔3004可以是或可包括例如多晶矽、摻雜的多晶矽、銅、鋁、金、銀、鉑、其他合適的導電材料或上述之任意組合。As shown in cross-sectional view 3900 of FIG. 39 , a first plurality of through-substrate holes 3002 and a second plurality of through-substrate holes 3004 are formed extending through conductive layer 2906 and substrate 102 . The first plurality of TSVs 3002 laterally surround the second semiconductor device 1006 and are electrically coupled to the conductive layer 2906 . In some embodiments, the process of forming the first plurality of through-substrate holes 3002 and the second plurality of through-substrate holes 3004 includes: forming a first patterned mask layer (not shown in the figure) on the second dielectric layer 3008 ); patterning the second dielectric layer 3008 and the underlying layers/structures to form a plurality of TSV openings; above the second dielectric layer 3008 and within the plurality of TSV openings, depositing a conductive material (eg by chemical vapor deposition, physical vapor deposition, electroplating, electroless plating, etc.) and pattern the conductive material according to a second patterned mask layer (not shown). In various embodiments, the first plurality of TSVs 3002 and the second plurality of TSVs 3004 may be or include, for example, polysilicon, doped polysilicon, copper, aluminum, gold, silver, platinum, other suitable conductive material or any combination of the above.

如圖40的剖視圖4000所示,第三鈍化層3010形成於第二介電層3008上。在部分實施例中,藉由化學氣相沉積、物理氣相沉積、原子層沉積或其他合適的成長或沉積製程,沉積第三鈍化層3010。第三鈍化層3010可以是或可包括例如二氧化矽或其他合適的介電質材料。在各種實施例中,第三鈍化層3010是背側介電質結構2908的一部分,其中背側介電質結構2908的形成包含繪示以及/或描述於圖38和圖40中的製程步驟。As shown in the cross-sectional view 4000 of FIG. 40 , a third passivation layer 3010 is formed on the second dielectric layer 3008 . In some embodiments, the third passivation layer 3010 is deposited by chemical vapor deposition, physical vapor deposition, atomic layer deposition or other suitable growth or deposition processes. The third passivation layer 3010 can be or include, for example, silicon dioxide or other suitable dielectric materials. In various embodiments, the third passivation layer 3010 is part of the backside dielectric structure 2908 , wherein the formation of the backside dielectric structure 2908 includes the process steps shown and/or described in FIGS. 38 and 40 .

如圖41的剖視圖4100所示,在背側介電質結構2908上執行圖案化製程,以形成開口2905,此開口2905曝露導電層2906的表面以及第一介電質結構2903的表面。在各種實施例中,開口2905藉由第一介電層3007的側壁、第二介電層3008的側壁、第三鈍化層3010的側壁、導電層2906的表面以及第一介電質結構2903的表面來定義。在進一步的實施例中,開口2905橫向地對齊第二半導體裝置1006,並促進第二半導體裝置1006產生之熱能從基板102逸散。在部分實施例中,圖案化製程包含:在第三鈍化層3010上形成圖案化遮罩層4102;依照圖案化遮罩層4102,蝕刻背側介電質結構2908;以及執行移除製程,以移除圖案化遮罩層4102(未示於圖中)。在部分實施例中,蝕刻背側介電質結構2908包含執行濕式蝕刻製程、乾式蝕刻製程、反應離子蝕刻製程,或相似製程。As shown in the cross-sectional view 4100 of FIG. 41 , a patterning process is performed on the backside dielectric structure 2908 to form an opening 2905 exposing the surface of the conductive layer 2906 and the surface of the first dielectric structure 2903 . In various embodiments, the opening 2905 is formed by the sidewalls of the first dielectric layer 3007, the sidewalls of the second dielectric layer 3008, the sidewalls of the third passivation layer 3010, the surface of the conductive layer 2906 and the surface of the first dielectric structure 2903. surface to define. In a further embodiment, the opening 2905 is laterally aligned with the second semiconductor device 1006 and facilitates the dissipation of thermal energy generated by the second semiconductor device 1006 from the substrate 102 . In some embodiments, the patterning process includes: forming a patterned mask layer 4102 on the third passivation layer 3010; etching the backside dielectric structure 2908 according to the patterned mask layer 4102; and performing a removal process to The patterned mask layer 4102 (not shown in the figure) is removed. In some embodiments, etching the backside dielectric structure 2908 includes performing a wet etch process, a dry etch process, a reactive ion etch process, or the like.

如圖42的剖視圖4200所示,複數個接觸墊3012形成於第三鈍化層3010上/內。接觸墊3012可以是或可包括例如鎳、金、銅、鋁、其他金屬材料或上述之任意組合。As shown in the cross-sectional view 4200 of FIG. 42 , a plurality of contact pads 3012 are formed on/in the third passivation layer 3010 . The contact pads 3012 may be or include, for example, nickel, gold, copper, aluminum, other metallic materials, or any combination thereof.

圖43繪示形成積體電路的方法的部分實施例之流程圖4300,包含設置於背側介電質結構中的一開口。雖然此方法是繪示以及/或描述一系列步驟或情況,但應理解到,此方法在順序或步驟上並未受到限制。因此,在部分實施例中,這些繪示以及/或描述以外的步驟,可以不同的順序以及/或同時發生。此外,在部分實施例中,這些繪示的步驟或情況可以被切分為多個步驟或情況,並可與其他步驟或子步驟同時或分次執行。在部分實施例中,可以省略部分繪示的步驟或情況,並且可包含其他未繪示的步驟或情況。43 illustrates a flowchart 4300 of some embodiments of a method of forming an integrated circuit including an opening disposed in a backside dielectric structure. Although the method is shown and/or described as a series of steps or situations, it should be understood that the method is not limited in terms of order or steps. Therefore, in some embodiments, steps other than those shown and/or described may occur in a different order and/or simultaneously. In addition, in some embodiments, these illustrated steps or situations can be divided into multiple steps or situations, and can be executed simultaneously with other steps or sub-steps or in batches. In some embodiments, some illustrated steps or situations may be omitted, and other non-illustrated steps or situations may be included.

在步驟4302中,隔離結構形成於基板內,此基板具有與背側表面相對的前側表面。圖32繪示對應步驟4302的部分實施例之剖視圖3200。In step 4302, an isolation structure is formed in a substrate having a front surface opposite a back surface. FIG. 32 illustrates a cross-sectional view 3200 of some embodiments corresponding to step 4302 .

在步驟4304中,半導體裝置形成於基板的前側表面上。圖32以及圖33繪示對應步驟4304的部分實施例之剖視圖3200以及3300。In step 4304, a semiconductor device is formed on the front side surface of the substrate. 32 and 33 illustrate cross-sectional views 3200 and 3300 of some embodiments corresponding to step 4304 .

在步驟4306中,內連接結構形成於基板的前側表面上。圖34繪示對應步驟4306的部分實施例之剖視圖3400。In step 4306, interconnect structures are formed on the front side surface of the substrate. FIG. 34 illustrates a cross-sectional view 3400 of some embodiments corresponding to step 4306 .

在步驟4308中,絕緣層以及導電層形成於基板的背側表面上。圖35繪示對應步驟4308的部分實施例之剖視圖3500。In step 4308, an insulating layer and a conductive layer are formed on the backside surface of the substrate. FIG. 35 illustrates a cross-sectional view 3500 of some embodiments corresponding to step 4308 .

在步驟4310中,間隙形成於導電層內,使得間隙橫向地對齊半導體裝置的一或多個結構。圖36繪示對應步驟4310的部分實施例之剖視圖3600。In step 4310, gaps are formed in the conductive layer such that the gaps are laterally aligned with one or more structures of the semiconductor device. FIG. 36 illustrates a cross-sectional view 3600 of a partial embodiment corresponding to step 4310 .

在步驟4312中,背側介電質結構形成於導電層的表面上。此外,形成複數個基板通孔延伸通過基板至內連接結構。圖38至圖40繪示對應步驟4312的部分實施例之剖視圖3800至4000。In step 4312, a backside dielectric structure is formed on the surface of the conductive layer. In addition, a plurality of through-substrate holes are formed extending through the substrate to the interconnection structure. 38-40 illustrate cross-sectional views 3800-4000 of some embodiments corresponding to step 4312.

在步驟4314中,圖案化背側介電質結構,以在背側介電質結構中形成開口,且曝露導電層的表面的至少一部分。開口橫向地對齊半導體裝置。圖41繪示對應步驟4314的部分實施例之剖視圖4100。In step 4314, the backside dielectric structure is patterned to form openings in the backside dielectric structure and expose at least a portion of the surface of the conductive layer. The opening is laterally aligned with the semiconductor device. FIG. 41 illustrates a cross-sectional view 4100 of a partial embodiment corresponding to step 4314 .

在步驟4316中,複數個接觸墊形成於背側介電質結構上。圖42繪示對應步驟4316的部分實施例之剖視圖4200。In step 4316, a plurality of contact pads are formed on the backside dielectric structure. FIG. 42 illustrates a cross-sectional view 4200 of a partial embodiment corresponding to step 4316.

因此,在部分實施例中,本應用關於積體電路,且包含設置於基板的前側表面內/上的半導體裝置。導電層設置於基板的背側表面上,且背側介電質結構設置於導電層的底表面上。背側介電質結構包含定義開口的側壁,該開口曝露背側介電質結構的底表面的至少一部分,其中開口位於半導體裝置的正下方。Thus, in some embodiments, the present application relates to integrated circuits and includes semiconductor devices disposed in/on the front side surface of the substrate. The conductive layer is disposed on the backside surface of the substrate, and the backside dielectric structure is disposed on the bottom surface of the conductive layer. The backside dielectric structure includes sidewalls defining an opening exposing at least a portion of a bottom surface of the backside dielectric structure, wherein the opening is located directly below the semiconductor device.

圖44繪示依據圖30A的積體電路的替代實施例之積體電路剖視圖4400,其中積體電路包含開口2905以及開口128兩者。在部分實施例中,開口128至少部分地覆蓋開口2905。在其他實施例中,開口128從開口2905橫向偏移。在部分實施例中,因為積體電路包含開口2905以及開口128兩者,所以第二半導體裝置1006產生之熱能甚至可以更有效率地從第二半導體裝置1006逸散。FIG. 44 shows a cross-sectional view 4400 of an integrated circuit according to an alternate embodiment of the integrated circuit of FIG. 30A , wherein the integrated circuit includes both opening 2905 and opening 128 . In some embodiments, opening 128 at least partially covers opening 2905 . In other embodiments, opening 128 is laterally offset from opening 2905 . In some embodiments, heat generated by the second semiconductor device 1006 can escape from the second semiconductor device 1006 even more efficiently because the integrated circuit includes both the opening 2905 and the opening 128 .

在部分實施例中,本應用提供了積體晶片。積體晶片包含一基板。一半導體裝置,設置於該基板上。一層間介電質結構,設置於該基板以及該半導體裝置上方。一第一金屬間介電質結構,設置於該基板以及該層間介電質結構上方。一開口,設置於該第一金屬間介電質結構中,其中該開口覆蓋該半導體裝置的至少一部分。In some embodiments, this application provides integrated wafers. The integrated chip includes a substrate. A semiconductor device is arranged on the substrate. The interlayer dielectric structure is disposed above the substrate and the semiconductor device. A first intermetal dielectric structure is disposed above the substrate and the interlayer dielectric structure. An opening is disposed in the first IMD structure, wherein the opening covers at least a part of the semiconductor device.

在部分實施例中,本應用提供一積體晶片。該積體晶片包含一絕緣體上半導體基板,其中該絕緣體上半導體基板包含一裝置層,該裝置層設置於一絕緣層上方。一半導體裝置設置於該裝置層上。一層間介電質結構,設置於該基板以及該半導體裝置上方。一金屬間介電質結構,設置於該基板以及該層間介電質結構上方。一導電內連接結構,嵌設於該層間介電質結構以及該金屬間介電質結構中,其中該導電內連接結構由複數個導電特徵定義。一鈍化層,設置於該金屬間介電質結構以及該導電內連接結構上方。一第一開口,設置於該鈍化層以及該金屬間介電質結構中,其中該第一開口覆蓋該半導體裝置的至少一部分,且其中複數個導電特徵中的每一個,與該第一開口分隔。In some embodiments, the application provides an integrated chip. The integrated wafer includes a semiconductor-on-insulator substrate, wherein the semiconductor-on-insulator substrate includes a device layer disposed above an insulating layer. A semiconductor device is disposed on the device layer. The interlayer dielectric structure is disposed above the substrate and the semiconductor device. An intermetal dielectric structure is disposed above the substrate and the interlayer dielectric structure. A conductive interconnect structure is embedded in the ILD structure and the IMD structure, wherein the conductive interconnect structure is defined by a plurality of conductive features. A passivation layer is disposed on the IMD structure and the conductive internal connection structure. a first opening disposed in the passivation layer and the IMD structure, wherein the first opening covers at least a portion of the semiconductor device, and wherein each of the plurality of conductive features is separated from the first opening .

在部分實施例中,本應用提供一種形成積體晶片的方法,該方法包含接收一工作件,該工作件包含一絕緣體上半導體基板,其中一半導體裝置設置於該絕緣體上半導體基板的一裝置層上,其中一金屬間介電質結構設置於該裝置層和該半導體裝置上方,且其中複數個導電特徵設置於該金屬間介電質結構以及該絕緣體上半導體基板上方。在該金屬間介電質結構以及該些導電特徵上方,形成一鈍化層。在該鈍化層以及該金屬間介電質結構中,形成一開口,其中該開口覆蓋該半導體裝置的至少一部分。In some embodiments, the present application provides a method of forming an integrated wafer, the method comprising receiving a workpiece comprising a semiconductor-on-insulator substrate, wherein a semiconductor device is disposed on a device layer of the semiconductor-on-insulator substrate wherein an intermetal dielectric structure is disposed over the device layer and the semiconductor device, and wherein a plurality of conductive features are disposed over the intermetal dielectric structure and the semiconductor-on-insulator substrate. A passivation layer is formed over the IMD structure and the conductive features. An opening is formed in the passivation layer and the IMD structure, wherein the opening covers at least a portion of the semiconductor device.

在部分實施例中,本應用提供一積體電路,該積體電路包括:一基板,具有一前側表面,該前側表面相對一背側表面;一半導體裝置,設置於該基板的該前側表面上;一絕緣層,設置於該基板的該背側表面上;一導電層,設置於該絕緣層上;以及一背側介電質結構,沿著該導電層設置,其中在該背側介電質結構中設置一開口,且該開口直接覆蓋該半導體裝置的至少一部分。In some embodiments, the application provides an integrated circuit, the integrated circuit includes: a substrate having a front surface opposite to a back surface; a semiconductor device disposed on the front surface of the substrate ; an insulating layer disposed on the backside surface of the substrate; a conductive layer disposed on the insulating layer; and a backside dielectric structure disposed along the conductive layer, wherein the backside dielectric structure An opening is provided in the material structure, and the opening directly covers at least a part of the semiconductor device.

在部分實施例中,本應用提供一積體電路,該積體電路包括:一高壓電晶體,設置於一基板的一前側表面上,其中該高壓電晶體包含一閘極電極,橫向分隔於一源極區以及一汲極區之間,其中一井區設置於該源極區以及該汲極區之間;一導電層,設置於該基板的一背側表面上;一第一介電質結構,延伸通過該導電層,且位於該高壓電晶體下方;以及一低介電質結構,設置於該導電層的一底表面上,其中該低介電質結構包含定義一開口的多個側壁,該開口曝露該導電層的該底表面的至少一部分,該導電層位於該高壓電晶體下方。In some embodiments, the application provides an integrated circuit, the integrated circuit includes: a high voltage transistor disposed on a front surface of a substrate, wherein the high voltage transistor includes a gate electrode, laterally separated Between a source region and a drain region, wherein a well region is arranged between the source region and the drain region; a conductive layer is arranged on a backside surface of the substrate; a first interlayer an electrical structure extending through the conductive layer and located below the high voltage transistor; and a low-k dielectric structure disposed on a bottom surface of the conductive layer, wherein the low-k dielectric structure includes an opening defining an opening A plurality of sidewalls, the opening exposes at least a portion of the bottom surface of the conductive layer, the conductive layer is located under the high voltage transistor.

在部分實施例中,本應用提供一種形成積體電路的方法,包含在一基板的一前側表面上,形成一半導體裝置;在該基板的一背側表面上,沉積一絕緣層;在該絕緣層上,沉積一導電層;在該導電層上,形成一背側介電質結構;以及圖案化該背側介電質結構,以形成一開口,該開口延伸通過該背側介電質結構,並曝露該導電層的一表面,其中該半導體裝置橫向位於該開口的一周邊內。In some embodiments, this application provides a method for forming an integrated circuit, including forming a semiconductor device on a front surface of a substrate; depositing an insulating layer on a back surface of the substrate; layer, depositing a conductive layer; on the conductive layer, forming a backside dielectric structure; and patterning the backside dielectric structure to form an opening extending through the backside dielectric structure , and expose a surface of the conductive layer, wherein the semiconductor device is laterally located within a periphery of the opening.

以上概述了幾個實施例的特徵,以令本領域技術人員能更佳地理解本揭露之各個面向。本領域技術人員應當理解,他們可以輕易地使用本揭露作為設計或修改其他製程和結構的基礎,以實現與本文所介紹的實施例相同的優點以及/ 或執行相同的目的。本領域技術人員也應意識到,該同等結構並不背離本揭露的精神及範圍,且可在不背離本揭露的精神及範圍的情況下對本文進行各種改動、替換及變更。The features of several embodiments are summarized above, so that those skilled in the art can better understand various aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures to achieve the same advantages and/or perform the same purposes as the embodiments described herein. Those skilled in the art should also realize that such equivalent structures do not depart from the spirit and scope of the present disclosure, and that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the present disclosure.

100、200~4400:剖視圖/上視圖/平面圖 102:基板 102f:前側表面 102b:背側表面 104:裝置層 106、2904:絕緣層 108:處理層 110:第一半導體裝置 112:一對源極/汲極區 114、1014:閘極介電質 116、1016:閘極電極 118:層間介電質結構 119:第一金屬間介電質結構 119s、308s、602s、604bs、604cs、2908s 1、2908s 1:側壁 119s 1、308s 1、602s 1、604bs 1、604cs 1、1018sw 1:第一側壁 119s 2、308s 2、602s 2、604bs 2、604cs 2、1018sw 2:第二側壁 119s 3:第三側壁 119s 4:第四側壁 120:內連接結構 122:導電接觸 124、124 M-3、124 M-2、124 M-1、124 M:導電線、第一組導電線、第二組導電線、第三組導電線、第四組導電線 126:導電通孔 128、2905、3602:開口 128p、802p:周邊 302:第二金屬間介電質結構 304:第三金屬間介電質結構 304u 1、604au 1:第一頂表面 304u 2、604au 2:第二頂表面 306、306 N-3、306 N-2、306 N- 、306 N:導電層、第一導電層、第二導電層、第三導電層、第四導電層 308:第一鈍化層 310:導電特徵的第一集合 312:導電特徵的第二集合 314:導電特徵的第三集合 502:輸入/輸出(I/O)結構 504:上部導電通孔 602:第二鈍化層 604、604a、604b、604c、:蝕刻停止層、第一蝕刻停止層、第二蝕刻停止層、第三蝕刻停止層 802:第一井區 804:第二井區 806:第一隔離結構 806ip、1020ip、2908ip、2906ip、3010ip:內部周邊 806op、1020op:外部周邊 1002:第三井區 1004:第四井區 1006:第二半導體裝置 1008:汲極區 1010:源極區 1012:基體接觸區 1018:第二隔離結構 1020:第三隔離結構 1202:區域 1402a、1402b、1402c、1402d:第一開口、第二開口、第三開口、第四開口 1404a、1404b、1404c、1404d:第一區域、第二區域、第三區域、第四區域 1502a、1502b:第三半導體裝置、第四半導體裝置 1802:工作件 2002:第一圖案化遮罩層 2004:第一開孔 2202:第二圖案化遮罩層 2204:第二開孔 2402:第三圖案化遮罩層 2404a、2404b:第三開孔、第四開孔 2502:第五開口 2504:第六開口 2602:第四圖案化遮罩層 2604:第五開孔 2702:第七開口 2704:框架 2802~2804、4302~4316:步驟 2903:第一介電質結構 2906:導電層 2908:背側介電質結構 2910:閘極結構 3001:背側結構 3002:第一複數個基板通孔 3004:第二複數個基板通孔 3006:第二介電質結構 3007:第一介電層 3008:第二介電層 3010:第三鈍化層 3012:接觸墊 3604:間隙 4102:圖案化遮罩層 100, 200~4400: sectional view/top view/plan view 102: substrate 102f: front surface 102b: back surface 104: device layer 106, 2904: insulating layer 108: processing layer 110: first semiconductor device 112: a pair of source electrodes /drain region 114, 1014: gate dielectric 116, 1016: gate electrode 118: interlayer dielectric structure 119: first intermetal dielectric structure 119s, 308s, 602s, 604bs, 604cs, 2908s 1 , 2908s 1 : side wall 119s 1 , 308s 1 , 602s 1 , 604bs 1 , 604cs 1 , 1018sw 1 : first side wall 119s 2 , 308s 2 , 602s 2 , 604bs 2 , 604cs 2 , 1018sw 2 : second side wall 119s 3 : No. Three side walls 119s 4 : fourth side wall 120: internal connection structure 122: conductive contacts 124, 124 M-3 , 124 M-2 , 124 M-1 , 124 M : conductive wires, the first group of conductive wires, the second group of conductive Line, third set of conductive lines, fourth set of conductive lines 126: conductive via 128, 2905, 3602: opening 128p, 802p: perimeter 302: second IMD structure 304: third IMD structure 304u 1 , 604au 1 : first top surface 304u 2 , 604au 2 : second top surface 306, 306 N-3 , 306 N-2 , 306 N- 1 , 306 N : conductive layer, first conductive layer, second Conductive layer, third conductive layer, fourth conductive layer 308: first passivation layer 310: first set of conductive features 312: second set of conductive features 314: third set of conductive features 502: input/output (I/ O) Structure 504: upper conductive via 602: second passivation layer 604, 604a, 604b, 604c,: etch stop layer, first etch stop layer, second etch stop layer, third etch stop layer 802: first well Zone 804: second well zone 806: first isolation structure 806ip, 1020ip, 2908ip, 2906ip, 3010ip: inner perimeter 806op, 1020op: outer perimeter 1002: third well zone 1004: fourth well zone 1006: second semiconductor device 1008 : drain region 1010: source region 1012: base contact region 1018: second isolation structure 1020: third isolation structure 1202: regions 1402a, 1402b, 1402c, 1402d: first opening, second opening, third opening, first opening Four openings 1404a, 1404b, 1404c, 1404d: first area, second area, third area, fourth area 1502a, 1502b: third semiconductor device, fourth semiconductor device 1802: workpiece 2002: first patterned mask Layer 2004: first opening 2202: second patterned mask layer 2204: second opening 2402: third patterned mask layer 2404a, 2404b: third opening, fourth opening 2502: fifth opening 2504 : sixth opening 2602: fourth patterned mask layer 2604: fifth opening 2702: seventh opening 2704: frame 2802~2804, 4302~4316: step 2903: first dielectric structure 2906: conductive layer 2908: Backside dielectric structure 2910: gate structure 3001: backside structure 3002: first plurality of through-substrate holes 3004: second plurality of through-substrate holes 3006: second dielectric structure 3007: first dielectric layer 3008 : second dielectric layer 3010: third passivation layer 3012: contact pad 3604: gap 4102: patterned mask layer

從以下詳細敘述並搭配圖式檢閱,可最佳理解本揭露的態樣。應注意,各種特徵並未參考產業上實務標準的比例繪製。事實上,為了討論上的清楚易懂,各種特徵的尺寸可以任意地增加或減少。 圖1繪示積體晶片的部分實施例之剖視圖,積體晶片包含設置於第一金屬間介電質結構中的開口。 圖2繪示圖1的積體晶片的部分實施例之簡化上視圖。 圖3繪示圖1的積體晶片的部分其他實施例之剖視圖。 圖4繪示圖3的積體晶片的部分實施例之簡化上視圖。 圖5繪示圖1的積體晶片的部分其他實施例之剖視圖。 圖6繪示圖5的積體晶片的部分其他實施例之剖視圖。 圖7繪示圖6的積體晶片的部分其他實施例之剖視圖。 圖8繪示圖3的積體晶片的部分其他實施例之剖視圖。 圖9繪示圖8的積體晶片的部分實施例之簡化上視圖。 圖10繪示圖8的積體晶片的部分其他實施例之剖視圖。 圖11繪示圖10的積體晶片的部分實施例之簡化上視圖。 圖12繪示圖6的積體晶片的部分實施例之立體圖。 圖13繪示圖12的積體晶片的部分實施例之剖視圖。 圖14繪示圖12的積體晶片的部分其他實施例之立體圖。 圖15繪示圖14的積體晶片的部分實施例之剖視圖。 圖16繪示圖15的積體晶片的部分其他實施例之剖視圖。 圖17繪示圖10的積體晶片的部分其他實施例之剖視圖。 圖18至圖23繪示形成積體晶片的方法的部分實施例之一系列剖視圖,積體晶片包含設置於第一金屬間介電質結構中的複數個開口。 圖24至圖27繪示圖18至圖23中所繪示的方法的部分其他實施例之一系列剖視圖。 圖28繪示形成積體晶片的方法的部分實施例之流程圖,積體晶片包含設置於金屬間介電質結構中的一開口。 圖29A 繪示積體電路的部分實施例之剖視圖,積體電路包含設置於第二半導體裝置下方的背側介電質結構中的一開口。 圖29B至圖29C繪示圖29A 的積體電路沿著A-A’線所擷取之各種平面圖。 圖30A繪示圖29A的積體電路的部分替代實施例之剖視圖。 圖30B和圖30C繪示圖30A的積體電路沿著A-A’線所擷取之各種平面圖。 圖31A至圖31D繪示圖30A的積體電路的各種替代實施例之剖視圖。 圖32至圖42繪示形成積體電路的方法的部分實施例之一系列剖視圖,積體電路包含設置於背側介電質結構中的一開口。 圖43繪示形成積體電路的方法的部分實施例之流程圖,積體電路包含設置於背側介電質結構中的一開口。 圖44繪示圖30A的積體電路的部分替代實施例之積體電路剖視圖。 Aspects of the present disclosure are best understood from the following detailed description when examined with accompanying drawings. It should be noted that the various features are not drawn to scale with reference to standard industry practice. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion. FIG. 1 illustrates a cross-sectional view of a portion of an embodiment of an integrated wafer including openings disposed in a first IMD structure. FIG. 2 illustrates a simplified top view of a partial embodiment of the integrated chip of FIG. 1 . FIG. 3 is a cross-sectional view of some other embodiments of the integrated chip shown in FIG. 1 . FIG. 4 illustrates a simplified top view of a partial embodiment of the integrated chip of FIG. 3 . FIG. 5 is a cross-sectional view of some other embodiments of the integrated chip shown in FIG. 1 . FIG. 6 is a cross-sectional view of some other embodiments of the integrated chip shown in FIG. 5 . FIG. 7 is a cross-sectional view of some other embodiments of the integrated chip shown in FIG. 6 . FIG. 8 is a cross-sectional view of some other embodiments of the integrated chip shown in FIG. 3 . FIG. 9 illustrates a simplified top view of a partial embodiment of the integrated chip of FIG. 8 . FIG. 10 is a cross-sectional view of some other embodiments of the integrated chip of FIG. 8 . FIG. 11 illustrates a simplified top view of some embodiments of the integrated chip of FIG. 10 . FIG. 12 is a perspective view of some embodiments of the integrated chip shown in FIG. 6 . FIG. 13 is a cross-sectional view of some embodiments of the integrated chip shown in FIG. 12 . FIG. 14 is a perspective view of some other embodiments of the integrated chip shown in FIG. 12 . FIG. 15 is a cross-sectional view of some embodiments of the integrated chip shown in FIG. 14 . FIG. 16 is a cross-sectional view of some other embodiments of the integrated chip shown in FIG. 15 . FIG. 17 is a cross-sectional view of some other embodiments of the integrated chip shown in FIG. 10 . 18-23 illustrate a series of cross-sectional views of some embodiments of a method of forming an integrated wafer including a plurality of openings disposed in a first IMD structure. 24-27 show a series of cross-sectional views of some other embodiments of the method shown in FIGS. 18-23. 28 is a flowchart illustrating some embodiments of a method of forming an integrated wafer including an opening disposed in an IMD structure. 29A illustrates a cross-sectional view of a portion of an embodiment of an integrated circuit including an opening in a backside dielectric structure disposed beneath a second semiconductor device. 29B to 29C show various plan views of the integrated circuit of FIG. 29A taken along line A-A'. FIG. 30A shows a cross-sectional view of a partial alternative embodiment of the integrated circuit of FIG. 29A. 30B and 30C show various plan views of the integrated circuit of FIG. 30A taken along line A-A'. 31A-31D show cross-sectional views of various alternative embodiments of the integrated circuit of FIG. 30A. 32-42 illustrate a series of cross-sectional views of some embodiments of a method of forming an integrated circuit including an opening disposed in a backside dielectric structure. 43 is a flow diagram of some embodiments of a method of forming an integrated circuit including an opening disposed in a backside dielectric structure. FIG. 44 illustrates a cross-sectional view of an integrated circuit of a partial alternative embodiment of the integrated circuit of FIG. 30A.

國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無 Domestic deposit information (please note in order of depositor, date, and number) none Overseas storage information (please note in order of storage country, institution, date, and number) none

102:基板 102: Substrate

104:裝置層 104: Device layer

106:絕緣層 106: insulation layer

108:處理層 108: Processing layer

118:層間介電質結構 118:Interlayer dielectric structure

119:第一金屬間介電質結構 119: The first intermetal dielectric structure

120:內連接結構 120: Internal connection structure

122:導電接觸 122: Conductive contact

124:導電線 124: conductive thread

126:導電通孔 126: Conductive vias

308:第一鈍化層 308: the first passivation layer

806:第一隔離結構 806: The first isolation structure

1002:第三井區 1002: The third well area

1004:第四井區 1004: The fourth well area

1006:第二半導體裝置 1006: the second semiconductor device

1008:汲極區 1008: Drain area

1010:源極區 1010: source region

1012:基體接觸區 1012: Substrate contact area

1014:閘極介電質 1014: gate dielectric

1016:閘極電極 1016: gate electrode

1018:第二隔離結構 1018: Second isolation structure

1020:第三隔離結構 1020: The third isolation structure

1402a:第一開口 1402a: first opening

1402b:第二開口 1402b: second opening

Claims (20)

一積體晶片,包含: 一基板; 一半導體裝置,設置於該基板上; 一層間介電質結構,設置於該基板以及該半導體裝置上方; 一第一金屬間介電質結構,設置於該基板以及該層間介電質結構上方;以及 一開口,設置於該第一金屬間介電質結構中,其中該開口覆蓋該半導體裝置的至少一部分。 An integrated chip, including: a substrate; a semiconductor device disposed on the substrate; an interlayer dielectric structure disposed above the substrate and the semiconductor device; a first IMD structure disposed over the substrate and the ILD structure; and An opening is disposed in the first IMD structure, wherein the opening covers at least a part of the semiconductor device. 如請求項1所述之積體晶片,其中: 該基板是一絕緣體上半導體基板; 該絕緣體上半導體基板包含一裝置層,該裝置層設置於一絕緣層上方;以及 該半導體裝置設置在該裝置層上。 The integrated chip as described in claim 1, wherein: The substrate is a semiconductor-on-insulator substrate; The semiconductor-on-insulator substrate includes a device layer disposed over an insulating layer; and The semiconductor device is disposed on the device layer. 如請求項1所述之積體晶片,其中該開口具有一周邊,該周邊由該第一金屬間介電質結構的複數個側壁定義,且其中該半導體裝置的該部分橫向設置於該開口的該周邊中。The integrated wafer as claimed in claim 1, wherein the opening has a perimeter defined by a plurality of sidewalls of the first IMD structure, and wherein the portion of the semiconductor device is laterally disposed on the opening in the perimeter. 如請求項3所述之積體晶片,更包含: 一鈍化層,設置於該第一金屬間介電質結構上方,其中該開口的該周邊由該第一金屬間介電質結構之該些側壁以及該鈍化層之該些側壁定義。 The integrated chip as described in claim 3 further includes: A passivation layer is disposed over the first IMD structure, wherein the perimeter of the opening is defined by the sidewalls of the first IMD structure and the sidewalls of the passivation layer. 如請求項3所述之積體晶片,其中該開口的該周邊以一封閉迴路橫向包圍該半導體裝置的該部分。The integrated chip as claimed in claim 3, wherein the periphery of the opening laterally surrounds the portion of the semiconductor device in a closed loop. 如請求項5所述之積體晶片,其中: 該半導體裝置包含一閘極電極;以及 該閘極電極設置於該開口的該周邊中。 The integrated chip as described in Claim 5, wherein: The semiconductor device includes a gate electrode; and The gate electrode is disposed in the periphery of the opening. 如請求項6所述之積體晶片,其中: 該半導體裝置包含一對源極/汲極區;以及 該對源極/汲極區設置於該開口的該周邊中。 The integrated chip as described in Claim 6, wherein: The semiconductor device includes a pair of source/drain regions; and The pair of source/drain regions is disposed in the periphery of the opening. 如請求項5所述之積體晶片,更包含: 一隔離結構,設置於該基板中,且橫向包圍該半導體裝置,其中該開口的該周邊覆蓋並且實質對齊該隔離結構的一佈局。 The integrated chip as described in claim 5 further includes: An isolation structure is disposed in the substrate and laterally surrounds the semiconductor device, wherein the periphery of the opening covers and is substantially aligned with a layout of the isolation structure. 如請求項8所述之積體晶片,其中: 該隔離結構具有一內部周邊和一外部周邊;以及 該開口的該周邊橫向設置於該隔離結構的該內部周邊和該隔離結構的該外部周邊之間。 The integrated chip as described in Claim 8, wherein: the isolation structure has an inner perimeter and an outer perimeter; and The perimeter of the opening is disposed laterally between the inner perimeter of the isolation structure and the outer perimeter of the isolation structure. 如請求項5所述之積體晶片,更包含: 一第一摻雜井區,設置於該基板中,其中該半導體裝置的一對源極/汲極區設置於該第一摻雜井區中;以及 一第二摻雜井區,設置於該基板中,其中該第一摻雜井區至少部分地設置於該第二摻雜井區中,其中該第二摻雜井區具有與該第一摻雜井區相反的摻雜類型,且其中該開口的該周邊橫向包圍該第一摻雜井區。 The integrated chip as described in claim 5 further includes: a first doped well region disposed in the substrate, wherein a pair of source/drain regions of the semiconductor device is disposed in the first doped well region; and A second doped well region, disposed in the substrate, wherein the first doped well region is at least partially disposed in the second doped well region, wherein the second doped well region has the same The mixed well region is of opposite doping type, and wherein the periphery of the opening laterally surrounds the first doped well region. 如請求項10所述之積體晶片,其中該開口的該周邊橫向設置於該第一摻雜井區的一周邊與該第二摻雜井區的一周邊之間。The integrated wafer as claimed in claim 10, wherein the periphery of the opening is laterally disposed between a periphery of the first doped well region and a periphery of the second doped well region. 如請求項1所述之積體晶片,更包含: 一導電內連接結構,嵌設於該層間介電質結構和該第一金屬間介電質結構中,其中該導電內連接結構包含垂直堆疊的複數個導電層,其中每一該些導電層包含一或多個導電線,且其中該些導電層之一或多者垂直設置於該開口之一底部與該第一金屬間介電質結構之一頂表面之間。 The integrated chip as described in claim 1 further includes: A conductive interconnection structure embedded in the interlayer dielectric structure and the first intermetal dielectric structure, wherein the conductive interconnection structure includes a plurality of conductive layers vertically stacked, wherein each of the conductive layers includes One or more conductive lines, and one or more of the conductive layers are vertically disposed between a bottom of the opening and a top surface of the first IMD structure. 如請求項12所述之積體晶片,其中每一該些導電層的每一該一或多個導電線與該開口分隔開來。The integrated chip as claimed in claim 12, wherein each of the one or more conductive lines of each of the conductive layers is separated from the opening. 如請求項13所述之積體晶片,更包含: 一第二金屬間介電質結構,設置於該層間介電質結構上方,其中: 該第二金屬間介電質結構垂直設置於該第一金屬間介電質結構和該層間介電質結構之間; 該導電內連接結構嵌設於該第二金屬間介電質結構中; 該導電內連接結構包含一第一組的導電特徵,嵌設於該第一金屬間介電質結構中且位於該開口的一第一側上; 該導電內連接結構包含一第二組的導電特徵,嵌設於該第一金屬間介電質結構中且位於該開口的一第二側上,該第二側與該第一側相對; 該導電內連接結構包含一第三組的導電特徵,嵌設於該第二金屬間介電質結構中且位於該第一金屬間介電質結構下方;以及 該第三組的導電特徵將該第一組的導電特徵電性耦合至該第二組的導電特徵。 The integrated chip as described in claim 13 further includes: A second IMD structure disposed over the ILD structure, wherein: the second intermetal dielectric structure is vertically disposed between the first intermetal dielectric structure and the interlayer dielectric structure; the conductive interconnect structure is embedded in the second IMD structure; The conductive interconnect structure includes a first set of conductive features embedded in the first IMD structure on a first side of the opening; the conductive interconnect structure includes a second set of conductive features embedded in the first IMD structure on a second side of the opening, the second side opposite the first side; the conductive interconnect structure includes a third set of conductive features embedded in the second IMD structure and underlying the first IMD structure; and The third set of conductive features electrically couples the first set of conductive features to the second set of conductive features. 一積體電路,包含: 一基板,具有一前側表面,該前側表面相對一背側表面; 一半導體裝置,設置於該基板的該前側表面上; 一絕緣層,設置於該基板的該背側表面上; 一導電層,設置於該絕緣層上;以及 一背側介電質結構,沿著該導電層設置,其中在該背側介電質結構中設置一開口,且該開口直接覆蓋該半導體裝置的至少一部分。 An integrated circuit, comprising: a substrate having a front surface opposite to a back surface; a semiconductor device disposed on the front surface of the substrate; an insulating layer disposed on the backside surface of the substrate; a conductive layer disposed on the insulating layer; and A backside dielectric structure is disposed along the conductive layer, wherein an opening is set in the backside dielectric structure, and the opening directly covers at least a part of the semiconductor device. 如請求項15所述之積體電路,其中該開口的一頂表面由該導電層的一底表面定義。The integrated circuit of claim 15, wherein a top surface of the opening is defined by a bottom surface of the conductive layer. 如請求項15所述之積體電路,其中該開口的一周邊由該背側介電質結構的複數個側壁定義,其中該半導體裝置在該開口的該周邊內橫向分隔開。The integrated circuit of claim 15, wherein a perimeter of the opening is defined by sidewalls of the backside dielectric structure, wherein the semiconductor devices are laterally separated within the perimeter of the opening. 如請求項17所述之積體電路,更包含: 一深溝槽隔離結構,延伸進入該基板的該前側表面且橫向包圍該半導體裝置,其中該深溝槽隔離結構直接覆蓋該些側壁中的一第一側壁。 The integrated circuit as described in Claim 17, further comprising: A deep trench isolation structure extends into the front side surface of the substrate and laterally surrounds the semiconductor device, wherein the deep trench isolation structure directly covers a first sidewall of the sidewalls. 一種形成積體晶片的方法,包含: 接收一工作件,該工作件包含一絕緣體上半導體基板,其中一半導體裝置設置於該絕緣體上半導體基板的一裝置層上,其中一金屬間介電質結構設置於該裝置層和該半導體裝置上方,且其中複數個導電特徵設置於該金屬間介電質結構中和該絕緣體上半導體基板上方; 在該金屬間介電質結構和該些導電特徵上方,形成一鈍化層;以及 在該鈍化層和該金屬間介電質結構中,形成一開口,其中該開口覆蓋該半導體裝置的至少一部分。 A method of forming an integrated wafer, comprising: Receiving a workpiece comprising a semiconductor-on-insulator substrate, wherein a semiconductor device is disposed on a device layer of the semiconductor-on-insulator substrate, and wherein an intermetallic dielectric structure is disposed over the device layer and the semiconductor device , and wherein a plurality of conductive features are disposed in the intermetal dielectric structure and over the semiconductor-on-insulator substrate; forming a passivation layer over the IMD structure and the conductive features; and An opening is formed in the passivation layer and the IMD structure, wherein the opening covers at least a portion of the semiconductor device. 如請求項19所述之方法,其中在該鈍化層和該金屬間介電質結構中形成一開口包含: 在該鈍化層上方形成一圖案化遮罩層,其中該圖案化遮罩層包含一開孔,該開孔覆蓋該鈍化層的一部分以及該金屬間介電質結構的一部分,且其中每一該些導電特徵分隔於該鈍化層的該部分以及該金屬間介電質結構的該部分兩者;以及 藉由該圖案化遮罩層定位,執行一蝕刻製程,以移除該鈍化層的該部分以及該金屬間介電質結構的該部分。 The method of claim 19, wherein forming an opening in the passivation layer and the IMD structure comprises: A patterned mask layer is formed over the passivation layer, wherein the patterned mask layer includes an opening covering a portion of the passivation layer and a portion of the IMD structure, and each of the The conductive features are separated from both the portion of the passivation layer and the portion of the IMD structure; and With the patterned mask layer positioned, an etching process is performed to remove the portion of the passivation layer and the portion of the IMD structure.
TW111125426A 2021-07-09 2022-07-06 An integrated chip TW202324631A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163220093P 2021-07-09 2021-07-09
US63/220,093 2021-07-09
US17/728,048 US20230010333A1 (en) 2021-07-09 2022-04-25 Integrated chip with good thermal dissipation performance
US17/728,048 2022-04-25

Publications (1)

Publication Number Publication Date
TW202324631A true TW202324631A (en) 2023-06-16

Family

ID=87803660

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111125426A TW202324631A (en) 2021-07-09 2022-07-06 An integrated chip

Country Status (1)

Country Link
TW (1) TW202324631A (en)

Similar Documents

Publication Publication Date Title
US10964810B2 (en) Methodology and structure for field plate design
US11527636B2 (en) Semiconductor device structure with work function layer and method for forming the same
TWI389309B (en) Semiconductor power device having a top-side drain using a sinker trench
US11271104B2 (en) Composite etch stop layer for contact field plate etching
TWI719430B (en) Integrated chip and method of forming the same
US8581250B2 (en) Method and apparatus of fabricating a pad structure for a semiconductor device
TWI748271B (en) Integrated chip and method of forming the same
US10037917B2 (en) Structure and formation method of semiconductor device structure
US11798848B2 (en) Semiconductor device structure with resistive element
US20230299204A1 (en) Semiconductor device structure with inner spacer
CN112786689A (en) Integrated chip and method for forming high-voltage transistor device
CN111129121A (en) Semiconductor device with a plurality of semiconductor chips
US11908891B2 (en) High voltage device with gate extensions
TW202324631A (en) An integrated chip
TW202145364A (en) Semiconductor chip
US20230010333A1 (en) Integrated chip with good thermal dissipation performance
US20230387131A1 (en) Low-cost semiconductor-on-insulator (soi) structure
US20240105521A1 (en) Semiconductor device structure with isolation layer and method for forming the same
US20240136397A1 (en) High voltage device with gate extensions
US20240128378A1 (en) Semiconductor device and method of fabricating the same
US11935918B2 (en) High voltage device with boosted breakdown voltage
US20240021708A1 (en) Structure and formation method of semiconductor device with power rail
WO2022266995A1 (en) Multi-layer polysilicon stack for semiconductor devices